Index: ChangeLog.original =================================================================== --- ChangeLog.original (nonexistent) +++ ChangeLog.original (revision 1033) @@ -0,0 +1,17654 @@ +2011-09-18 DJ Delorie * dj AT delorie dot com * + + * po/POTFILES.in: Remove src/hid/common/hidgl_package_vrml_y.c from + POTFILES.in Reverts 61bd0cb1e134bbea96abd6fd2d5fb94d09c0b3c8 as this file + doesn't exist in this repository, causing a distcheck failure. + +2011-09-18 DJ Delorie * dj AT delorie dot com * + + * : Update golden files for nanometers hid_png3 was off by a pixel on a line end. I retested my UV and TT + films to verify that the nanometers conversion didn't affect line + *widths* and updated the golden file to accept this one-pixel + difference. + +2011-09-17 DJ Delorie * dj AT delorie dot com * + + * src/action.c: [windows] use unix-style path separators when + calling gnetlist + +2011-09-17 Felix Ruoff * Felix AT posaunenmission dot de * + + * : commit 70db91fc3dfb8b378962794fc8f86f71b52f7af8 Author: + Kai-Martin Knaak * kmk AT lilalaser dot de * Date: Fri Sep 16 + 01:07:32 2011 +0200 + +2011-09-16 Kai-Martin Knaak * kmk AT lilalaser dot de * + + * doc/pcb.texi: remove panner control from documentation There is no panner control in the GUI (anymore?) since at least five + years. this pathc removes its description from the texi manual. + +2011-09-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c, src/main.c: Don't adjust the Library command settings + if they are NULL, or an empty string. (The empty string part is a fixup for commit + 31df66e87a810aac852139da9119b15c47fa8c71 - this will teach me for bikeshedding) The NULL part should fix bug lp-852598 Closes-bug: lp-852598 + +2011-09-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c, src/main.c: Bikeshed commit + 11700ba8c3c951a788c8190073eb09822060dfdd I don't like magic strings, and IMO, using a blank + LibraryContentsCommand string to imply "don't use this feature" is + better than "*NONE*". + +2011-09-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Set the LC_NUMERIC locale + to "C" rather than "POSIX" This value is supported on Win32 platforms, whereas "POSIX" doesn't + appear to have any effect. This was one possible way to fix the "zoom doesn't work" bug on + Win32 for locales which use "," as the decimal separator. DJ fixed the Zoom action to be locale independent in commit + fd5399c67b988f2f7c9d1a0b4ab7c13bc2e95158, which worked around the + problem for this particular action, but I feel it would be best that + we made our locale handling consistent between Linux and Win32 as + well. Affects-bug: lp-843577 + +2011-09-08 Dima Kogan * dima AT secretsauce dot net * + + * src/djopt.c: fixed an instance of the "only-optimize-autorouted" + flag being ignored Signed-off-by: Dima Kogan * dima AT secretsauce dot net * + +2011-09-16 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/main.c: Skip the M4 library on Windows On Windows, set the LibraryContentsCommand to the magic string + "*NONE*" and check for that string while loading the libraries. + This avoids the windows pcb.exe from trying to run bash or m4 + scripts, which are normally not available, yet allows the user a way + to re-enable them if they want. + +2011-09-16 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: De-localize + zoom parsing The values used for Zoom() were being parsed with strtod(), which + switches between '.' and ',' depending on locale. Switch to + g_ascii_strtod() which always uses '.' and thus always matches our + actions. Note: I didn't change the command line parsing, because I didn't + think we should impose '.'-centric syntax on other locales. + +2011-09-16 DJ Delorie * dj AT delorie dot com * + + * lib/generic.list: Add DIL footprint names and R025. Existing tutorials say to use "DIL 16 300" for DIPs (we use "N 16 + 300") and often call for the R025 footprint, so add them as aliases + so the m4-to-newlib converter includes them. + +2011-09-16 DJ Delorie * dj AT delorie dot com * + + * lib/m4lib_to_newlib.sh: Fix m4-to-newlib converter filenames The m4 library uses macros and parameters to describe footprints, + which allows for a *very* flexible footprint naming scheme. While + it is impossible for pcb to know all valid footprint values, it does + have a list of "standard" ones, and knows how to obtain those. + However, the m4 to newlib converter wasn't using the same footprint + naming convention as everyone else, so produced *.fp files that + weren't always useful. For example, there were a large number of + 300.fp files that all collided. With this fix, the footprint name matches the value in [...] in the + library window, which is what we tell people to use for the + "footprint=" attribute anyway. + +2011-09-16 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/lesstif.h: Wrap all XmStrings in gettext() + +2011-09-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * po/POTFILES.in: Add another missing source file to POTFILES.in + +2011-09-15 DJ Delorie * dj AT delorie dot com * + + * src/main.c: Add locale functions Add textdomain() and setlocale() to connect to our message catalogs. + +2011-09-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * po/POTFILES.in: Add some missing files to POTFILES.in (And sorted the file contents). Reported-by: Bert Timmerman * bert dot timmerman AT xs4all dot nl * + Affects-bug: lp-846368 + +2011-09-09 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * po/nl.po: Updated the Dutch translation. + +2011-09-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/report.c: report.c: Don't leave actions in the undo stack or + change the user's flags Some actions in ReportNetLength*() would have been lumped in with + whatever actions were next added to the undo stack. These functions + also left the user's board with a different "FOUND" flags on various + items. In the case of the "Report(AllNetLenths)" action, a very long undo + stack would be created, which is not very helpful to the user. These changes hide the internal flag changes made during the net + length calculation from the undo system. We are able to get back to the inital board state because we save + the operations caused by our initial RestConnections() on the undo + stack. After we have done our work (modifying various flags in the + process), we reset the flags again (this time bypassing the Undo + system), so the board is consistent with the ResetConnections() call + we DID save undo data for. We then Undo() these changes before we + leave the function. + +2011-09-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/report.c: report.c: Return 1 for all failure conditions in + ReportNetLengthByName() + +2011-09-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/undo.c: undo.c: Add error message where + RestoreUndoSerialNumber() will break things If operations are addded to the undo stack between a call to + IncrementUndoSerialNumber() and a subsequent call for + RestoreUndoSerialNumber(), those added operations will be placed in + the undo stack with an inconsistent serial number. The {Save,Restore}UndoSerialNumber() API is pretty fragile in this + regard, and we should avoid using it where possible. An better alternative might be to implement a + "LockUndoSerialNumber()" call which temporarily disables + IncrementUndoSerialNumber(), and a "UnlockUndoSerialNumber()" call + which re-enables its increment function. Better yet - we could make these functions nestable, so we need not + worry whether some action uses this new feature internally when we + are also using it. + +2011-09-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/undo.c: undo.c: Handle undo failures in a more sane manner Our current error-case handling can cause serial number + inconsistency when something goes wrong when performing an Undo + operation. There are also various logic flaws in our handling, as it + only catches failures where every sub-undo operation with that + serial number fails. Remove the confusing do-loop which decrements the serial number in + the failure case, and return some sensible error message instead. This patch also removes the code which looked like it was intended + to loop over the undo stack until a serial number was found to + operate on. It is advantageous for code like the DRC to be able to + add increment the undo serial number, then safely perform an Undo - + even if there were no changes logged in the undo system during the + previous serial number. Closes-bug: lp-848509 + +2011-09-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/undo.c: undo.c: Allow undo of locked objects Our internal actions can make changes to locked objects, then use + the undo mechanism to revert these changes. An example is DRC, which + changes flags on objects and uses the Undo system to restore their + original values. Affects-bug: lp-848509 + +2011-09-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c: find.c: Remove stray RestoreUndoSerialNumber() calls These will cause havoc with the undo system, as we don't actually + save a serial number to restore to. Until a commit efd212c1deb264e9a7f2be17e9338fbb60e22cc0 we were + saving a serial number at the start of each "ResetConnections + (true);" call, and it would have been that serial number which got + restored. With this and some other fixes to the undo system, these restores + are no longer required. Affects-bug: lp-848509 + +2011-09-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: gtk: Fix ghid_zoom_view_fit() to work + when the board is flipped. Affects-bug: lp-850311 + +2011-09-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c, src/hid/gtk/gtkhid-gl.c: Fixup OpenGL + includes for better compatibility with OSX Not tested ;) + +2011-09-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * autogen.sh, configure.ac, m4/ax_check_gl.m4, m4/ax_check_glu.m4, + m4/ax_lang_compiler_ms.m4, m4/ax_pthread.m4: Better autoconf tests + for GL and GLU (from GtkGLExt - GPL v2 or later) These tests should give better cross platform support for our build. + +2011-09-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * .gitignore, m4/.gitignore: Remove the m4 directory from the root + .gitignore file and add its own + +2011-09-13 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/styles.c: [lesstif] set units for styles dialog in + more places The styles dialog was segfaulting as the units hadn't been set yet, + if you open the style dialog before doing anything with the grid. + +2011-09-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: hid/gtk: Remove misplaced (and redundant) + hidgl_flush_triangles() call. If we were to flush the triangle buffer in ghid_show_crosshair(), we + should do it before we set XOR mode on the GL context (assuming the + buffer might be dirty when we are called), and we should do it after + we finish drawing (if we wish to leave the buffer clean when we + return). The location the flush was in before this commit is just plain + wrong. As we currently have flushes of the triangle buffer wrapping the + call to ghid_show_crosshair(), just leave the flushing out of this + function. Does this commit message provide an adequate example of simple + patch, complex commit message phenomenon? + +2011-09-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui.h: hid/gtk: Remove + auto-pan feature (kill it with fire). This seems to be fairly universally unpopular on geda-user, and its + implementation is a little fragile, often leading the board to pan + off to some corner of the board as the poor user reaches for the + layer selector or a menu without having remembered to click the + feature off. The fact that the pan direction and speed was set the instant you + leave the drawing window also made it particularly unhelpful. A + proper implementation should have grabbed the mouse - or at least + implemented some buffer zone in which the mouse could be used to + give feedback on the pan direction. + +2011-09-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c: [windows] set GDK_PIXBUF_MODULE_FILE If we're using a local gdk-pixbuf installation, we need to set this + env variable to point to it. + +2011-09-11 Bdale Garbee * bdale AT gag dot com * + + * doc/Makefile.am: Invoke eps2png in $(srcdir), no . + +2011-09-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c: hid/common: Remove #include "draw.h" from + hidgl.c (twice!) + +2011-09-11 Dima Kogan * dima AT secretsauce dot net * + + * src/hid/gtk/ghid-route-style-selector.c, + src/hid/gtk/ghid-route-style-selector.h, src/hid/gtk/gtkhid-main.c: + Re-ordered all references in the style selector dialog to have one + consistent ordering. Everything that refers to the settings in the style selector dialog + now does so in order of Line thickness Hole size Hole thickness (hole + annular ring) + keepaway region size This wasn't 100% consistent previously, which resulted in a bug + (fixed in a previous patch). This patch doesn't touch the + functionality, but improves the style to avoid future bugs. Modified to apply on recent route style selector changes by Bert + Timmerman. Signed-off-by: Andrew Poelstra (local) * apoelstra AT wpsoftware + dot net * Affects-bug: lp-844635 + +2011-09-11 Dima Kogan * dima AT secretsauce dot net * + + * src/hid/gtk/ghid-route-style-selector.c: Swap via-hole and -ring + size connection in route style selector dialog Closes-bug: lp-844635 Signed-off-by: Andrew Poelstra (local) * apoelstra AT wpsoftware + dot net * + +2011-09-10 Andrew Poelstra (local) * apoelstra AT wpsoftware dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: Repopulate route style selector on PCBChanged + action When loading a new pcb, Bad Things happen to the route style + selector because pcb's route style data is freed. This patch removes + all styles from the route style selector and re-adds them whenever a + new pcb is loaded. + +2011-09-10 Andrew Poelstra (local) * apoelstra AT wpsoftware dot net * + + * src/hid/gtk/ghid-route-style-selector.c, + src/hid/gtk/ghid-route-style-selector.h: Add + ghid_route_style_selector_empty to GHidRouteStyleSelector + +2011-09-10 Andrew Poelstra (local) * apoelstra AT wpsoftware dot net * + + * src/hid/gtk/ghid-route-style-selector.c: Add finalize function to + GHidRouteStyleSelector + +2011-09-09 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * po/POTFILES.in: Remove the src/hid/gtk/gui-dialog-size.c entry + from the translatable files list. This is a completion of commit: + a914d5ba58104d8559395b66798b35761afb991a "Replace old route style + selector with GHidRouteStyleSelector" dated 20110903 11:09 PM + +2011-09-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/ghid-layer-selector.c: hid/gtk: Filter out double + clicks on the layer selector widget This makes things behave a little nicer if you click to toggle a + layer's visibility twice in quick succession. Previously, this would + be interpreted as a double-click, causing an extra synthetic click + event which would toggle the visibility an extra time. + +2011-09-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Update file-changed + notification bar to match gedit a bit more 1. Add a stock "refresh" image to the "Reload" button. 2. Change the message text when the board has modifications. 3. Reload without further prompting if the user presses "Reload", even if the board is modified. (We already warned them due to + 2.) + +2011-09-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: hid/gtk: Reimplement file-change monitor without + GFileMonitor I must have had a brain-fail when I hooked up setting up the + file-changed monitor in the function which sets the window title. + NB: That also gets called after every menu operation! A better place to hook up the monitor would be + ghid_sync_with_new_layout(), however changing this alone revealed + another issue - we would get notified for changes WE make to the + files. We were avoiding those events as the file-monitor was being + reset before it could pop up, at the end of the menu action which + invoked the save). However - due to a race condition bug in GLib / GIO, we would + sometimes see change notify events for changes we made, even when we + hooked up the GFileMonitor AFTER having saved (and synced) our + changes to disk. Rather than attempt to work around this bug, implement the + file-change notification in a much more simple way - look at the + file mtime when we update the layout, and at each time the mouse + pointer enters the main window. FWIW, this is how gedit currently + achieves the same feature. + +2011-09-06 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Warn user if footprints can't be found on import Since the message log doesn't make it obvious, add a pop-up dialog + whenever there are missing footprints during an import. Affects-bug: lp-828388 + +2011-09-06 DJ Delorie * dj AT delorie dot com * + + * src/search.c: Fix math error in IsPointOnLine() The math in C didn't match the math in the comment (and, apparently, + hasn't for quite some time). To test: Draw three lines, from 1000,3000 to 1500,2500, then to + 2000,3000, then back to the start at 1000,3000. Without this patch, + the first and last lines are removed and replaced with a copy of the + second line. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/file.c, src/file.h, src/hid/common/actions.c: + Implement a new RevertPCB() call, sharing code with LoadPCB() The RevertPCB() takes no filename parameter, and aside from that, + the only difference to LoadPCB is that when it calls the GUI's + "PCBChanged" action, it passes a new "revert" argument. This should + let the GUIs optionally do less work resetting the view state for a + board being reverted. + +2011-09-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/command.c, src/hid.h: Add a HID API call, + notify_pcb_filename_change() This is so the core can tell the GUI when the PCB being edited is + saved into a different file. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c, src/hid.h: Add a HID API call, notify_save_pcb() + called around saving the PCB The intention of this API is so that GUIs monitoring the active PCB + file on disk for changes, can filter out changes which occur as we + save the file ourselves. + +2011-09-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/command.c, src/file.c: file.c: Don't set the PCB + filename or changed flags inside SavePCB (Filename) Save this for the caller to do. (action.c already set the filename + for the SaveAs case anyway). + +2011-09-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: Revert "hid/gtk: Avoid the deprecated + gdk_drawable_get_display()" This reverts commit c6d6ca16e58b5c7f2248c21e3248825f54e52374. Turns out the replacement API I used was only introduced in GTK + 2.24, which is still a little new for us here. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui-utils.c: hid/gtk: + Remove usage of deprecated gtk_range_set_update_policy() We only set this to the default value anyway, and the API has been + removed with no replacements. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui-utils.c: hid/gtk: + Replace gtk_container_border_width with + gtk_container_set_border_width Replaces the old deprecated API. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-utils.c: hid/gtk: Use g_signal_connect(), not + gtk_signal_connect() gtk_signal_connect() is deprecated. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-dialog-print.c: hid/gtk: Re-write the tool-tip + handling code to use newer tooltip API Use the gtk_widget_set_tooltip* API introduced in GTK 2.12. This + avoids the APIs deprecated in GTK 2.12, and also avoids the + requirement to pack GTK_WIDGET_NO_WINDOW widgets inside + gtk_event_box() widgets to make their tooltips work. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-drc-window.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-netlist-window.c, + src/hid/gtk/gui-pinout-window.c, src/hid/gtk/gui-top-window.c: + hid/gtk: Replace usage of the deprecated gtk_widget_set_uposition() + call The closest replacement is gtk_window_move(), and looking at the GTK + sources, appears to be what gtk_widget_set_uposition() calls + internally. We are still rather at the mercy of the user's window manager as to + whether it will honour the request we make, and arguably we ought to + remove this (mis-)feature anyway. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-dialog.c: hid/gtk: Replace deprecated function + call gtk_box_pack_start_defaults() + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Avoid the deprecated + gdk_drawable_get_display() Grab the active display using gdk_window_get_display() on the window + belonging to the drawing area. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Use the g_timeout_add() + function, not the deprecated GTK one. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/ghid-main-menu.c: hid/gtk: Fix not accessing + GtkMenuShell's children harder I missed a critical part of the fix from commit + 3584101f67f6ca2f0a252c312cb0c6c9c4fa016f + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-pinout-preview.c: hid/gtk: Replace + GTK_WIDGET_REALIZED() test with a check for window != NULL This avoids the use of the deprecated GTK_WIDGET_REALIZED macro, + without needing to use the GTK2.20 function + gtk_widget_get_realized(). The check for a NULL window should be + sufficient here I hope. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-command-window.c: hid/gtk: Use gtk_bin_get_child() + accessor In GTK3.0, direct access to bin->child will be impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-config.c: hid/gtk: Use gtk_notebook_get_n_pages() + rather than diving in and counting In GTK3.0, direct access to notebook->children will be impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/ghid-main-menu.c: hid/gtk: Don't access a + GtkMenuShell's children directly In GTK3.0, direct access to shell->children will be impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/ghid-main-menu.c: hid/gtk: Free list returned from + gtk_container_get_children() We can (and should) g_list_free() the list of children returned when + we are finished with it. Whilst we are at it, convert the while loop to a for loop and use a + tighter condition on the loop termination test. The existing test + worked, but would leave a negative number in the object counter we + test against. This would not work if the object counters were ever + unsigned integers (as they might plausibly be). + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/ghid-route-style-selector.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui-dialog.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-utils.c: hid/gtk: Use accessors to get the vbox from + GtkDialog In GTK3.0, direct access to dialog->vbox will be impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Use accessor functions + for handling GtkAdjustments In GTK3.0, direct access to these member variables will be + impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c: hid/gtk: + Don't use GTK_WIDGET_SET_FLAGS() Call the appropriate setter function instead. (For GTK3.0 + compatibility). + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-library-window.c: hid/gtk: Don't test for NOOP + before calling gtk_widget_set_sensitive() We were using a deprecated test macro anyway, GTK already does a + NOOP test inside gtk_widget_set_sensitive(). + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h: hid/gtk: Remove unused + function ghid_button_set_text() + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h: hid/gtk: Remove unused + function ghid_button_active() + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui-drc-window.c: hid/gtk: + Use gtk_widget_get_style() accessor, rather than direct access In GTK3.0, direct access to widget->style will be impossible. Swap out the GTK_WIDGET_STATE() macro for gtk_widget_get_state(), + which does not directly access the GSeal'd member widget->state. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-pinout-preview.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui-utils.c: hid/gtk: Use gtk_widget_get_window() + accessor In GTK3.0, direct access to widget->window will be impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c, src/hid/gtk/gui-drc-window.c, + src/hid/gtk/gui-keyref-window.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-netlist-window.c, + src/hid/gtk/gui-top-window.c: hid/gtk: Use + gtk_widget_get_allocation() accessor In GTK3.0, direct access to widget->allocation will be impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c: hid/gtk: Use + gtk_widget_get_allocation() not gdk_window_get_geometry() This avoids an unnecessary round-trip the the X server on X11 + platforms. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Avoid warning caused by early + drawing before gport->pixmap is set Avoids a command line warning: (pcb:28876): Gdk-CRITICAL **: IA__gdk_draw_drawable: assertion + `GDK_IS_DRAWABLE (src)' failed With the GDK renderer in use. This trigger appears to be some state changes triggered as the new + layer selector widget initialises. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-misc.c: hid/gtk: Clean and make + gport_set_cursor_type() more GTK3.0 compatible Also removes the DEFAULT_CURSOR return value (for the case of no + window being setup) to GDK_X_CURSOR (the 0 enum value), as the code + path which returned DEFAULT_CURSOR would never have been hit. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/ghid-cell-renderer-visibility.c: hid/gtk: Use + gtk_cell_renderer_get_{align,pad} accessors In GTK3.0, direct access to these fields will be impossible. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Avoid double-destroying the + file-changed info_bar on "Reload" This was caused by an addition I made in the earlier patch to + destroy the info_bar when the user manually reverts or loads a new + file). The callback on revert button was trying to delete it (again) after + the revert _action_ we call triggered a destroy of the widget. Avoid + this by destroying the info_bar before we call the revert action. + +2011-09-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: gtk: use + gtk_action_block_activate() in layer selector The GHidLayerSelector widget now uses gtk_action_block_activate() + instead of storing/blocking signal IDs. This is the proper way to + suppress signal emission since 2.16. This also fixes the lockup bug that was supposed to be fixed by the + recent commit 8857757, but still occured when using the menus to + toggle layers. + +2011-09-05 DJ Delorie * dj AT delorie dot com * + + * src/const.h, src/draw.c, src/misc.c, src/print.c, src/report.c: + Fix text scaling exceeding Coord max. The old way of scaling text was to multiply by scale/100 but this + could easily overflow on reasonably sized boards with a 32-bit Coord + type. The new code scales by (double)scale/100.0 instead. Since we + don't store scaled values in the PCB file, a loss of precision won't + matter, but a double has 53 bits of precision - in nanometers, + that's a board about the size of North America. Closes-bug: lp-832451 + +2011-09-05 DJ Delorie * dj AT delorie dot com * + + * configure.ac, globalconst.h, src/global.h: Add configure selection + of 32/64 Coord type --enable-coord64 Force 64-bit coordinate types --enable-coord32 Force 32-bit coordinate types Defaults to "long" as before, but if you give one of the above, the + type changes to a suitable 32-bit or 64-bit type. Note that this is + only guaranteed to be the size you choose if you have + which most OSs provide, else the "int" and "long long" types are + used instead. + +2011-09-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: gtk: Fix "clicking on layer + selector separator bug" If you click on the selector between real and virtual layers in the + pcb layer selector, it will toggle the first layer. This patch + simply ignores the click. + +2011-09-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c, src/hid/gtk/gui-top-window.c: + gtk: fix behavior when hiding the last visible layer When you try to toggle the last layer invisible, pcb should refuse + to let you do so, since something has to be selected, and we don't + allow selection of invisible layers. What actually happens is that pcb locks up. This patch fixes that. + +2011-09-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: gtk: Force silk and rat layers + visible when they are selected. + +2011-09-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-route-style-selector.c, + src/hid/gtk/ghid-route-style-selector.h, src/hid/gtk/gtkhid-main.c: + Implement RouteStylesChanged action This action looks at the actual route-style settings (i.e., + Settings.LineThickness), and gives these to the route style + selector. The selector looks in its list and selects a style, if one + matches. Otherwise, it does nothing. + +2011-09-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-route-style-selector.c, + src/hid/gtk/ghid-route-style-selector.h, + src/hid/gtk/gui-command-window.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: Add Ctrl+F1,F2,F3,... accelerators to route style + selector Since we now have three accelerator groups to worry about (those of + the layer selector, route style selector and main menu), I have + moved the hooking/unhooking code into two functions: ghid_install_accel_groups () ghid_remove_accel_groups () These should be used whenever accelerators need to be disabled, for + example, when the user has the command box active. + +2011-09-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: Remove the last + of ghidgui->toggle_holdoff + +2011-09-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-dialog-size.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: Replace old route style selector with + GHidRouteStyleSelector TODO: The RouteStylesChanged action is now very broken. Menu accelerators need to be installed + +2011-09-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-main-menu.c, src/hid/gtk/ghid-main-menu.h: + Introduce functions for GHidRouteStyleSelector handling to menu + +2011-09-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/ghid-route-style-selector.c, + src/hid/gtk/ghid-route-style-selector.h: Introduce + GHidRouteStyleSelector widget, don't use it yet + +2011-09-04 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Add file + change notification for the currently open PCB If the file is modified on disk, a bar appears at the top of the PCB + area offering the user a choice as to whether they wish to reload + the board or cancel (do nothing). If the user has changes, and hits reload - they are prompted as to + whether they wish to throw away their changes. Requires GTK 2.18 or higher due to use of the GtkInfoBar widget. + +2011-09-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac: Require GTK 2.18 or later for the GTK HID. This is for some new widgets, like the GtkInfoBar. + +2011-09-04 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Fix + styling of menubar area so it is consistent across its width This relies on some nasty tricks copying GtkStyle's between the + menubar widget and a new GtkEventBox widget we pack the top bar in + to give us a background to paint on. We also adjust the styles of + the coordinate read- out labels and frames to ensure they are + legible. There may still be some inconsistencies, as the coordinate selector + button is themed as if it were a toolbar button, hence the + colourings used may be designed to work with a different background + colour than we have. + +2011-09-04 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Create a + GtkToolbar of mode buttons for compact vertical mode Rather than packing our mode buttons into an hbox, use a proper + GtkToolbar so theming will match the rest of the user's desktop. This simplifies code to switch compact mode on and off, as we have + two distinct widgets to show / hide, rather than having to reparent + the mode buttons each time. It does, however mean we have to be careful not to double-trigger + events when keeping the two sets of mode buttons in sync with each + other, so that isn't ideal. In the longer term, we probably ought to split out the mode button + area on the left-hand toolbar as a separate self-contained widget. + +2011-09-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-cell-renderer-visibility.c: gtk: Prelight toggle + swatches in layer selector This brightens the layer visibility swatches subtly when the mouse + hovers over them. IMHO this improves the discoverability of the + widget without being distracting or misleading. + +2011-09-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: gtk: Don't gray out invisible + layers' text in the selector + +2011-09-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: gtk: toggle non-activatable + layers on click, without checking for swatch + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: hid/gtk: Remove compact_vbox and compact_hbox + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: hid/gtk: Always show the PCB name on the + title-bar, not the toolbar This saves space and reduces code complexity + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Don't + use a GtkEventBox as a container widget We weren't making use of the events from the event box, and appeared + just to be using it as a convenient container to allow setting the + sensitivity on our entire left hand toolbar at once. Scrap the event box widget and just store a pointer to the vbox + widget which the left toobar items are packed into. We can set the + sensitivity on this and get the desired effect. + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-pinout-window.c, src/hid/gtk/gui-top-window.c: + hid/gtk: Don't pack the drawing area in a GtkViewport widget (For both the main window and the pinout preview). The GtkViewport + widget is designed to add scroll bars to a widget which doesn't have + native scroll capabilities. We are handling out own scroll-bars, so + the only gain we had from the GtkViewport we added was a shadow + around the widget. If we decide we want the shadow back, a more appropriate widget to + pack the drawing area with might be a GtkFrame. + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Remove the vbox used to + pack ghidgui->compact_hbox The main effect here is that the contents of the compact_hbox will + get more vertical space assigned to them if the menu bar section is + taller than the natural size of those widgets. The visual change seems acceptable, and we could use every bit of + code-cleanup in ghid_build_pcb_top_window() + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Remove some more temporary + variable assignments These make the code harder to follow, as the same temporary variable + is used again and again to refer to different widgets. + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Don't + use an hbox to pack the board name label The hbox only has one child, so use the name label directly. + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Skip the generic "hbox" + variable when storing the widget anyway For widgets we keep references to in the ghidgui structure, we avoid + confusion by assigning directly to the more descriptive ghidgui + variable. + +2011-09-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Don't pack a frame around + the menu bar + +2011-09-02 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-dialog-size.c, src/misc.c, src/misc.h: Move + make_route_string() from gtk into misc.c + +2011-09-02 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/mymem.c, src/mymem.h: Const-correct StripWhiteSpaceAndDup in + mymem.c + +2011-09-01 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Attempt to fix logic to flip + component / solder group visibility on flip Should get back to better behaviour. I probably broke this somewhat + with commit f903b4be6b85efc110852f7be40edf8245f0a513, which + attempted to re-state the previous logic in a clearer fashon. The logic now should: If flipping sides, and only ONE of the solder / component layers + (groups) is visible, and that layer (group) is _active_, then swap + the visibilities of the component / solder layers (groups), and make + the newly visible layer (group) active. There are still bugs in this code relating to the assumption that + the first entry in the layer group is the one which is being + toggled. This breaks if the first entry in the group is that + corresponding to the silk for that side of the board. + +2011-08-31 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: gtk: fix typo preventing layer + selector menu items from working + +2011-08-31 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: gtk: remove in_toggle_view + recursion-prevention flag We don't call ToggleView programmatically anywhere, so it is + impossible for there to be recursion. + +2011-08-31 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-main-menu.c: Block signals in + ghid_main_menu_update_toggle_state() The function ghid_main_menu_update_toggle_state() is supposed to + sync the menu checkboxes to the actual state of pcb, by checking the + flags given in the resource file. If this function is emitting signals and changing pcb's state, this + is a bug. Fortunately, this appears not to be the case, so the + effect of this commit is to eliminate one more use of + ghidgui->toggle_holdoff. + +2011-08-31 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: gtk: sync visiibility of layer + selector with core state in LayersChanged + +2011-08-31 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c, + src/hid/gtk/ghid-layer-selector.h: Use signal blocking for + visibility toggling in GHidLayerSelector + +2011-08-31 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-config.c: Add call to + ghid_layer_buttons_color_update() when loading new colors + +2011-08-30 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/change.c: Change change.c to treat text scale as mils + +2011-08-30 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: Configure layer selector to + only accept left-clicks Suggested by Kai-Martin Knaak, seconded by me. + +2011-08-30 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: Fix invalid free of ~/.pcb/filename + path in gui-top-window.c + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c, + src/hid/gtk/ghid-layer-selector.h: minor: fix comment and forward + declaration in ghid-layer-selector + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: Remove default layer selection + from ghid-layer-selector.c Having the layer selector select its own first layer is not needed - + pcb does this for us, after making the widget. It's not the sort of + decision a widget should be making on its own, anyway. + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: Use g_signal_handler_block + instead of flags in ghid-layer-selector.c Thanks to Peter C. for this code-cleanliness tip. Now the two + layer-selection interfaces (menu button and selector widget) set + each other's GUI state but do not raise any signals past the + original. + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: Add missing free_ldata() in + ghid-layer-selector.c + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-command-window.c: Disable layer selector's + GtkAccelGroup while in command mode + +2011-08-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Add const qualifiers to some BoxType + *drawn_area parameters + +2011-08-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c, src/misc.h: misc.c: Add const qualifier to BoxType * + parameter passed to CountHoles + +2011-08-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Fold DrawLayerCommon() into DrawLayer() Since the last commit, DrawLayer() just calls DrawLayerCommon with + the "clear_pins" argument set to true. The only other + DrawLayerCommon caller passes clear_pins as true, so having + functions is redundant. + +2011-08-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: DrawLayer(): Pass true to clear_pins of + DrawLayerCommon This should not affect any rendering. If the check planes flag is + set, we should only draw polygons, nothing more. Only a handful of + cases draw layers via this code-path, and in all but the exporter + cases, they are not called if CHECKPLANES is set. The exporters which call DrawLayer explicitly clear the CHECKPLANES + flag (since commit 3c01bd38cb59922692408b71cd5d77892dbe6ade), so + nothing should be affected by this argument change. + +2011-08-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gerber/gerber.c, src/hid/ps/eps.c, src/hid/ps/ps.c: + hid/{ps,eps,gerber}: Clear CHECKPLANESFLAG before exporting Ensure we are in a sensible view state before exporting. This update + those HIDs which cleared other similar renedering flags before + exporting to include the CHECKPLANES flag. + +2011-08-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Have DrawLayerGroup() call DrawPPV for non-gui + exporters This means DrawLayerGroup() can have a void return type as most of + the other drawing functions, and makes things a little neater. Only the GUI renderers special case the pin / pad / via to wait + until a later rendering oass than the layers they reside on. + +2011-08-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Remove unused "button" + parameter from Popup function. Remove the dead code and comments refering to the fact the + function's second argument indicates a mouse button number. + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c, + src/hid/gtk/ghid-layer-selector.h, src/hid/gtk/ghid-main-menu.c, + src/hid/gtk/ghid-main-menu.h, src/hid/gtk/gui-top-window.c: Link + GHidLayerSelector and GHidMainMenu Layer visibility-toggle and selection menu items now appear in the + main menu. Accelerators work correctly and are reassigned on + deletion/addition of layers to simulate the old behavior. + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: Clean up layer data handling in + ghid-layer-selector.c + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c: Give GHidLayerSelector an + internal layer structure Before we had various arrays to resize and index; now each row has a + structure associated with it, that is easier to access and maintain. + +2011-08-28 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-command-window.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: Replace gtk UI manager with GHidMainMenu widget This commit replaces the old UI manager with a customize gtk widget + that builds menus directly from a resource tree. This eliminates the + translate-to-XML step, the ugly hacks used to access individual + actions, and all the associated manual memory management. This will also give us the ability to have more dynamic menus, in + particular layer lists without maximum capacities. Layers and route styles are still not hooked into the menu. This + means that those accelerators DO NOT WORK. (This will be fixed in a + later commit.) Checkboxes have been replaced with radio buttons + where appropriate. There are now tearoffs on the context-menu's + submenus. Other than that, there should be no user-visible changes. ;) + +2011-08-28 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/ghid-main-menu.c, + src/hid/gtk/ghid-main-menu.h: Created ghid-main-menu.[ch] Still need to hook up layer selector and route styles. + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: Fix select-hidden-layer behavior When you select a hidden layer, it should toggle the visibility so + that the currently-selected layer is always visible. We were + toggling twice. + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/ghid-layer-selector.c, src/hid/gtk/gui-top-window.c: + Prevent recursion in layer selector events + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/ghid-coord-entry.c, + src/hid/gtk/ghid-coord-entry.h, src/hid/gtk/gtk-pcb-coord-entry.c, + src/hid/gtk/gtk-pcb-coord-entry.h, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-dialog-print.c, src/hid/gtk/gui-dialog-size.c, + src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h: Rename GtkPcbCoordEntry + to GHidCoordEntry + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/ghid-layer-selector.c, + src/hid/gtk/ghid-layer-selector.h, + src/hid/gtk/gtk-pcb-layer-selector.c, + src/hid/gtk/gtk-pcb-layer-selector.h, src/hid/gtk/gui-top-window.c: + Rename GtkPcbLayerSelector to GHidLayerSelector + +2011-08-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/ghid-cell-renderer-visibility.c, + src/hid/gtk/ghid-cell-renderer-visibility.h, + src/hid/gtk/gtk-pcb-cell-renderer-visibility.c, + src/hid/gtk/gtk-pcb-cell-renderer-visibility.h, + src/hid/gtk/gtk-pcb-layer-selector.c: Rename + GtkPcbCellRendererVisibility to GHidCellRendererVisibility + +2011-08-28 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/gpcb-menu.res.in: Add mnemonics to menus in default + gpcb-menu.res.in You can now access the main menu without a mouse, by doing Alt+F, + for example, to select the File menu. + +2011-08-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/common/hid_resource.c, src/hid/common/hid_resource.h, + src/hid/gtk/gui-top-window.c, src/res_parse.y, src/resource.h: gtk: + Const-correct add_resource_to_menu and related functions Five files have had const keywords added: ../common/hid_resource.c ../common/hid_resource.h gui-top-window.c ../../res_parse.y ../../resource.h No casts were added. No compilation warnings were added. + +2011-08-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtk-pcb-layer-selector.c: Delete GtkAction along with + layer in gtk-pcb-layer-selector.c + +2011-08-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/ps/eps.c: hid/ps/eps.c: Remove assigned by unused + lastgroup variable + +2010-10-21 Markus Hitter * mah AT jump-ing dot de * + + * src/hid/gcode/gcode.c: HID-gcode: make use of MAXPATHLEN. Affects-bug: lp-699497 + +2011-08-28 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/bom/bom.c, src/hid/gerber/gerber.c, src/main.c: Add + attributes to docu for commandline options + +2011-08-28 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi: Docu: Fix some references to command-line options and remove the documentation for a non-working X11-Interface option. + +2011-08-28 Dima Kogan * dkogan AT cds dot caltech dot edu * + + * src/find.c: Better handling of unplated vias The attached patch adds checks in the geometry intersection + functions to no longer treat unplated vias (mounting holes) as + conducting. The implementation in the patch will act as if the + unplated via doesn't intersect with metal objects at all, which is + possibly not what is desired. Should the conductivity check happen + on a higher level from the geometry intersection routines? A case + that would require this is not obvious to me. Closes-bug: lp-699499 + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gcode/gcode.c, src/hid/gerber/gerber.c, + src/hid/lpr/lpr.c, src/hid/nelma/nelma.c, src/hid/png/png.c, + src/hid/ps/ps.c: Unify HID description (no fullstop at end of + description) Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/ps/ps.c: Add docu for ps HID commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/ps/eps.c: Add docu for eps HID commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/png/png.c: Add docu for png HID commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/nelma/nelma.c: Add docu for nemla HID commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/lpr/lpr.c: Add docu for lpt HID commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gerber/gerber.c: Add docu for Gerber HID commandline + options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/bom/bom.c: Add docu for the BOM HID commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gui-top-window.c, src/hid/lesstif/main.c: Add docu for + commandline-options for GTK+ and lesstif GUI Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-18 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/main.c: Add docu for DRC commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-18 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/main.c: Add docu for general gui commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-18 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/main.c: Add docu for path commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-18 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/main.c: Add docu for commandline commands Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-18 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/main.c: Add docu for layer names commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-18 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/main.c: Add docu for size commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-18 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/main.c: Add docu for color commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-28 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi, src/main.c: Docu: Add general description for + commandline options ... and for general options. (Patch 3/19 of this patch-serie is + missing on purpose) Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-28 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi: Remove out-dated docu for commandline options Most parts of this patch-serie was written by Kai-Martin Knaak. + Adaption to actual git HEAD and some modifications by Felix Ruoff. + +2011-08-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/misc.c, src/misc.h: Move CountHoles() from draw.c + to misc.c and export it This function may be more generally useful. + +2011-08-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Move doing_assy flag assignment into + PrintAssembly() Keeps things tidier + +2011-08-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gcode/gcode.c, src/hid/nelma/nelma.c: hid/{nelma,gcode}: + Remove assigned but unused variables lastcap and lastgroup + +2011-08-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.h, src/main.c: Remove traces of LoadBackgroundImage() + from the core of PCB + +2011-08-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c: hid/gtk: Use + view_data struct to simplify saving and restoring views + +2011-08-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: + Encapsulate view parameters in a structure + +2011-08-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Remove unnecessary prototype + +2011-08-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui.h: hid/gtk: crosshair_{x,y} should be Coord, not + int. + +2011-08-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/fontmode.c: fontmode.c: Add missing #include "pcb-printf.h" + +2011-08-26 DJ Delorie * dj AT delorie dot com * + + * src/fontmode.c: Fix FontEdit() Move top/bottom meta-layers to layers 0 and 1 so they'll exist after + we trim out the layers. Set up DRC values to avoid interfereing + with the font layout. Closes-bug: lp-808591 + +2011-08-26 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: Use correct units when setting up the + Sizes dialog. Replace %mm with %mS so it auto-selects units, to match the scanning + that's done when you save the settings. + +2011-08-26 DJ Delorie * dj AT delorie dot com * + + * src/parse_l.l: Avoid segfault tmp-saving partial board. Check for both PCB and PCB->Data to avoid trying to save a backup + copy of a partially created layout. + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: gtk: Sync selection with pcb state + in ghid_layer_buttons_update It was possible for PCB's active layer to come out of sync with the + selected entry in the layer selector. This fixes that. + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtk-pcb-layer-selector.c, + src/hid/gtk/gtk-pcb-layer-selector.h, src/hid/gtk/gui-top-window.c: + Support adding/deletion of layers in GtkPcbLayerSelector If you add a layer to a GtkPcbLayerSelector, and its ID is already + in the selector, it will update the layer instead of adding a new + one. This way, we can update the layer selector by: 1. Deleting all recently-deleted and non-copper layers. 2. Re-adding all layers (including new ones) 3. Re-adding all non-copper layers (so they go to the end) The old layer selector maintained MAX_LAYER + n layers (where n was + the number of non-copper layers), and showed/hid the gui widget to + managed deletion and adding of layers. The new one has no notion of + MAX_LAYER, nor does it care whether a layer is copper or not. :) + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-drc-window.c: hid/gtk: Fix DRC preview pixmap + rendering Another hard-coded constant in the old PCB coordinate system + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Remove duplicated render pass + in ghid_pinout_preview_expose() This looks like it was a copy+paste error. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-pinout-preview.c, + src/hid/gtk/gui-pinout-preview.h: hid/gtk: Fix pinout preview + default zoom after unit conversion Apparently the scale factor equation was dependant on the old PCB + units of 100th mils to produce a sensible zoom level. Since this code is monumentally obtuse, just re-write something + completley new. The old code probably bit-rot when we split out the + rendering widget. Lets just suggest a fixed size of 100 pixels natural size for each + 150 mil of element bounding box. That seems to work nicely. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c: misc.c: Rework SetTextBoundingBox() to make it more + clear how it works. Add lots of comments, change the coding style and rename variables + to make them more obvious. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Redefine pin / pad name label text size in terms of + FONT_CAPHEIGHT This reduces the proliferation of various "magic numbers" which + combine constants from several sources and aren't appearent why they + are a particular number. There is a slight rounding error in the + converted pin label size, but it is insignificant. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * globalconst.h, src/change.c, src/report.c: Introduce global + #define for the text cap-height of the PCB font. This should save the proliferation of rather opaque + MIL_TO_COORD(45)'s in various places. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/change.c, src/draw.c, src/report.c: Fix some text scale + factors This commit fixes pin / pad name drawing, the pinout preview and two + actions which change the size of text based on user input. To recap: Text->Scale is a percentage scaling (from the font definition). The + default font has has an approximate cap-height of 45-50 mils, and + PCB assumes this to be the case. Text->Scale is not a Coord, it is an integer, so use int as the + resulting type for any calculations involving this number. (100% is + stored as 100 in Text->scale). Code which scales text based upon + other object sizes does so by dividing to a dimensionless scale + factor. We may have to be careful about the width of intermediate + results when scaling based on Coords if Coord is changed to 64bit at + some point. ChangeTextSize() and ChangeElementNameSize accept absolute (or + delta) sizes in units of distance. These are converted to a Scale by + assuming a 100% scaled font is 45mils high. YMMV. Oh - and just to note.. the line-thickness is drawn at half the + width stored in the font definition. This is clearly bonkers, but we + would break designs if we changed it now. Grr. (Thanks a bunch + commit 66592387176ba2578dfc14023a6fe49226f3a3df). + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/hid/ps/ps.c: action.c: Fix missing #include + "mirror.h" + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : hid/ps: Fix inaccurate comment and twiddle some whitespace The whitespace changes in the if statement were from an earlier + revision which actually had functional changes - but I prefer this + way, so I'm committing it anyway. Also adds some != 0 to the end of strcmp tests, as I believe this + aids clarity by reminding readers strcmp returns 0 for a match. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c: + hid/gtk: Allow zooming out past the board size (up to 1/10 viewport + size) The 1/10 viewport size should be the same as the Lesstif HID allows. + The purpose of the limit is to avoid zooming in so small the PCB is + lost as a tiny dot, and also to avoid coodinate overflows when + representing screen coordinats in PCB Coords. (Since we switched to + nanometers, this could potentially raise its head more readily until + we move to 64bit integers). The explicit pan fixup added to ghid_view_zoom_fit() is required as + pan_common() no longer clamps the view back to the origin. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui.h: hid/gtk: Remove ghid_port_ranges_pan for new + replacement ghid_pan_view_rel ghid_pan_view_rel() does less heavy lifting directly, sharing common + code with the other view altering routines for zooming and panning. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui.h: hid/gtk: Remove prototype for non-existant + ghid_port_ranges_update_ranges The functionality this prototype implies is in + ghid_port_ranges_scale(). + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Don't recompute view size in + PCB coords in ScrollAction() We aleady keep around the view size in PCB coords, so use it + directly. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Add fixup for stored + gport->pcb_{x,y} coords when changing view This updates the stored (in PCB coordinates) mouse pointer location + on the board as we perform a pan / zoom. This is mostly relevant to + panning and clipped zoom operations, as non-clipped zoom operations + aim to leave the mouse pointer at the same PCB coordinate anyway. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: polygon.c: Fix dicer to give up if the clipping + region passed is invalid. Most of the dance checking return codes from polyBoolean_free was + unnecessary, as it sets the output to NULL if there is a problem, so + remove that. Whilst we're at it, fix up some variable names to make + the operation of the function clearer. + +2011-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: polygon.c: Make RectPoly return NULL for zero or + negatively sized rectangles Previously we would hit an assertion failure, where we could + indicate the problem by returning NULL. I've hit an issue in which some expose events in the GTK (+GL) HID + are collapsing to a zero-width region on the PCB, and some code is + tripping up on the bad clip polygon produced using RectPoly on the + coordinates. This causes segfaults in the branch which contains + code to clip rendering of the soldermask at the board outline. We could (and perhaps should) test in the expose handler, but the + failure mode here is not ideal. Since most builds of PCB run with + asserts disabled, the asserts are not hit here and a bad polygon is + silently gets created with no contours. This upsets the polygon + algebra routines somewhat, but returning a NULL (empty) polygon + would be fine. + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c: gtk: + remove call to ghid_layer_buttons_color_update The call to ghid_layer_buttons_color_update() in config_read() is + now unnecessary; the Gtk layer selection widget does not exist, nor + do the PCB struct's colors need to be synced with the ones in the + Settings struct. So this call makes no sense. Oh, and it causes a segfault. Also: remove color-changing debug code from gui-top-window.c + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtk-pcb-coord-entry.c: Add doxygen comments to + gtk-pcb-coord-entry.c No code changes. + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtk-pcb-cell-renderer-visibility.c, + src/hid/gtk/gtk-pcb-layer-selector.c: Add doxygen comments to + gtk-pcb-cell-renderer-visibility.c Also fix the file description for gtk-pcb-layer-selector.c. No code + changes. + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c: Add doxygen comments to pcb-printf.c No code changes. + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/flags.c: Fix const-correctness warning in flags.c + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * globalconst.h: Make EMARK_SIZE unit-agnostic Closes-bug: lp-832455 + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: Use + GtkPcbLayerSelector in gtk GUI Closes-bug: lp-699482 + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/gtk-pcb-cell-renderer-visibility.c, + src/hid/gtk/gtk-pcb-cell-renderer-visibility.h, + src/hid/gtk/gtk-pcb-layer-selector.c, + src/hid/gtk/gtk-pcb-layer-selector.h: Introduce GtkPcbLayerSelector + widget -- not used yet This widget will replace the layer-selection buttons in the Gtk GUI, + as well as the layer selection and visibility-toggling parts of the + menu. This is to make layer manipulation more consistent and more + keyboard-accessible. In future, it would be good to update this widget with context menus + with things like "hide all but this layer". + +2011-08-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: Change Gtk layer_process() to use + colors from Settings, not PCB Now the only code that uses the colors in the PCB struct is draw.c. + Hopefully in a future commit we can remove this too and remove the + duplication of color data in the Settings and PCB structs. + +2011-08-25 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Fix File->Import for elements on back side. Mirror pasted footprints when replacing back-side elements. Also + clear element cache when reloading the new footprint, to avoid + getting a stale pointer. Closes-bug: lp-699331 + +2011-08-25 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Fix texinfo bug in report.c patch {} are special characters in texinfo + +2011-08-25 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Lesstif: force widget update on grid unit + change If the grid units change, force a redraw of the coordinates window + and allow a resize. + +2011-08-25 DJ Delorie * dj AT delorie dot com * + + * src/flags.c, src/hid/common/flags.c: Fix unitless menu flags. Numbers without units are counting numbers in flags, not cmil. + Also, cache the lookup of units in flags.c + +2011-08-24 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * src/report.c: This patch adds support for doing + Report(netlength,net_name) Affects-bug: lp-699451 Updated patch to nanometers, fixed a few minor bugs, applied. + +2011-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Don't compensate for crosshair + position when panning Perhaps this was necessary before.. it is not now, and is causing + the crosshair to be errenously offset whilst panning with the scroll + wheel. + +2011-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Simplify viewport setup in + PCBChanged() This version still works ;) + +2011-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Refactor common view clamping + code from ghid_{pan,zoom}_view_abs Inspection of the zoom-fit code which triggers if the view exceeds + the size of the board suggests that it will never be called, so + remove it. + +2011-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: A couple of NOOP + cosmetic changes to ghid_port_ranges_pan() + +2011-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c: hid/gtk: Don't + render of soldermask outside board area + +2011-08-22 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/create.c: Fix negative-angle handling in create.c This bug could be seen by taking a component with an arc (say, a + 3-pin TO transistor, with curved silk), flipping it with 'b', and + trying to move it. The arc would be inverted. + +2011-08-22 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/report.c: Fix segfault in report.c text report output + +2011-08-22 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui.h: hid/gtk: Remove emit_changed parameter from + ghid_port_ranges_scale. The one caller which passed TRUE, PCBChanged () also immediately + aftwewards calls ghid_port_ranges_pan(), which will take car of any + changes necessary. + +2011-08-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Rework view flip code + +2011-08-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui.h: hid/gtk: Rework zoom + / pan API + +2011-08-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Some NOOP and whitespace + changes to the SwapSides() function Split from of a later patch which reworks the view flipping APIs. + Hopefully this makes the function a little simpler. + +2011-08-19 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c: hid/gtk: + Convert line width to Coord units in renderer GC structs. + +2011-08-19 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c: find.c: Remove unused variables in LineLineIntersect() + +2011-08-15 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/main.c: Add --help and -? to options that will dump usage() + without loading GUI Closes-bug: lp-826931 + +2011-08-15 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/batch/batch.c: Fix compilation warnings in + hid/batch/batch.c + +2011-08-15 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtk-pcb-coord-entry.c, src/hid/gtk/gui-dialog-print.c: + Fix: spin increments not working in GtkPcbCoordEntry after unit + change + +2011-08-15 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-config.c: Parse options of type CONFIG_Coord in + preferences file + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * globalconst.h, src/const.h, src/global.h, src/main.c: *** CONVERT + PCB'S BASE UNITS TO NANOMETERS *** Convert base units to nm, change Coord from int to long, change + LARGE_VALUE from a magic number to (LONG_MAX / 2 - 1). Fixes-bug: lp-772027 + +2011-08-11 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/const.h: Make MARK_SIZE base-unit-agnostic + +2011-08-10 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtk-pcb-coord-entry.c: Implement "change unit" submenu + of GtkPcbCoordEntry context menu + +2011-08-10 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-dialog-print.c, src/hid/ps/ps.c: Use + GtkPcbCoordEntry in gui-dialog-print.c Also set ps-bloat to HID_Coord from HID_Integer in ps.c + +2011-08-10 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtk-pcb-coord-entry.c, + src/hid/gtk/gtk-pcb-coord-entry.h, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-dialog-size.c, src/pcb-printf.c, src/pcb-printf.h: + Use GtkPcbCoordEntry in gui-dialog-size.c + +2011-08-10 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/hid/gtk/gtk-pcb-coord-entry.c, + src/hid/gtk/gtk-pcb-coord-entry.h, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h: Introduce + GtkPcbCoordEntry widget, use it in gui-config.c The GtkPcbCoordEntry is a modified spinbox that handles pcb units + internally and outputs them as human units. It uses the step sizes + given in pcb-printf and adjusts automatically when units are + changed. If you manually change the unit suffix, it will change its interal + unit, so that if you change "10mil" to "10mm" it will do the right + thing. TODO: handle overflows add a unit selector to the context menu + +2011-08-07 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/common/hidgl.c, src/hid/common/hidgl.h, + src/hid/gtk/gtkhid-gl.c: Audit hidgl stuff, implement Coord I did not touch any code, only change 'int' to Coord where + appropriate (and in a couple cases 'double' to Coord), under the + assumption that any real changes should be done by Peter C. + +2011-08-07 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid.h, src/hid/common/hidinit.c, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-dialog-print.c, src/hid/lesstif/dialogs.c, + src/hid/lesstif/lesstif.h, src/hid/lesstif/main.c, src/main.c: + Introduce HID_Coord type and related changes Give measure-specific HID options their own datatype, so that things + like the --grid option can support suffixes instead of exposing the + base unit. (In fact, since they use GetValue, they will keep right + on pretending the base unit is cmil.) This gives us the opportunity to write measure-entry GUI widgets + that will handle units correctly and whatnot, though I have not yet + done this. Fixes-bug: lp-699640 Fixes-bug: lp-699641 + +2011-08-11 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/lesstif/dialogs.c: Change lesstif_logv to use pcb-printf + [rebase-after: audit lesstif] + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/global.h, src/gpcb-menu.res.in, src/hid/lesstif/dialogs.c, + src/hid/lesstif/main.c, src/hid/lesstif/styles.c, + src/pcb-menu.res.in: Audit lesstif HID We can now remove LocationType and BDimension! This marks the + completion of the code audit. Remaining to do is the conversion and + test. + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui.h: Audit Gtk HID + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/common/draw_helpers.c, src/hid/common/extents.c, + src/hid/common/hidnogui.c: Audit HID nogui/common code + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/nelma/nelma.c: Audit nelma HID + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/png/png.c: Audit png HID + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gcode/gcode.c: Audit gcode HID + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/ps/ps.c: Audit ps.c + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/ps/eps.c: Audit eps.c + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: Audit gerber HID + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/bom/bom.c: Implement new unit selector in BOM HID, audit + bom.c + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid.h, src/hid/bom/bom.c, src/hid/common/hidinit.c, + src/hid/gcode/gcode.c, src/hid/gerber/gerber.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h, src/hid/lpr/lpr.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c, src/main.c: Introduce HID_Unit option type + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid.h: Change drawing function coords in hid.h to Coord from + int Note that this causes a slew of compilation warnings about + mismatched pointer types, since the HIDs themselves are still using + int-taking functions. These warnings will be cleaned up over the + next few commits. + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/crosshair.c, src/crosshair.h: Audit crosshair.[ch], implement + Coord + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/parse_y.y: Audit parse_y.y, implement Coord + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/mtspace.c, src/mtspace.h: Audit mtspace.[ch], implement Coord + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.h, src/report.c: Audit report.c, implement Coord Includes fixes for the original pcb-printf conversion: Fix drill report spacing in report.c Use %ma spec outputting angles in report.c + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/autoroute.c: Audit autoroute.[ch], implement Coord There are many magic numbers in this file. It is likely they will be + skewed by base-unit changes, though I have worked to mitigate this. + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/autoplace.c: Audit autoplace.c, implement Coord Note that there are -many- magic numbers in this file. I believe + I've caught all of them that are supposed to be unit conversions and + used macros instead. + +2011-08-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/action.c, src/create.c, src/create.h: Audit create.[ch], + implement Coord + +2011-08-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/intersect.c, src/line.c, src/line.h: Audit line.[ch], + intersect.c, implement Coord + +2011-08-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/find.c, src/find.h: Audit find.[ch], implement Coord Note that this commits brings major simplifications to some DRC + functions. My tests show everything okay, but there will likely be + some bugs (or bug fixes) as a result of this commit. + +2011-08-02 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/find.c: Remove fBloat from find.c fBloat does nothing except act as a floating point copy of the + integer Bloat variable. It should not be (and is not) necessary. + +2011-08-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/find.c: Fix g_string_free (NULL) error + +2011-08-02 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/global.h, src/hid/gtk/gui-drc-window.c, + src/hid/gtk/gui-drc-window.h: Remove coord suffix/precision from DRC + error struct Display units for DRC errors are now handled by the UI, rather than + in the error struct. The struct now stores everything in Coord's, + and pcb-printf worries about how to display them. + +2011-08-02 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/file.c: Audit file.c, implement Coord + +2011-08-02 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/find.c, src/pcb-printf.c, src/pcb-printf.h: Use pcb-printf in + DRC code in find.c Also, expose pcb_vprintf in pcb_printf.h. + +2011-07-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/draw.c, src/draw.h: Audit draw.[ch], implement Coord + +2011-07-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/box.h, src/change.c, src/change.h: Audit change.[ch], box.h, + implement Coord + +2011-07-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/copy.c, src/copy.h, src/insert.c, src/insert.h, src/mirror.c, + src/mirror.h: Audit copy.[ch], insert.[ch], mirror.[ch], implement + Coord + +2011-07-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/misc.c, src/misc.h: Audit misc.[ch], implement Coord + +2011-07-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/move.c, src/move.h: Audit move.[ch], implement Coord + +2011-07-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/polyarea.h, src/polygon.c, src/polygon.h, src/polygon1.c: + Audit polygon*, polyarea.h, implement Coord + +2011-07-25 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/rotate.c, src/rotate.h: Audit rotate.[ch], implement Coord + +2011-07-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/search.c, src/search.h: Audit search.[ch], implement Coord + unit This does not affect the "IsPointOnArc assumes circular arc" bug; it + is just more obvious now with the cleaner code. Affects-bug: lp-815527 + +2011-07-13 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/rubberband.c, src/set.c, src/set.h, src/thermal.c, + src/undo.c, src/undo.h: Audit undo.[ch], thermal.c, set.[ch], + rubberband.c, introduce Coord + +2011-08-07 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/action.c, src/buffer.c, src/buffer.h, src/command.c, + src/djopt.c, src/djopt.h, src/fontmode.c, src/global.h, src/hid.h, + src/hid/batch/batch.c, src/hid/common/actions.c, + src/hid/common/hidnogui.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-netlist-window.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h, src/hid/lesstif/dialogs.c, + src/hid/lesstif/lesstif.h, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/netlist.c, src/hid/lesstif/styles.c, src/misc.c, + src/move.c, src/netlist.c, src/puller.c, src/report.c, + src/toporouter.c, src/vendor.c: Change get_coord and action + signatures to use Coord + +2011-07-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/file.c, src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: + Introduce PCB::grid::unit attribute This is PCB's first use of the Attribute() field in the file format. + It is a unit suffix string denoting the unit setting used by pcb + when loading the file. Note the namespacing: as Attributes are persistent across + file-saves, other programs may use them in future for purposes + unknown and irrelevant to pcb. Therefore we will put all pcb + attributes under the PCB namespace. If this attribute is missing or invalid (i.e., the unit given is + unsupported by pcb-printf), PCB will then use the --grid-units + command-line option. Failing that, it will use the grid-units entry + in ~/.pcb/preferences. Failing that, it will use mils. Fixes-bug: lp-811393 + +2011-08-10 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c: Modify get_unit_struct()'s bad-unit forgiveness Suffixes passed to get_unit_struct() may now start or end with + whitespace, without affecting the result. However, incomplete units + will NOT be matched. This means that "mi" will no longer return the "mil" struct, for + example. The reasons for this change are: 1. The old behavior returned the first potential match, regardless of other matches: "c" is always "cm", never "cmil". 2. Prevent surprises (due to point #1, or typos). 3. Prevent user dependence on behavior that will change as units are added or removed. It still supports plural units, like "inches" or "mils". However, + it will read "miles" as "mil" because of this. ;) + +2011-08-05 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/main.c, src/pcb-printf.c, src/pcb-printf.h: Add alias support, + get_unit_list() to pcb-printf Add pcb-printf support for unit aliases (just one per unit for now, + we will fix this if the need arises). Map "inch" to "in" and "pcb" + to "cmil" for backward compatibility. Move initialize_units() call to main.c to ensure it is called before + any other unit-handling code. Also, add the functions get_unit_list (); get_n_units (); which do exactly what they look like. These will + be used to build HID-export unit selectors. + +2011-07-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/gpcb-menu.res.in, src/pcb-menu.res.in: Use suffixed units in + gpcb-menu.res and pcb-menu.res + +2011-07-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/buffer.c, src/buffer.h, src/create.c, src/file.c, + src/global.h, src/misc.c, src/misc.h, src/pcb-printf.c, + src/pcb-printf.h, src/rotate.c: Make file.c use %mr pcb-printf spec I have changed the %mr spec to always output cmils, no suffix, and + changed file.c to use this. The reason is that the %mc spec (cmils, + no suffix) is locale- dependent, while %mr is not. When we change the actual file format, file.c can be left alone and + the relevant changes should be done to the %mr spec in pcb-printf. + +2011-07-13 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-config.c: Update hid/gtk/gui-config.c with new + grid preferences + +2011-07-13 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/file.c, src/find.c, src/flags.c, src/global.h, + src/gpcb-menu.res.in, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-dialog-size.c, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h, src/hid/lesstif/dialogs.c, + src/hid/lesstif/main.c, src/hid/lesstif/styles.c, + src/pcb-menu.res.in, src/pcb-printf.h, src/report.c: Remove mm/mil + dichotomy, support arbitrary user units Currently, pcb assumes the user's display units are either mm or + mil, and uses the Settings.grid_unit_mm boolean (and flag + "grid_unit_mm") to determine which is which. This patch removes the boolean and replaces it with the new + Settings.grid_unit, which can be set to any unit supported by + pcb-printf. The user-visible interface has not changed (there is + still a mm/mil toggle in Gtk and the menus only contain mm and mil + units), but new units can be accessed though the :SetUnits command. The flag is still there and can be used in pcb-menu.res as usual. + However, the new flag "grid_unit_mil" should be checked to see if + mils are selected, since this is no longer implied by grid_unit_mm + == 0. There will be some user-visible changes to the precision of + displayed values, since I have removed a lot of special-case code + for this sort of this thing and use the default_prec of applicable + units instead. Because of the new flexibility, some idioms have been changed: Settings.grid_unit_mm ? COORD_TO_MM (x) : COORD_TO_MIL (x) becomes coord_to_unit (Settings.grid_unit, x) Settings.grid_unit_mm ? "mm" : "mil" becomes Settings.grid_unit->suffix Settings.grid_unit_mm = 1; becomes Settings.grid_unit = get_unit_struct ("mm"); For GUI use, the Unit structure returned by get_unit_struct exposes + certain members: suffix : "mm"/"mil"/etc in_suffix : i18n version of the above default_prec : precision used for spinboxes, labels, etc step_tiny : step_small : step_medium : step sizes for various spinboxes step_large : step_huge : Additionally, the *_increment_mm and *_increment_mil variables have + their own structure containing default, min and max values. These + can no longer be set on the command line. + +2011-07-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/main.c: Cleanup default values in main.c + +2011-07-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/misc.c, src/pcb-printf.c, src/pcb-printf.h: Introduce new + structures (unit, increment) to pcb-printf Constants for gui spinbox steps are now in pcb-printf.h: Unit.step_tiny Unit.step_small Unit.step_medium Unit.step_large Unit.step_huge Additionally, the default/max/min values for the preferences + Increments tab are in their own structure in pcb-printf.h. These changes are needed to bring all unit-specific constants into + one place. The spinbox values can be shared by gtk and lesstif. + +2011-07-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/misc.c, src/pcb-printf.c, src/pcb-printf.h: Add scale factor + lookups to pcb_printf.c, tell GetValue to use them GetValue and pcb-printf have their own lookup tables to determine + scale factors. To unify them, this patch adds two functions to pcb- + printf, coord_to_unit and unit_to_coord. These a const char *suffix and return an appropriate scale factor. I have also added a NO_PRINT entry to the allow_mask array for + suffixes like "inch" that we can read but never output. The definitive unit lookup table should now be in pcb_printf.c. Any + other tables used in the code should be merged into this. + +2011-07-11 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/action.c, src/autoplace.c, src/crosshair.c, src/crosshair.h, + src/djopt.c, src/file.c, src/flags.c, src/fontmode.c, src/global.h, + src/hid/common/hidgl.c, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui.h, src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/main.c, src/misc.c, src/misc.h, src/set.c, src/set.h: Convert + grid/increments from double to Coord Since Coord is an integer unit, there will be (often severe) + precision errors until we convert the base unit to nm. + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/global.h: Remove unused variable + +2011-08-14 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/global.h, src/main.c: Remove broken --menu-file option The expected functionality is given by the option --pcb-menu for the + GTK+ GUI and lesstif GUI. + +2011-08-13 DJ Delorie * dj AT delorie dot com * + + * src/change.c, src/find.c, src/global.h, + src/hid/common/draw_helpers.c, src/misc.c, src/polygon.c, + src/search.c: Make toggling the HOLE flag reversible Closes-bug: lp-699483 When toggling the HOLE flag, the Thickness is no longer changed. + Instead, use PIN_SIZE() to select from Thickness or DrillingHole + accordingly, and use that throughout. Mask size is handled thusly: For tented vias, the mask is opened up + to just over the hole size. For untented vias, the mask gap is held + constant. Also, various minor changes to ensure that untented holes are drawn + correctly. + +2011-08-13 Jared Casper * jaredcasper AT gmail dot com * + + * src/action.c, src/create.c, src/create.h, src/file.c, src/main.c, + src/parse_y.y: refdes labels in new layout can't be moved. The .pcb file that gsch2pcb creates does not have a font in it. + When loading files, the bounding box for all the text is calculated + as the file is read. In the case that there is no font, this is + before the default font is installed, so the bounding box is way too + small. This patch remedies this by making all new PCB structures contain + the default font by calling CreateDefaultFont in CreateNewPCB + (CreateDefaultFont now takes in a PCBTypePtr instead of using the + global PCB). Previously, each time CreateNewPCB was called, + CreateDefaultFont was called soon thereafter. In the case of loading a PCB from a file. The default font in the + newly created struct PCB is marked invalid but not removed. If the + .pcb file contains a font, the default font will be overwritten by + the file's font. If it does not, PCB->Font will still be invalid + after LoadPCB, a message is displayed that the default font is being + used, and PCB->Font.Valid is set to true. Also fixes a related bug where the memory for a symbols lines wasn't + being cleared when a new file was brought into place. Previously, + this only happened if a new Font was loaded into an existing PCB. + Now the font will be replaced every time a file with symbol + information is loaded. Rebased to actual git-head by Felix Ruoff Closes-bug: lp-699478 + +2011-08-13 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c, src/hid/lesstif/lesstif.h, + src/hid/lesstif/library.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/lesstif/netlist.c, + src/hid/lesstif/styles.c: Centralize Lesstif XmStringCreate* Closes-bug: lp-699472 To save time in the future, all XmString creation is done via + XmStringCreatePCB which is a macro in lesstif.h. Meanwhile, this + macro is defined to XmStringCreateLtoR instead of + XmStringCreateLocalized. + +2011-08-13 Cesar Strauss * cstrauss AT not2005 dot cea dot inpe dot br * + + * Makefile.am, README.w32, configure.ac, w32/.gitignore, + w32/Makefile.am, w32/README, w32/build-all.sh, w32/minipack.conf, + w32/mpk, + w32/patches/gd/0001-Export-sysbols-when-building-as-a-DLL.patch, + w32/patches/gd/0002-Libtool-requires-no-undefined-to-build-a-DLL.pa + tch, + w32/patches/gd/0003-Avoid-conflit-between-libjpeg-and-windows-heade + rs.patch, w32/patches/gettext/01-revert-sed-string.patch, + w32/patches/gettext/02-Avoid-missing-open-argument-error.patch, + w32/patches/gtk+/01-mousewheel.patch, + w32/patches/zlib/01-shared-lib-support.patch, + w32/patches/zlib/02-cross-build.patch, w32/recipes/atk.recipe, + w32/recipes/cairo.recipe, w32/recipes/gd.recipe, + w32/recipes/gettext.recipe, w32/recipes/glib.recipe, + w32/recipes/gtk+.recipe, w32/recipes/jpeg.recipe, + w32/recipes/libiconv.recipe, w32/recipes/libpng.recipe, + w32/recipes/pango.recipe, w32/recipes/pcb.recipe, + w32/recipes/pixman.recipe, w32/recipes/tiff.recipe, + w32/recipes/zlib.recipe, w32/tools/mpk-build, w32/tools/mpk-clean, + w32/tools/mpk-config.guess, w32/tools/mpk-help, + w32/tools/mpk-install, w32/tools/mpk-remove, w32/tools/mpk-shell, + w32/tools/mpk-source, w32/tools/mpk-unpack, w32/tools/mpk-version, + w32/tools/tool.template: Add Windows cross-build script. Closes-bug: lp-699494 + +2011-08-13 Cesar Strauss * cstrauss AT not2005 dot cea dot inpe dot br * + + * Makefile.am, README.win32, configure.ac, win32/.cvsignore, + win32/Makefile.am, win32/Readme.txt, win32/build_pcb, + win32/extract_gtk_win32, win32/pcb.nsi.in, + win32/registerExtension.nsh: Remove previous Windows build script. Affects-bug: lp-699494 + +2011-08-10 DJ Delorie * dj AT delorie dot com * + + * src/action.h, src/autoplace.h, src/autoroute.h, src/box.h, + src/buffer.h, src/change.h, src/clip.h, src/command.h, + src/compat.h, src/const.h, src/copy.h, src/create.h, + src/crosshair.h, src/data.h, src/dbus-pcbmain.h, src/dbus.h, + src/djopt.h, src/draw.h, src/edif_parse.h, src/error.h, src/file.h, + src/find.h, src/global.h, src/heap.h, src/hid.h, + src/hid/common/actions.h, src/hid/common/hid_resource.h, + src/hid/common/hidgl.h, src/hid/common/hidinit.h, + src/hid/common/hidnogui.h, src/hid/gcode/lists.h, + src/hid/gtk/gtkhid.h, src/hid/gtk/gui-drc-window.h, + src/hid/gtk/gui-library-window.h, src/hid/gtk/gui-pinout-preview.h, + src/hid/gtk/gui.h, src/insert.h, src/intersect.h, src/line.h, + src/lrealpath.h, src/macro.h, src/mirror.h, src/misc.h, src/move.h, + src/mtspace.h, src/mymem.h, src/parse_l.h, src/pcb-printf.h, + src/polyarea.h, src/polygon.h, src/print.h, src/rats.h, + src/remove.h, src/report.h, src/resource.h, src/rotate.h, + src/rtree.h, src/rubberband.h, src/search.h, src/select.h, + src/set.h, src/strflags.h, src/thermal.h, src/toporouter.h, + src/undo.h, src/vector.h, src/vendor.h: Fix header guard macro names Closes-bug: lp-699161 Make all headers use standard-compliant guard macro names. + +2011-08-10 DJ Delorie * dj AT delorie dot com * + + * configure.ac, src/hid/lesstif/main.c, src/hid/lesstif/xincludes.h: + Detect XRender + Xinerama to avoid BadMatch Closes-bug: lp-699251 This seems to happen when your X server is using both Xinerama and + XRender, and only affects the XRenderChangePicture() call with a + clip_mask. So, I added code to detect Xinerama+XRender and disable + XRender if they're found. + +2011-08-10 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Don't set FOUND flag on silk lines. Closes-bug: lp-699291 + +2011-08-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Clean up ghid_pan_fixup () + +2011-08-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Re-write some routines with + the new ghid_pcb_to_event_coords API. Cleans up ghid_set_crosshair() and Center() in gtkhid-main.c + +2011-08-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gui.h: hid/gtk: Add API to convert pcb units into + drawing widget coordinates. + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c: misc.c: Remove comment missed from commit e82ad9b + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/macro.h: macro.h: Remove now unused SCREEN_SIGN_{X,Y} macros + +2011-07-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui.h: hid/gtk: + Implement an API to draw the user into a particular location This is renderer-specific, but the general idea is to help the user + find a particular location on the board. + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c, src/select.c, src/select.h: Move + the SelectPin() function into the file of its only caller. The new function is in hid/gtk/gui-netlist-window.c, and is called + toggle_pin_selected (). Whilst we're at it, simplify the function, removing its always- + constant argument and condense the redrawing calls. + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Remove unused parser token F_Scroll + ("Scroll") + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/action.h: action.c: Remove unused action + "MovePointer" + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-misc.c: hid/gtk: Remove dead "MovePointer" + keyboard navigation code. This was not working correctly anyway, as the action was not + properly registered. Obviously no-one missed it, so let it die. Whilst we are here, kill off the hard-coded panning actions in this + sub-main loop. Eventually, we should use common code for any + keyboard navigation. This only affects operation of the ghid_get_user_xy() function, e.g. + the one which temporarily greys out the PCB user interface whilst + waiting for the user to supply a location. + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Simplify ActionMovePointer() The save / restore of the crosshair coordinates is unnecessary since + we are emitting the appropriate change notifications. + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Remove dead code, IgnoreMotionEvents + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/find.c, src/hid/gtk/gui-drc-window.c, + src/misc.c, src/misc.h, src/select.c: misc.c: Remove unused "delta" + argument from CenterDisplay() All the callers are passing absolute locations. + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * po/POTFILES.in, src/Makefile.am, src/gpcb-menu.res, + src/gpcb-menu.res.in, src/pcb-menu.res, src/pcb-menu.res.in: + Generate pcb-menu.res and gpcb-menu.res from ".in" files No functional changes here, just a preliminary cleaning before + adding the topological autorouter to the menus. Doing so requies + conditional inclusion of certain lines, as the toporouter is not + always built. Based on a patch by Stanislav Brabec * sbrabec AT suse dot cz * Affects-bug: lp-812429 + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/pcb-menu.res: pcb-menu.res: Fixup some more whitespace + +2011-07-18 Stanislav Brabec * sbrabec AT suse dot cz * + + * src/action.c: RipUp(): Rip arcs created by toporouter. Toporouter creates not only lines but also arcs. Rip up all auto + routed tracks should rip these arcs as well. Closes-bug: lp-812380 Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * po/POTFILES.in: POTFILES.in: Add src/crosshair.c and + src/autoroute.c We were missing translations before. "make distcheck" now passes ;) + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * doc/Makefile.am: doc: Don't make any DVI targets We don't have rules to build all the prerequisites, and we don't + care about DVI. This is one of the issues causing "make distcheck" + to fail. + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * doc/Makefile.am, doc/gs/Makefile.am: doc: Remove execute + permissions on Makefile.am and gs/Makefile.am + +2011-08-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/gpcb-menu.res, src/pcb-menu.res: Clean up whitespace in + [g]pcb-menu.res + +2011-08-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Fix layer check for off-grid line + snapping in line-draw mode + +2011-08-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Revise heuristic for snapping on + off-grid lines Only snap to an off-grid section of a line when: Drawing lines on the same layer as the one being snapped to When + manipulating the end-point of a _different) line on the same layer + as the one being snapped to. This should hopefully reduce the tendancy of the off-grid-line code + to cause lots of unnecessary snapping. + +2011-08-01 Gabriel Paubert * paubert AT iram dot es * + + * src/hid/lesstif/main.c: Correct parameter order in Distance + function in hid/lesstif/main.c in src/did/lesstif/main.c, the Distance function is called with the + parameters in the wrong order. This small patches fixes the problem. I still think that the format + is wrong, or at least the rounding to integer mm/mil taking only the + grid into account, but I've not yet decided on how to fix it. The + worse is that often the display is wrong because the decimal part is + truncated (displaying say -24 between two grid points on a 5mil + grid). Gabriel + +2011-07-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/png/png.c: hid/png: Increase the maximum allowable DPI of + the exporter Sometimes for rendering fiddly boards, you just need the detail. Move the arbitrary 1000 dpi limit to still arbitrary, 10000 dpi. + +2011-07-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/ps/ps.c: hid/ps: Fix arc drawing since pcb_printf patch The last parameter to the arc macro we use for drawing arcs is + unitless, so should be a double. This was broken by: commit fa9ae1f6b1eabaab961795ce7be53afe46eaa735 Convert ps/eps/lpr HID's to use pcb-printf I've reverted the change, and added an extra (double) cast compared + to what was there before, I'm not sure we wouldn't get an integer + division without it. One day I should learn these type promotion / + casting rules properly! ;) + +2011-07-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Add a + shutdown function to the renderer backends This will be necessary to stop any event handlers / timers which + should not fire as the GUI is being shut down. This code isn't actually very "live" at the moment, as the place + I've hooked up its trigger (the destroy event of the main window), + doesn't actually appear to get called. This seems to be because the core "Quit" action just kills the + program dead, rather than attempting to shut down the GUI. + +2011-07-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c: hid/gtk: Do not run a main loop + from within the netlist window code. It turns out that this is a really bad idea.. if the main loop is + kept busy, the new main-loop never exits and the events can become + reentrant. I discovered this when testing code for an animation which used the + main event loop for its timing. Each time a node was selected in the + netlist window, stack-frame would grow - as the new nested main + loops never became idle. Segfaults ensued quite readily, presumably + due to the code not being designed to be reentrant. It appears from reading the code, that these: while (gtk_events_pending ()) /* Make sure everything gets + built */ gtk_main_iteration (); Are not actually necessary. + +2011-07-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c: hid/gtk: Skip check before + calling ghid_netlist_window_create If the window already exists, ghid_netlist_window_create() is a + NOOP, so there is no point in checking twice. + +2011-07-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui.h: hid/gtk: + Remove unused function ghid_netlist_nodes_update () + +2011-07-25 DJ Delorie * dj AT delorie dot com * + + * src/default_font: Tweak default font. Minor changes to various glyphs to improve readability and + legibility, such as making V look less like U, or 8 less like 0. No + changes to font metrics, bounding boxes, or spacing. + +2011-07-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Fix up paning when flipping + the board. I'm not sure when this got broken, but it was probably my fault ;) + +2011-07-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : commit f9ad6634a55f7f79106945e3307aafe0d39eae61 Author: Andrew + Poelstra * asp11 AT sfu dot ca * Date: Mon Jul 25 00:33:23 2011 + -0700 + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : hid/gtk: Refactor viewport handling + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Fixup Zoom() and zoom_by() so + they don't ignore passed coordinates. The Zoom() action was passing bogus coordinates (in pixels), and + zoom_by() was ignoring the passed coordinates and just using the + mouse pointer location last recorded in gport->pcb_x and + gport->pcb_y. Fix zoom_by() to use the passed coordinates, fix Zoom() to not + mangle the passed board coordinates into screen space.. zoom_by() + wants board coords. Finally, remove a special case from the Zoom() action where if the + passed coordinates were both zero, we would pass the PCB coordinates + of the board center. I'm hopeful that things will "just work" + without this. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui.h: hid/gtk: Introduce a zoom_fit() function, remove + duplicated code. Several places in the code invoke a "zoom_fit" type function by + calling zoom_to() or ghid_port_ranges_zoom() with bogus parameters. Implement a specific function which restricts this to one location, + then remove the now redundant ghid_port_ranges_zoom(), which + basically just duplicated the code from zoom_by() with an added + check for a 0.0 zoom factor to trigger the zoom-to-fit feature. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Add missing static parameter + to zoom_by() function. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Some cleanups to the zoom_to() + function Still confusing, but at least its not the fault of the code + formatting now. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Remove old debugging code from + zoom_to and zoom_by() functions This code was adding to clutter in the functions, and appears in + some cases to be bit-rotten. (The coordinates it operated on don't + appear to be have been consistent with the current GUI code). + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui.h: hid/gtk: + Abstract away event coordinate conversion to PCB base units + +2010-12-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui.h: hid/gtk: Refactor semantics of, and rename + view_x, view_y coordinates Rather than storing pointer coordinates in the imaginary "view" + system, where the coordinates (in PCB base units) represent that of + an unflipped board, store them such that they are directly + meaningful on the board. As they now directly represent the cursor coordinats on the actual + board, they don't require passing through the SIDE_{X,Y} macros + before use with APIs that expect board coordinates. To avoid confusion, view_x and view_y have been renamed to pcb_x and + pcb_y to reflect the change of semantics. In a number of cases, SIDE_{X,Y} macro calls were added to preserve + correct functionality of old code which manipulates the mouse + position in "view" coordinates. These are primarily concerned with + zooming and panning. Also rename the confusingly titled VIEW_{X,Y} macros to + EVENT_TO_PCB_{X,Y} + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui.h: hid/gtk: Rename {x,y}_crosshair to + crosshair_{x,y} (Just because!) + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: gtk+gl: Convert crosshair drawing to use + PCB world coordinates Whilst I'm at it, add a z-coordinate to the crosshair drawing + functions to future proof them for when we add some 3D effects. + +2011-07-08 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/file.c, src/global.h, src/pcb-printf.c: Introduce Coord/Angle + types, convert global.h and pcb-printf to use it For now Grid (in PCB and Settings) and its increments are still + doubles to avoid serious breakage. Will change these to Coords in a + separate commit. Also add %ma spec for Angle, tell file.c to use it, to prevent + printf problems with Angle when changing ctypes. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * gts/boolean.c, gts/cdt.c, gts/edge.c, gts/partition.c, + gts/split.c: gts: Fixup warnings due to assigned but unused + variables As I'm not 100% familiar with this code, I have commented out some + of the redundant code rather than deleting it completely. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c: hid/common/hidgl.c: Cast the function + pointers passed to gluTessCallback() Silences compiler warnings about incompatible pointer types + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/line.c: line.c: Fix a bogus compiler warning regarding a (X + + c) >= X being always true. line.c: In function β€˜EnforceLineDRC’: line.c:491:7: warning: + assuming signed overflow does not occur when assuming that (X + c) + >= X is always true [-Wstrict-overflow] It would appear that gcc (4.6.1-5ubuntu1) is identifying the + conditional portions of INDEXOFCURRENT which would always evaluate a + particular way if a given condition is met. It is "probably" safe to + assume that this warning manifests due to gcc considering each + portion of the INDEXOFCURRENT definition in turn. It appears we can avoid this particular warning by temporarily + assigning INDEXOFCURRENT into a variable before we test with it. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/toporouter.c: toporouter.c: Fixup warnings due to assigned but + unused variables As I'm not 100% familiar with this code, I have commented out some + of the redundant code rather than deleting it completely. + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/undo.c: undo.c: Fixup warnings due to assigned but unused + variables + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rtree.c: rtree.c: Fixup warnings due to assigned but unused + variables Adds a nasty cludge using #ifndef NDEBUG around a variable + assignment which is only used in a later assert() statement. (NB: + assert() evaluates to nothing if NDEBUG is defined). + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/print.c: print.c: Fixup warnings due to assigned but unused + variables + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/puller.c: puller.c: Fixup warnings due to assigned but unused + variables + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: polygon1.c: Fixup warnings due to assigned but + unused variables Adds a nasty cludge using #ifndef NDEBUG around a variable + assignment which is only used in a later assert() statement. (NB: + assert() evaluates to nothing if NDEBUG is defined). + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/fontmode.c: fontmode.c: Fixup warnings due to assigned but + unused variables + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c: find.c: Fixup warnings due to assigned but unused + variables + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/djopt.c: djopt.c: Fixup warnings due to assigned but unused + variables + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/copy.c: copy.c: Fixup warnings due to assigned but unused + variables + +2011-07-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: Fixup warnings due to assigned but + unused variables Adds a nasty cludge using #ifndef NDEBUG around a variable + assignment which is only used in a later assert() statement. (NB: + assert() evaluates to nothing if NDEBUG is defined). + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Fixup warnings due to assigned but unused + variables + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gcode/trace.c: hid/gcode: Fixup warnings due to assigned + but unused variables + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/bom/bom.c: hid/bom: Fixup warnings due to assigned but + unused variables + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-top-window.c: hid/gtk: Fixup warnings due to + assigned but unused variables + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Snap to points along off-grid lines + when drawing tracks This should greatly easy making tidy layouts where some lines have + (perhaps by necessity) ended up off-grid. This patch adds code to snap onto the center of a line. It finds the + nearest grid point to the cursor, then will allow snapping at the + intersections between the line in question and the lines of an + imaginary X and + centered on the nearest grid-point to the cursor. This allows neat drawing of horizontal, vertical and 45 degree lines + which will land correctly on the existing line. + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Rename some variables for clarity + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Always allow snapping to the element + mark (except in rat-draw mode) + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Don't snap to an element's mark in + rat-draw mode. + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Drop checks to ensure the crosshair + is inside the PCB It would seem that the MIN, MAX functions applied when setting + Crosshair.X and Crosshair.Y should already constrain the coordinates + such that the removed code was never triggered. It isn't obvious that these removed checks are even correct, or are + being triggered. Drop the checks in the hope that the now simplified + code continues to "just work" without the special casing. + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/parse_y.y: parse_y.y: Remove unused GRIDFIT macro + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: Try snapping to element marks first, as they are + only a weak snap. Because the element mark is never chosen in preference to a closer + grid point, we should try snapping to it first - rather than last. Currently, we might have a crosshair location where (say), a pad is + snapped to in preference to the nearest grid-point, then the element + mark is tested and snapped to because it is closer than the pad. + This can occur even when there is a closer grid point than the + element mark, since the grid snap (nearest_is_grid == true) has + already been discarded by the snap to the pad. + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: Refactor crosshair snap code to reduce + duplication and improve readability + +2011-07-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/search.c: Allow editing of ordinary text objects when element + names are hidden Closes-bug: lp-810678 + +2011-07-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-netlist-window.c: Fix crash in netlist window + caused by heirarchical netlists See http://archives.seul.org/geda/user/Jul-2011/msg00133.html + +2011-07-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: Remove special grid-change handling + from Gtk + +2011-07-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/lesstif/dialogs.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c: Convert lesstif hid to use pcb-printf Should be no user-visible changes, except this bug: Closes-bug: + lp-805273 + +2011-07-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c: Add support for + and .* subspecifiers in + pcb-printf + +2011-07-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/lesstif/main.c: Clean up coords_to_widget() in + lesstif/main.c The coords_to_widget() function is used in exactly two places, for + different purposes. To differentiate between the two uses, the + ``state'' parameter is set to -1. The parameter is confusing enough without overloading it in this + way. Therefore I have split the function into two: mark_delta_to_widget cursor_pos_to_widget Further, I have converted the code inside the functions to use + g_printf_strdup instead of a fixed buffer. The functions should both + be easier to read now than the original. There is one small change to user-visible output, which I think is + justified: if you have a very small metric grid (<= 5um), the + mark_delta output now uses .3f for both cartesian and radial + display. Before it would use .3f for cartesian, .2f for radial. + +2011-07-01 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog-size.c, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui-top-window.c: Convert gtk + gui to use pcb-printf There should be no user-visible changes. Note that as long as base units are cmils, the .9999 bug in the + cursor status is back. This is not a problem with smaller base units + (I am using 17nm and all is okay.) Note also that the report dialogs are entirely based on report.c, so + that is not part of this commit. + +2011-06-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/ps/eps.c, src/hid/ps/ps.c: Convert ps/eps/lpr HID's to use + pcb-printf The postscript HID now uses pcb-printf to output all units as inches + rather than cmils; therefore the default scale is now 1:1 rather + than 1:10000. Therefore there will be a change in raw ps output but + not in printed output. As inches are output with 5 digits after the decimal point, there + will be no loss in precision, though in future this will be easy to + increase. Also, minor code cleanups in ps.c to reduce global state and make + global variables more visible. + +2011-06-28 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c: Make pcb_fprintf ignore NULL file handle There is a lot of code in the HID's that looks like if (f != NULL) fprintf (f, "..."); I have moved the NULL check inside pcb-printf to remove this + repetition/potential mistake. + +2011-06-26 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/file.c: Make sure scale/direction are not output as measures + in file.c + +2011-06-25 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/report.c: Fix typo in unplated hole output in report.c + +2011-06-22 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/toporouter.c: Convert toporouter trace code to use pcb-printf There is still a fair amount of trace code outputting measurements + that are floating-point values. I left these alone since they will + work independently of the actual type of BDimension. Everything should still be output in base units. + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/polygon.c, src/polygon1.c: Convert polygon and polygon1.c + debug code to use pcb-printf + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/puller.c: Convert puller.c trace code to use pcb-printf Minor changes to trace output (addition of parens, mainly). Should + be no user-visible output. No longer assumes BDimension == int. + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/djopt.c: Convert djopt.c to use pcb-printf No change in user-visible output. Debug output is slightly changed since pcb-printf likes to put + parens around tuples, so "%d,%d" is now effectively "(%d, %d)". + Debug output is all base units, so the numbers will not change until + the base unit size is changed. + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/autoroute.c: Convert autoroute.c debug code to use pcb-printf Should be no change in output. Only change is that we no longer + assume BDimension == int. + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/action.c: Change action.c *WARN* lines to use pcb-printf Now instead of outputting unsuffixed cmils, warnings about + locked/unnamed elements will refer to the part's position in either + mm or mil, with an appropriate suffix. Non-warning-related output is unchanged. + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/file.c: Convert file.c to use pcb-printf for cmil output file.c now uses pcb-printf, though in a limited way: everything is + still output in unsuffixed cmils, though this is now independent of + pcb's internal unit size. I have also removed the old-style output for symbols. Aside from that, everything should be the same. Any other change in + output, or file format incompatibility of any kind, is a bug. + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c, src/pcb-printf.h: Add # subspecifier to + pcb-printf to prevent scaling for debug output + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/puller.c: Convert puller.c to use Distance() intead of its own + function + +2011-06-21 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c, src/pcb-printf.h: Add pcb_printf to pcb_*printf + family of functions + +2011-06-19 Krzysztof KoΕ›ciuszkiewicz * k dot kosciuszkiewicz AT gmail dot com * + + * doc/Makefile.am: doc: do not distribute dvi files DVI files cannot be generated without LaTeX, and since commit + 3afdb7efdaf6cc8094cc6143269e7df519183197 only pdfLaTeX is supported. + +2011-06-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/lesstif/menu.c: Allow 1...9 and Ctrl+1...9 accellerators + for silk/rats in lesstif + +2011-06-19 Levente Kovacs * leventelist AT gmail dot com * + + * src/find.c, src/global.h: gEDA-user: skpi_drc patch On Fri, 17 Jun 2011 17:30:22 -0400 DJ Delorie * dj AT delorie dot + com * wrote: > You want the (already global) AttributeGet() function. > > + l->no_drc = AttributeGet (l, "PCB::skip-drc") != NULL; > > This does + assume that the attribute has *some* value, even if the > value is + the empty string. Thanks for pointing this out. Attached is the new patch. Levente -- Levente Kovacs http://levente.logonex.eu + +2011-06-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Lesstif: ignore crosshair changes before + window exists. + +2011-06-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gui-top-window.c: Allow 1..9 accelerator keys to be + applied to non-copper layers in gtk Closes-bug lp-699327 + +2011-06-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/report.c: Change report.c to use pcb-printf Right now there should be no change in the output from report.c; + this is essentially just a code cleanup. The prec/UNIT nastiness is + cleaned up -- precision is handled by the defaults in pcb-printf (.2 + for mil, .4 for mm, same as before) and unit selection is done with + the %m+ specifier. It's easy now to expand to allow auto-scaling or even automatic + selection of metric/imperial based on sig. figs., but I've played + around with this and it looks like mixing units is confusing and + hard-to-read, so we're sticking with just one of mm/mil for now. + +2011-06-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c, src/pcb-printf.h: Add %m+ specifier to + pcb-printf As long as our base units are cmils, allowing pcb-printf to guess + the most natural units for displaying measures is a bad idea -- it + cannot reliably count significant figures for many values. The + result, for example, in report.c, is inconsistent and confusing + dialogs with a mix of metric and imperial measurements. The %m+ specifier is used to force pcb-printf to only use certain + units; in this case, the user's gui setting of metric/imperial. + +2011-06-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/misc.c, src/misc.h: Add Distance() function to avoid overflow + with sqrt(x*x + y*y) + +2011-06-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/move.c: Fix typo (Polygon++ ==> PolygonN++) in src/move.c Closes-bug: lp-796059 + +2011-06-19 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: Set file pointer to NULL after closing in + gerber.c Closes-bug: lp-795734 When cleaning up the gerber HID, I had changed the file pointer used + in maybe_close_f from a global to a (local) argument -- which made + the line ``f = NULL'' effectively a no-op. However, the global variable f does need to be set to NULL for the + code to recognize that the file is closed; otherwise it tries to + keep using the (now invalid) file handle, causing crashes whenever + the gerber HID is used more than once. This is now done after every call to maybe_close_f(), rather than + depending on the function itself to have side effects. + +2011-06-17 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Check for empty layers in photo mode Now that the default stackup is for six layer boards, the PNG photo + mode output needs to check to see if the user has used the inner + layers, and automatically adapt - so that a two layer board *looks* + like a two-layer board. + +2011-06-17 Gabriel Paubert * paubert AT iram dot es * + + * src/hid/gerber/gerber.c, + tests/golden/hid_gerber3/arcs.plated-drill.cnc: Fix printf specifier + for gerber drill output + +2011-06-16 Andrew Poelstra * asp11 AT sfu dot ca * + + * configure.ac, tests/golden/Makefile.am, + tests/golden/hid_gerber3/Makefile.am, + tests/golden/hid_gerber3/arcs.bottom.gbr, + tests/golden/hid_gerber3/arcs.fab.gbr, + tests/golden/hid_gerber3/arcs.group1.gbr, + tests/golden/hid_gerber3/arcs.group4.gbr, + tests/golden/hid_gerber3/arcs.plated-drill.cnc, + tests/golden/hid_gerber3/arcs.top.gbr, tests/inputs/Makefile.am, + tests/inputs/gerber_arcs.pcb, tests/tests.list: Add hid_gerber3 test + to check arc rendering + +2011-06-16 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: Re-insert casts to unit conversion macros + in gerber.c + +2011-06-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: Convert gerber hid to use pcb-printf + +2011-06-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gcode/gcode.c: Convert gcode hid to use pcb-printf + +2011-06-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/bom/bom.c: Convert BOM hid to use pcb-printf + +2011-06-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/pcb-printf.c, src/pcb-printf.h: Correct handling of %.*f in + pcb-printf, remove from known issues + +2011-06-12 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/batch/batch.c: Convert batch HID to use pcb-printf + +2011-06-11 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/Makefile.am, src/pcb-printf.c, src/pcb-printf.h: Add + pcb-printf.c to allow custom specifiers for unit output From the header: /* This file defines a wrapper around sprintf, that * defines new specifiers that take pcb BDimension * objects as input. * * The new specifiers are: * %mm output a measure in mm * %mM output a measure in scaled (mm/um) metric * %ml output a measure in mil * %mL output a measure in scaled (mil/in) imperial * %ms output a measure in most natural mm/mil units * %mS output a measure in most natural scaled units * %md output a pair of measures in most natural mm/mil units * %mD output a pair of measures in most natural scaled units * %m3 output 3 measures in most natural scaled units * ... * %m9 output 9 measures in most natural scaled units * %m* output a measure with unit given as an additional * const char* parameter * %mr output a measure in a unit readable by parse_l.l * (this will always append a unit suffix) * * These accept the usual printf modifiers for %f, * as well as the additional modifier $ which is * used to output a unit suffix after the measure. * * KNOWN ISSUES: * No support for %zu size_t printf spec * No support for .* subspecifier for pcb specs */ + +2011-06-09 DJ Delorie * dj AT delorie dot com * + + * globalconst.h, src/buffer.c, src/global.h, src/parse_y.y: Fix + shift/reduce conflicts Closes-bug: lp-794743 I moved the interpretation of what "measurement" means even further + up the heirarchy, by storing the original integer part as well as + the units in a structure, and applying the new/old/unitless meanings + where they're used. This way, there's no conflicts between a number + which is a measurement, and a number which is a flag or mask, for + the old formats that differ only in the number of parameters. I also cleaned up the parser rules for "zero or more" type lists, to + remove most of the remaining conflicts. There's still one at the + toplevel because we try to parse different types of files with the + same parser. Lastly, the symbol rules were combined into a "symbol head" rule + with a common "symbol body" rule. As a side effect, we can now reliably use units on old-style formats + again, since the *100 scale factor is only applied if the units are + not specified. + +2011-06-06 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/autoroute.c, src/macro.h: Remove memory allocation from + src/macro.h When we started using GLists for various objects, we also changed + the looping macros to allocate copies of the list in order to avoid + problems with list integrity in case items were removed during the + iteration. However, this caused memory leaks whenever there was an early return + from the middle of the list. Bug lp-792139 shows pcb using over 2Gb + of memory and crashing when optimizing rats on a large board. This patch saves the next pointer before the loop body, rather than + allocating a whole new list, to handle element deletions. Closes-bug: lp-792139 + +2011-06-01 Krzysztof KoΕ›ciuszkiewicz * k dot kosciuszkiewicz AT gmail dot com * + + * configure.ac, doc/Makefile.am, doc/gs/Makefile.inc: doc: use + pdflatex instead of latex + +2011-06-01 Krzysztof KoΕ›ciuszkiewicz * k dot kosciuszkiewicz AT gmail dot com * + + * doc/Makefile.am, doc/gs/Makefile.inc: doc: skip generation of GIF + images + +2011-06-04 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/file.h, src/parse_l.l, src/parse_y.y: Add support for reading + unit suffixes in parser Bumped PCB_FILE_VERSION up to 20110703. Removed old unit-reading code from parse_l.l since it was missing + several units that we plan to support, and the scaling was backward + for non-base units. Also, we now read all numbers as doubles, except those that need + integers (array indices and flags, mainly). All measurements are + round()ed to ints, after scaling, since that's what BDimension is + and we don't want anomalies. The old NUMBER and FLOAT terminals in parse_y have been replaced by: 1. INTEGER - an integer, unscaled 2. number - floating or integer, unscaled 3. measure - a (possibly suffixed) measurement, with non-suffixed measurements read in cmils 4. oldmeasue - non-suffixed measurement, read in mils One exception is the PolyArea[] field. This is still read in cmil^2 + until we figure out what to do with it. This way the parser works independently of PCB's internal base + units, and supports umil, cmil, mil, in, nm, um, mm, m and km unit + suffixes. The parsing code should also be clearer now since not + everything is NUMBER, with scale factors handled after-the-fact with + /100's or in the lexer. Additionally, we now allow floating-point values for all + measurements (even old-style mil fields), and pcb will allow spaces + between measurements and their suffixes. Actually using these + ``features'' will probably break tools that read .pcb files, though. + +2011-05-27 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi: Replace @extend with @noindent in docu-source If the @extend keyword is used to remove an intentation, the text + will have a linebreak, where the source has one. If @noindent is + used instead, the lines will be breaked as in normal paragraphs. + +2011-05-25 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Fix docu for 'ImportGUI()' + +2011-05-27 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi: Docu: Remove incomplete irritating sentence + +2011-05-31 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi: Remove repeated word in documentation + +2011-05-17 Alberto Maccioni * alberto dot maccioni AT gmail dot com * + + * doc/Makefile.am, doc/gcode.pcb, doc/gcode_control_img.eps, + doc/gcode_tool_path.eps, doc/pcb.texi: Add documentation for G-Code + export GUI This patch contains the documentation changes from lp:699476. It + adds a new manual section on exporters and documents the gcode + exporter. Sample pcb file is added with control image and the + resulting toolpath. Reviewed-by: Felix Ruoff * Felix AT posaunenmission dot de * + Reviewed-by: Krzysztof KoΕ›ciuszkiewicz * k dot kosciuszkiewicz AT + gmail dot com * Closes-bug: lp-699476 + +2011-05-24 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: gEDA-dev: + gerber-cleanup-0006-Change-a-couple-more-0-s-to-NULL-s.patch + +2011-05-24 Andrew Poelstra * asp11 AT sfu dot ca * + + * globalconst.h, src/hid/gerber/gerber.c: gEDA-dev: + + gerber-cleanup-0005-Remove-old-now-unused-aperture-structures-functions.patch + +2011-05-24 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: gEDA-dev: + + gerber-cleanup-0004-Use-new-aperture-functions-output-100-equal-to-origi.patch + +2011-05-24 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: gEDA-dev: + + gerber-cleanup-0003-Add-new-aperture-functions-but-don-t-use-them.patch + +2011-05-24 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: gEDA-dev: + + gerber-cleanup-0002-Remove-global-variable-dependence-from-maybe_close-a.patch + +2011-05-24 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: gEDA-dev: + gerber-cleanup-0001-Remove-unused-Aperture-structure.patch + +2011-05-25 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Fix tooltips Closes-bug: lp-786733 + +2011-05-25 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi, src/global.h: gEDA-user: PCB: option dumpmenu + missing A patch to remove this from documentation (and one variable from the + source) is appended. Kind regards, Felix Am 25.05.2011 19:11, schrieb DJ Delorie: > I think that option is a + leftover from my initial HID conversion. > > It would have been + lesstif-specific anyway, the gtk hid has its own > menu file + (gpcb-menu.res, same locations) > > > + _______________________________________________ > geda-user mailing + list > geda-user AT moria dot seul dot org > + http://www.seul.org/cgi-bin/mailman/listinfo/geda-user > >From 94458c63ae97135964c41f4966b9cdf65ee4ec27 Mon Sep 17 00:00:00 + 2001 From: Felix Ruoff * Felix AT posaunenmission dot de * Date: + Wed, 25 May 2011 14:36:34 +0200 Subject: [PATCH 50/50] Remove + commandline-option 'dumpmenu' from docu and source + +2011-05-23 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gerber/gerber.c: gEDA-dev: Gerber hid code cleanup New patch is as follows (sizeof and casts fixed): + +2010-03-18 Newell Jensen * pillar2012 AT gmail dot com * + + * src/hid/png/png.c: hid/png: draw zero-length arcs properly on + export Closes-bug: lp-699314 Reviewed-by: Patrick Bernaud * patrickb AT + chez dot com * Reviewed-by: Krzysztof KoΕ›ciuszkiewicz * k dot + kosciuszkiewicz AT gmail dot com * + +2008-01-04 Wojciech Kazubski * wk0 AT o2 dot pl * + + * lib/geda.inc, lib/misc.inc: add more crystal footprints to m4 + library Currently m4 symbols contain one footprint for crystal holder + (HC49), wich is not accurate, pin spacing is a bit too big and the + outline is too small. This patch adds several m4 crystal holder footprints of different + size, 2 or 3 pin, both standing and laying. Closes-bug: lp-699440 Reviewed-by: Bert Timmerman * bert dot + timmerman AT xs4all dot nl * Reviewed-by: Krzysztof + KoΕ›ciuszkiewicz * k dot kosciuszkiewicz AT gmail dot com * + +2011-01-02 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c: hid/gtk: use + GTK dialog for confirming file-overwrite Since GTK 2.8 GTK provides an dialog for confirming + file-overwriting. This dialog will be introduced by this patch. + Needless code which was used for this will be removed. Closes-bug: lp-699508 Reviewed-by: Krzysztof KoΕ›ciuszkiewicz * k + dot kosciuszkiewicz AT gmail dot com * + +2011-01-09 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/refcard.tex: Fix refcard (missing footnote and add rotate + buffer) The shortcut 'shift-F7' for rotating paste buffer by 90 degree is + added as suggested in bug LP-699391 (formerly sf-1112590). Fix footnote used in the tabular environment. Closes-bug: lp-699391 Reviewed-by: Krzysztof KoΕ›ciuszkiewicz * k + dot kosciuszkiewicz AT gmail dot com * + +2011-05-17 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi: Fix doku for invisibleObjectsColor (color) Closes-bug: lp-699306 + +2011-04-24 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/gpcb-menu.res: Fix warning for gtk describing menuitems With GTK+ there are no 'describing menuitems' avaiable (the + out-grayed items in lesstif-GUI). For this reason, the items in + gpcb-menu.res are ignored and a warning is displayed at the + message-log. This patch fixes this by removing these describing menuitems for the + gtk-GUI and renamed the described items to a more explaining label. + It also added mnemonics for these menu-items. Closes-bug: lp-769815 + +2010-12-28 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Cleanup conditional code + because GTK 2.12 is required now Closes-bug: lp-699510 + +2011-05-20 Colin D Bennett * colin AT gibibit dot com * + + * src/draw.c: Fix typo exporting plated vs unplated drills. Closes-bug: lp-785463 + +2011-05-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Don't register the PNG hid if there are no file + formats to use. In some cases, the GD library may not have any usable image formats + for us to use, resulting in an empty filetypes[] table. Rather than + trying to accomodate an empty table during export, it's easier (and + perhaps better) to simply not register the PNG hid in that case. + Thus, the exporter is simply not available when the table is empty. + +2011-03-23 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/file.c: Ignore *.pcb files on generating library Closes-bug: lp-699261 + +2011-05-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/flags.c, src/hid/gcode/gcode.c, + src/hid/gerber/gerber.c, src/hid/hidint.h, src/hid/nelma/nelma.c, + src/hid/ps/ps.c: Add option to specify gerber output file style. --name-style Naming style for individual gerber files Default is "fixed" which uses a fixed name (foo.top.gbr) for each + layer. "single" uses the layer's name if there's exactly one layer + in that group, else the fixed name. "first" always uses the layer + name, choosing the first layer in each group for the name. "eagle" + mode adds a three-character suffix instead of a separate type and + extension. Affects-bug: lp-700877 + +2011-05-19 DJ Delorie * dj AT delorie dot com * + + * src/move.c: Avoid top/bottom issues with layer deletion. PCB does not currently support boards without a top or bottom, so + prevent the user from deleting them (they'd need to reassign the + top/bottom groups first). + +2011-05-19 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Fix Mark size math wrt unplated holes. When computing the Mark size, check for the first pin being a hole + and use the drill size rather than the copper size. + +2011-05-19 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/buffer.c: buffer.c: Update polygon r-tree when adding a + polygon to the buffer. This resulted in a crash when rotating a buffer containing a + polygon, as the polygon r-tree associated with the buffer was NULL + despite the polygon count being non-zero. Reported-by: Gabriel Paubert * paubert AT iram dot es * + +2011-05-18 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/move.c: move.c: Adjust the object counters when moving objects + between layers This fixes a crash introduced by commit + 2ce35292b9e96da38cb56878005aba20891689eb, "Convert board objects to + GLists of g_slice allocated memory" It doesn't fix entirity of the defect reported in that bug, but it + restores the prior behaviour where PCB would hang, not segfault. Reported-by: Colin Bennett * colin AT gibibit dot com * Affects-bug: lp-783640 + +2011-05-17 Gabriel Paubert * paubert AT iram dot es * + + * src/hid/gtk/gui-output-events.c, src/hid/lesstif/menu.c: Lesstif + + GTK HIDs: Ignore ISO Level3 shift modifier key This key press is found on some international keyboards (e.g. + Spanish). If we don't ignore it, PCB complains about the key not + being tied to an action when it is pressed. From the committer, Peter Clifton * pcjc2 AT cam dot ac dot uk * + ... Thanks to Gabriel for reporting this and providing the patch + for Lesstif. I have updated the patch to make the equivalent change + to the GTK HID as well. Signed-off-By: Peter Clifton * pcjc2 AT cam dot ac dot uk * + +2011-05-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/buffer.c: buffer.c: Fix crash in SmashBufferElement The element is allocated with g_slice_new, so must be free'd with + g_slice_free. Broken since commit 2ce35292b9e96da38cb56878005aba20891689eb: Convert board objects to GLists of g_slice allocated memory + +2011-05-16 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rtree.c, src/rtree.h: rtree.c: Remove unused r_substitute() + function This function was used to update r-trees when they contained objects + changed memory location. Since we no longer shuffle objects around + when we delete them, this function is no longer required. + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: GTK/GL: Draw pretty translucent polygons + in thindraw-poly mode Eventually we need some way to configure this.. it might be nice in + non-thindraw mode too. If people want thin-draw to gain extra speed, + rather than have it actually slower (due to fill + outline), then a + way to turn it off would also be useful. + +2011-05-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: GTK/GL: Add facility to set an alpha + multiplier for the current rendering + +2011-05-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: GTK/GL: Refactor alpha handling Replace "alpha_mult" in set_gl_color_for_gc () with just explicitly + calling this the "a" (alpha) value of the colour in question. + +2011-05-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: GTK/GL: Refactor GL colour setup handling + +2011-05-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c: hid/gtk: Remove + unused gc->erase parameter from GDK and GL renderers + +2011-05-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui.h: hid/gtk: Setup custom + polygon renderer for the GL HID only + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: gtk/gl: Don't set "magenta" for a NULL + color passed to ghid_set_color The code has a strdup (name), followed by a test for name == NULL. + If name _were_ ever NULL, the strdup would crash, so we can remove + this test. + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c: hid/common/hidgl.c: Use tags on circular + contours to render them faster This lets us avoid the polygon tesselator for circular contours, and + lets us render a different number of vertices in our appoximation + depending upon zoom level. + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c: hid/common/hidgl.c: Fix vertex array state + preservation Fixes a bug with crosshair attached objects not being visible when + the grid was rendered. Reported-by: Colin D Bennett * colin AT gibibit dot com * + Closes-bug: lp-780958 + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/hidinit.c, src/hid/common/hidnogui.c, + src/hid/common/hidnogui.h, src/hid/gcode/gcode.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/hidint.h, src/hid/lesstif/main.c, src/hid/lpr/lpr.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: Refactor application of the default hidnogui HID + handlers. Replace usage of apply_default_hid() just prior to registering a HID + with an early call to the new common_nogui_init() function which + pre- applies the defaults, rather than post-replacing NULL handlers. As this was the last user of the apply_default_hid() function, + remove it. Good riddance to yet another place which had to be + updated every time a new HID member was added. The default nogui HID is now constructed explicitly by a call to + nogui_hid_get_hid (), which is called from hid_init(). This is + different to the other HIDs, as the nogui "hid" is never explicitly + registered. + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c: hid/batch: #include + "hid/common/draw_helpers.h" which was missing Fixes a compiler warning about an implicitly declared function. + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lpr/lpr.c, src/hid/ps/ps.c, src/hid/ps/ps.h: hid/ps: + Provide helper function to fill in HID members useful to other HIDs This avoids setting up the drawing routines of the lpr HID with + apply_default_hid, which is a little opaque. + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidinit.c: hid/common: Remove unused function + hid_register_gui() GUIs are registered with the same API as exporter HIDs. + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am: src/Makefile.am: Use AM_CFLAGS instead of + overriding CFLAGS directly + +2011-05-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: Apply the + default hidnogui HID to the GUIs as well. This saves them having to re-implement NOOP implementations for + functions the "nogui" HID provides a non "CRASH;" implementation + for. + +2011-05-09 Ineiev * ineiev AT users dot berlios dot de * + + * src/hid/batch/batch.c: fix batch gui + +2011-05-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/search.c: search.c: Don't allow selecting pads or pins of + locked elements The locked flag test was mistakenly being applied ot the pad or pin + its-self, not the parent element. + +2011-05-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: GTK/GL: Split out sub-compositing setup + into a separate function. + +2011-05-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: GTK/GL: Make the silk layer translucent + +2011-05-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: GTK/GL: Sub-composite objects on each + layer using the stencil buffer This avoids the highlight where translucent objects on a given layer + are drawn over each other. It enables us to have a translucent silk + screen layer and still be able to read the text. + +2011-05-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/hid.h, src/hid/common/hidnogui.c: Add end_layer() + function to HID API to mark the end of a layer's drawing This is going to be useful for the GTK/GL renderer to manage sub- + compositing translucent objects within each layer. + +2011-05-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gl.c: hid/gtk: Enable transparent lines in GL + renderer + +2011-05-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c, src/hid/common/hidgl.h, + src/hid/gtk/gtkhid-gl.c: Manage stencil bitplanes so we don't have + to clear them every time. Clearing the stencil buffer is a slow operation (especially on cards + limited by fill rate (cough.. Intel.. cough), so the more clears we + can avoid, the better. + +2011-05-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c: hid/common/hidgl.c: Tidy up polygon + contour tessleation Move the gluTessBeginPolygon and gluTessEndPolygon calls inside + tesselate_contour, rather than duplicating it in each caller. Also, fix up some comments which were out of date or inaccurate. + +2011-05-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid.h, src/hid/common/draw_helpers.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/png/png.c: HID: Remove "dicer" flag from HID structure. We don't pay any attention to this flag - always dicing the polygons + in our common_fill_pcb_polygon routine. HIDs which don't want diced + polygons implement their own hook for fill_pcb_polygon. + +2011-05-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidgl.c, src/hid/common/hidgl.h, + src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui.h: Add raw polygons + support for the GTK+GL HID, bypassing the no-holes dicer Uses the OpenGL stencil buffer to make drawing polygons with holes + faster. 1. Turn Stenciling on, updates to colour buffer off 2. Clear stencil buffer to 0 3. Paint polygon holes, setting those areas of the stencil buffer to + 1 4. Switch on stencil test (== 0), turn on updates to colour buffer 5. Paint outer polygon through areas of the stencil buffer still 0 6. Clear stencil buffer, switch off stencilling. Caveat: This function might throw up if it is used whilst drawing the mask, + since that uses stenciling as well. We don't use polygons on the + mask, so its not a a problem. (Mask cutouts for octagonal pins do + work correctly). + +2011-05-05 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Don't prematurely dismiss the progress + dialog. + +2011-05-05 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Improve lesstif progress dialog. Closing the progress dialog cancels the operation. Add a sliding + scale that shows progress. Make the dialog modal so you can't edit + the pcb while autorouting. Fix event loop to handle all pending + events and redraw. Fix elapsed time logic. + +2011-05-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Don't auto-close progress + dialog until commanded to We pass the cancel return value to our caller, but it is up to them + to dismiss the progress dialog. Utilise the response_id to determine when the dialog has been closed + or cancelled, rather than a separate stop_loop variable (which was a + legacy from the blocking gtk_dialog_run() this code was based upon. Tidy up due to resulting simplifications. + +2011-05-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/main.c: hid/lesstif: Fix testing timeout value in + lesstif_progress This looks like a typo, but was me testing different values of time + interval between processing events. + +2011-05-04 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/main.c: hid/lesstif: Simple progress() + implementation to present a cancel button Does not yet draw an actual progress bar, as I'm not familiar enough + with coding for Lesstif. + +2011-05-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: When live-drawing, only emit one via + per location I'd accidentally put the live-draw via in a place where it would + emit one via for every layer group - causing warnings in PCB's log + about dropped vias. + +2011-05-04 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-library-window.c: hid/gtk: Fix crash in library + window I missed a (GList *)->data dereference when converting to GList + object storage. Unfortunately, the parameter in question was void * + typed, so the compiler didn't notice my mistake. + +2011-05-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: Provide a progress update for the + autorouter Provide a completion metric related to what pass the auto-router is + processing, how many objects on its heap within a given pass it has + processed, and how many sub-nets it has routed from the total. The progress isn't entirely linear, but the subdivision of process + steps means it does at least march along without too many pauses. It is now possible to cancel the autorouting with a non-zero + response code from the gui's progress dialog. Tested with the GTK HID, Lesstif doesn't yet have an implementation + for HID->progress() + +2011-05-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Provide a simple progress + dialog implementation + +2011-05-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-gl.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: Fix mesa crash on + startup (for some mesa versions) I'm not sure why it crashed, but it seems that providing a realize + handler which fiddles with the GL context solves it. The underlying + problem appears to have been fixed in later mesa versions. Leaving this commit for the benefit users of broken mesa versions. + +2011-05-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac: Make --enable-gl default when building the GTK HID Lets get this feature some testing for now - we may decide to revert + the default before the next release, depending on how things + progress. + +2011-05-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac, src/Makefile.am, src/hid/common/hidgl.c, + src/hid/common/hidgl.h, src/hid/gtk/gtkhid-gl.c, src/hid/gtk/gui.h: + hid/gtk: Drop in GL renderer - WOOT! Much of the generic GL drawing stuff lives in a new common helper, + hid/common/hidgl.[ch]. Unavoidably, there is a lot of GUI specific + setup and teardown code. There are probably still bits of code in hid/gtk/gtkhid-gl.c which + could be moved to a shared place if / when other HIDs wish to use GL + rendering. Currently only rat lines are drawn transparent, as we need to sub- + composite each layer to avoid a confusing field of hightlights being + drawn where line ends overlap. configure --enable-gl now checks for the required GL, glu and + GtkGLEext. More good stuff to come soon! Credits: Algorithm to calculate number of segments to use in circular curve approximation suggested by DJ Delorie. Thanks to Krzysztof KoΕ›ciuszkiewicz for testing and debugging some issues with the GL_SCISSOR_TEST being used. An small team of dedicated testers who have provided feedback, bug reports and encoragement throught the long development of this branch. + +2011-05-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/common/flags.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/main.c, src/misc.c, src/misc.h, + src/parse_y.y: Universal use of GetValue This is a patch to make all numeric code use GetValue, to unify + parsing code and make sure everything uses the same units. Contained + is almost a complete rewrite of GetValue to simplify code that uses + funny units. Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * When committing the patch from Launchpad, I rebased it to apply with + strcasecmp and strncasecmp changed to strcmp and strncmp, as changed + by commit 31b7309764f2e4bd40141038c30f1f38309efb4a Affects-bug: lp-772027 + +2011-05-03 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c, + src/hid/png/png.c, src/misc.c, src/parse_l.l, src/report.c: Add + case-sensitivity to all unit-reading code Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * This breaks existing behaviour for any users using capitalised units + in scripts, but as in general, units ARE case sensitive, we should + not support anything other than their canonical names. Affects-bug: lp-772027 + +2011-05-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: Simplify some long if statements In a couple of cases, almost all the processing logic was burried + inside an if statement checking for validity of this processing + pass. Re-organise to test for an invalid condition, then "continue;" + the loop if that is hit. This reduces the nesting of some rather complex functions. + +2011-05-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: change.c: Fix drawing artaefacts when rotating the + buffer In commit 0213e4791f9aa4008c8d06a48c377b338f6e1a43 converting + {Hide,Restore}Crosshair calls to become notify_crosshair_changed, I + mixed up two of the true / false parameters to to + nofify_crosshair_changed. This caused the GUI to get out of sync undrawing and redrawing the + crosshair attached objects around the change. Manually checking the diff from the offending commit, I don't see + any other calls which were transposed incorrectly. Reported-by: DJ Delorie * dj AT delorie dot com * + +2011-05-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/main.c: hid/lesstif: Fix dropped crosshair + artaefacts when dragging objects. It seems that the idle_proc redraw code assumed the crosshair was + switched off upon entry, proceeding to redraw everything (and + obliterate the crosshair in the process). The final show_crosshair + (1) call only has any effect if the crosshair was notionally off + before hand. As a quick work-around (you could probably do it with less flicker + with a little more logic), ensure the crosshair is turned off just + prior to redrawing the screen - so it will switch back on correctly + afterwards. + +2011-05-01 DJ Delorie * dj AT delorie dot com * + + * src/macro.h: Fix typo in new ELEMENTARC_LOOP macro. line -> arc + +2011-05-01 DJ Delorie * dj AT delorie dot com * + + * src/insert.c: Fix polygon clears on insert. Add the calls to update polygon clearances when a point is inserted + into a line. + +2011-05-01 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/file.h: Make file version smarter. Restructure the FileVersion[] output to only output the actual + needed version, in cases where a rarely used feature requires a new + pcb. This avoids unnecessary backwards incompatibility. + +2011-05-01 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Fix conversion from world to + screen in ghid_invalidate_lr I accidentally muddled the Vx and Vy conversions routines for the + right and top coordinates. In general, this resulted in the + incorrect region being invalidated - manifesting as artaefacts on + the the screen, such as when dragging objects around. Also drop the (double) cast.. having checked, Vx and Vy take integer + arguments. I'm not sure why the rest of this file casts to double. + +2011-05-01 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Rest clip region for bg_gc, as + it is used for expose events. We set the clip region in the redraw_region() function to clip + drawing to the area which we intend to repaint, but we did not clear + the clip again. This caused expose events to be clipped to the last redraw area + rather than the required damaged area. + +2011-05-01 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Remove unused variable in + use_mask() function + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/main.c: hid/lesstif: Remove unused variable in + use_mask routine + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/mymem.c: mymem.c: Provide an optional implementation of + g_list_free_full () This API was only added in GLib 2.28, and it is just a small + convenience function. If an insufficient GLib version is found, + provide our own implementation. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid.h, src/hid/gtk/gtkhid-gdk.c, src/hid/lesstif/main.c: + Remove live drawing parameters for HID->use_mask() These are no longer used by the autorouter, so lets clean the + use_mask() interface to JUST deal with _masking_ from now on ;) + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: Utilise new HID interface for debug + drawing This gives the HID an opportunity to refuse the autorouter's + drawing. Both the GTK/GDK and Lesstif GUIs accept this drawing, so + either should be suitable for use whilst debugging workings of the + auto-router. The PCB+GL renderer (not yet landed) needs quite a lot of setup + calls to be made before it can allow the core do draw, hence the + need for this notification / permissioning. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: Fix livedraw to work with normal rendering APIs NB: This relies on the immutability of board object pointers, so + must not be applied to older PCB code-bases which would often + re-locate storage of board objects to keep them in a contiguous + array. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/autoroute.c, src/buffer.c, src/create.c, + src/djopt.c, src/draw.c, src/file.c, src/find.c, src/fontmode.c, + src/global.h, src/macro.h, src/move.c, src/mymem.c, src/mymem.h, + src/puller.c, src/rats.c, src/remove.c, src/toporouter.c: Convert + board objects to GLists of g_slice allocated memory This enables pointers for these objects to be immutable during their + lifetime, which is a _huge_ benefit for some operations. Having otherwise was becoming VERY tiresome, and was a perenial + source of bugs tripping up novice and experts of the codebase alike. Due to the risks associated with modifying the structure being + iterated over, this patch makes the relevant *_LOOP macros iterate + over a shallow copy of the underlying GList. This is slight overkill + for many cases, but until we have identified which do not modify the + data-structures it is wise to keep as we are. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/puller.c: puller.c: Re-write parts to use hash tables to store + stashed extra info This vastly simplifies some of the pointer arithmetic which was + previously used to account for underlying location changes when + objects moved. Having tested this, it produces different results than before + application. This may be due to it zapping some underlying bug + which has been removed in the patch, or perhaps more likely, that + its slightly different iteration order over the lines and arcs (due + to g_hash_table_foreach) will result in different pathologies being + hit. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/djopt.c: djopt.c: Tidy up padcleaner() using loop macros + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/djopt.c: djopt.c: Tidy up element_name_for() by using loop + macros + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/move.c: src/move.c: Gratuitous cosmetic changes Split from a future patch which ended up making these changes to + help with consistency. Pre-patching here to avoid a huge delta when + that patch lands. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/buffer.c: src/buffer.c: More cosmetic changes + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/buffer.c: src/buffer.c: Gratuitous cosmetic changes Split from a future patch which ended up making these changes to + help with consistency. Pre-patching here to avoid a huge delta when + that patch lands. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/remove.c: remove.c: Gratuitous cosmetic changes s/return (NULL)/return NULL/ Split from another patch where I just couldn't resist some tidying. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/move.c, src/move.h: Make Move*ToLayerLowLevel() local to + move.c No point in exporting these functions. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rats.c: rats.c: Tidy up FindPad() Changes are aimed to simplify the delta of a future patch relating + to object storage. Moves indexed addressing of object structures to + fewer places. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/toporouter.c: src/toporouter.c: Tidy up escape() routine Changes are aimed to simplify the delta of a future patch relating + to object storage. Moves indexed addressing of object structures to + fewer places. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/parse_y.y: parse.y: Convert an open-coded loop to use + ALLPOLYGON_LOOP + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-pinout-preview.c: hid/gtk: Remove unused code from + pinout_set_data + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Tidy up DrawEMark Changes are aimed to simplify the delta of a future patch relating + to object storage. Moves indexed addressing of object structures to + fewer places. + +2011-04-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c, src/crosshair.c, src/edif.y, src/global.h, + src/mtspace.c, src/polygon1.c: Include glib.h from global.h To avoid compiler warnings, remove the now duplicated (or + unecessary) #define ABS definitions from various files. There has been a build-time dependance on GLib since the toporouter + was added. Lets start to make use of it where it is useful. + +2011-04-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/main.c: hid/lesstif: Hook up debug drawing APIs + +2011-04-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui.h: hid/gtk: Hook up debug drawing APIs + +2011-04-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid.h, src/hid/common/hidnogui.c: Add HID apis to handle live + debug drawing from the core It is envisaved that this API should ONLY be used for debugging, not + presenting a user-interface. In general, the GUIs may have their own + special requirements for drawing the board, and this API is not + meant to allow the core to augment or present user-visible drawing. + This is reflected in the API naming. Request permission for debug drawing HID *ddraw = gui->request_debug_draw (void); Returns a HID pointer which should be used rather than the global + gui-> for making drawing calls. If the return value is NULL, then + permission has been denied, and the debug drawing must not continue. Flush pending drawing to the screen void ddraw->flush_debug_draw (void); May be implemented as a NOOP if the GUI has chosen to send the debug + drawing directly to the screen. When finished, the user must inform the GUI to clean up resources: ddraw->finish_debug_draw (void); Any remaining rendering will be flushed to the screen. + +2011-04-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/main.c: hid/lesstif: Ensure crosshair and mark + updates go to the screen The "pixmap" global might be pointing at one of the backing pixmaps + when we are called. Does not fix any known bug, just in preparation for another patch + relating to debug drawing which may leave pixmap pointing to the + backing store. + +2011-04-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Invalidate the damaged region, + not the whole view + +2011-04-29 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/print.c: Subject: PCB / Physical unit macros patch 2: print.c This patch works correctly (i.e., no change in output) for my test + file; about 6800 lines of postscript. The only differences were in + the timestamps, which naturally were slightly different. I also confirmed visually that the before and after printouts look + the same :) Rebased to git HEAD by Peter Clifton * pcjc2 AT cam dot ac dot uk * + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: Remove a couple of completely unused + debug routines + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/global.h: global.h: Remove unused OutputType members Width and + Height + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: Fixup usage of the never initialised, obsolete, + Output.{Width,Height} For the stroke handling case (relating to zooming) it isn't clear + what is correct, but for now, substitute PCB->MaxWidth and + PCB->MaxHeight. This may still leave stroke based zooming broken, but it was + CERTAINLY broken before. + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-pinout-preview.c: hid/gtk: Remove dead code (due + to bitrot) from pinout_zoom_fit() function The code tried to compare against the uninitialised, unused + Output.Width and Output.Height. Assuming these were initialised to + zero by the compiler, the conditional would always cause the + function to return FALSE. Remove the conditional, and drop the boolean return value from this + function, short-cutting the caller to the utilised code-path. This removes the (long broken) feature where by the natural size (in + pixels) of the pinout preview would be reduced if it was greater + than 3/4 of the viewport size (in pixels) of the active PCB. + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/global.h: Remove unused old{Obj,Line,Box}State members from + the OutputType structure + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/main.c: main.c: Remove setup of global graphics + contexts which are never used draw.c stashes these ones creates its own. Drop the unused ones, and + drop the stashing in draw.c + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: Use the autoroute graphics context, + not Output.fgGC I'm aiming to confine Output.* graphics contexts to draw.c for now. + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/data.c, src/data.h: Remove unused global variable + Zoom_Multiplier Along with a following commented series of numbers which git log + suggests was originally related to pre-defined zoom ratios. + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/macro.h: macro.h: Remove unused (obsolete) conversion macro + TO_PCB + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Replace use of obsolete conversion macro + TO_PCB with a constant. This changes the location tolerance in NotifyLine()'s call to + LookupConnection() from what previously evaluated to 100 internal + units, to a constant of one internal unit. This is consistent with other similar calls to LookupConnection(), + where it appears that a wide search area is not desired, so the + smallest possible value is passed. + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/data.c, src/data.h, src/file.c: Remove unused variables Xorig + and Yorig + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/macro.h: macro.h: Remove unused, obsolete conversion macros + TO_PCB_X and TO_PCB_Y + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Partially fix up some stroke handling bugs 1. Stroke event coordinates are already in PCB units, drop bogus + conversions 2. Fix incorrect variable name + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c: file.c: Write out correct cursor location in the PCB + file + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/data.c, src/data.h: data.c: Remove unused globals theScreen, + clipBox, vxl, vxh, vyl, vyh + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/print.c, src/print.h: print.c: Pass an explicit + graphics context to PrintFab() and friends This is a step towards being able to move the active graphics + contexts out of the global scope. + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/global.h, src/main.c: Remove unused Output.GridGC variable + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rtree.c: rtree.c: Remove old X11 based debug drawing code The core doesn't do drawing any more.. and can't assume that the + GUI's graphics contexts are actually X11 or GDK graphics context, so + this code can't work any more - remove it. + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c, src/hid/common/extents.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui.h, + src/hid/lesstif/main.c, src/hid/png/png.c, src/hid/ps/eps.c: HIDs: + Remove NOOP set_draw_faded implementations + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidnogui.c: hid: Provided non-CRASH default + implementation of HID->set_draw_faded There is no point in various HIDs providing their own NOOP + implementation + +2011-04-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid.h, src/hid/batch/batch.c, src/hid/common/extents.c, + src/hid/common/hidnogui.c, src/hid/gcode/gcode.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui.h, + src/hid/lesstif/main.c, src/hid/nelma/nelma.c, src/hid/png/png.c, + src/hid/ps/eps.c, src/hid/ps/ps.c: hid: Remove unused + set_line_cap_angle API + +2011-04-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui.h: hid/gtk: Make + ghid_show_crosshair() local to the GDK implementation + +2011-04-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Invalidate the crosshair + rather than drawing it immediately + +2011-04-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui.h: Revert "hid/gtk: Add hooks to tell the render + when we start/stop drawing" This reverts commit 332cb8ddc1cc032ac29c7d2b9a0051ccc228b6da. Since crosshair updates are now handled by notifications to the GUI, + this isn't required for the forthcoming PCB+GL support. + +2011-04-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Avoid extra crosshair + and attached object redraws The crosshair movement is handled by a notify_crosshair_change() + call in ghid_note_event_location(). + +2011-04-27 Andrew Poelstra * asp11 AT sfu dot ca * + + * globalconst.h, src/action.c, src/autoplace.c, src/buffer.c, + src/change.c, src/const.h, src/create.c, src/djopt.c, src/find.c, + src/hid/batch/batch.c, src/hid/gcode/gcode.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-drc-window.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h, + src/hid/lesstif/dialogs.c, src/hid/lesstif/lesstif.h, + src/hid/lesstif/main.c, src/hid/lesstif/styles.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c, src/main.c, src/misc.c, src/parse_l.l, + src/print.c, src/report.c, src/vendor.c: PCB / Physical unit macros + patch Specify various constants in physical units, converting to PCB + internal units using macros. When reporting to the user (or + exporting), convert dimensions to physical units using macros so the + code does not rely on details of PCB's internal coordinates in so + many places. + +2011-04-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove old commented debug printf + +2011-04-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove unused function thin_callback() + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/crosshair.h, src/global.h, + src/hid/lesstif/main.c: Move crosshair on / off functionality into + lesstif HID. Lesstif is the only GUI which turns attached objects on and off, + when the mouse leaves the viewport, so it might as well manage it + internally. + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/hid.h, src/hid/common/hidnogui.c, + src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui.h, src/hid/lesstif/main.c: Give the HIDs control + over attached object (crosshair, mark) drawing This is required if a renderer doesn't want to follow the current + drawing model where it is assumed the core can call the HID's + drawing APIs at any arbitrary point in time. Expose some API from crosshair.c to perform the actual drawing on + demand, and add hooks to the HID structure to notify the GUI when it + would previously have called the crosshair or mark drawing routines. This allows renderers with defined setup and tear-down requirements + around drawing to execute them before the objects are drawn. CrosshairOn() and CrosshairOff() now simply set the Crosshair.On + flag and call the appropriate notification hooks. This commit replaces all HideCrosshair() and RestoreCrosshair() + calls with the new hooks notify_{crosshair|mark}_changed(), with an + argument specifying whether a change is about to happen (false + argument), or has been completed (true argument). + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Convert some explicit mark redrawing to + notification + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/crosshair.h, src/set.c: Separate out mark + change notification from crosshair change notification Hook it up to notify the crosshair (which also redraws the mark) for + now. + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/buffer.c, src/crosshair.c, src/crosshair.h, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui-output-events.c, src/hid/lesstif/main.c, + src/misc.c, src/set.c: Rename HideCrosshair() and RestoreCrosshair() Whist this is a tedious rename which will probably have implications + for some plugins, it helps to clarify what is going on with the + crosshair across forthcoming patches which put it under the control + of the GUIs. The old APIs are retained for backwards compatibility with plugins, + and will print a one-shot warning for each which is called. + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: DrawMark from + ghid_invalidate_all() as well as DrawAttached + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: Let the GUIs manage the crosshair across a redraw Removes several {Hide/Restore}Crosshair() calls from the GTK HID. These are not requried any more, as they are followed by + invalidate_all() calls which take care of the crosshair as well. Changes to draw.c were tested not to affect Lesstif HID + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui.h: hid/gtk: Remove duplicated prototype + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove obsolete comment + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove clip_box global variable in favour of a + more targeted one Used for polygon clipping during drawing. + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: EraseRat() should just gather the affected + area These were missed (or incorrectly converted) in the previous patch + series which split gathering routines from drawing routines. Tidy up DrawRat() to be consistent with the new code in EraseRat() + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Separate out common code for drawing holes Makes things a bit clearer + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove Gathering variable This variable is no longer needed since the "Gathering" Draw* + functions are separate from the draw_... implementations which are + triggered by the GUI calling for a repaint of the gathered area. + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" routines from real drawing + routines (Text) Moves code from DrawRegularText() into its only caller + text_callback() + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" routines from real drawing + routines (Polygons) Moves code from DrawPlainPolygon() into its only caller + poly_callback() + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" routines from real drawing + routines (Arcs) + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" routines from real drawing + routines (Lines) + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" from real drawing routines + (Rats) + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" routines from real drawing + routines (Pads) + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" routines from real drawing + routines (Pins + Vias) + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" from real drawing routines + (Element Pins and Pads) + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" from real drawing routines + (Element names) + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" from real drawing routines + (Element Package) + +2011-04-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Split "Gathering" from real drawing routines + (Element) + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Fold DrawHole into its only caller, + hole_callback + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Move DrawPlainPin and DrawPlainVia into their + only callers Make their code part of pin_callback and via_callback. Drop the Gathering tests within this code, as their only callers are + within a block where Gathering is definately set. + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/autoplace.c, src/autoroute.c, src/draw.c, + src/draw.h, src/find.c, src/toporouter.c, src/vendor.c: draw.c: + Remove API ClearAndRedrawOutput(), in favour of Redraw(). These do the same thing anyway. + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/draw.c, src/draw.h, src/set.c: draw.c: Remove + UpdateAll() API, in favour of Redraw(), which is identical + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/draw.c, src/draw.h: draw.c: Expose local + Redraw() function, and remove wrapper RedrawOutput() Within the one caller ActionDisplay, combine the F_ClearAndRedraw + and F_Redraw cases which have exactly the same effect. + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Drop unused area parameters from Redraw(...) + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Only set Gathering during + hid_expose_callback() Removes setting of Gathering to false prior to calling UpdateAll() + in ClearAndRedrawOutput(), and removes setting of Gathering to true + after calling gui->invalidate_all() in Redraw() + +2011-04-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : Regenerate test golden files for the png output hid The colour drawn for vias changed due to the fix in commit + c095adf5ea890284cddc2730944b980ac1275109 (Build was broken due to the above commit until commit + c9b915d7f2f49f5ebde0abeb5756b1e11e756edc) + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Use the ON_SIDE() macro rather then open-code + the test + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Add a DrawPaste() helper function for paste + layers + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c, src/misc.h: misc.c: Add query function IsPasteEmpty() + to query a paste layer is empty + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/macro.h: Make the ON_SIDE() macro global, not + local do draw.c + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/draw_helpers.c: hid/common: Fix + common_thindraw_pcb_pad()'s handling of square pads I made a mistake in a logic test when simplifying this routine in + commit 4efcedeeac06cd4d5e559a7fe0a0ee8685f2137a This caused slanted rendering of horizontal or vertical pads. + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Rename the "screen" parameter of + DrawLayerGroup to "drawn_area" (For consistency with other code in draw.c) + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Use DrawPPV() where we can to save duplication + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Change PrintAssembly() to use a layer side + parameter Drops the "swap_ident" parameter in favour of a more explicit "side" + parameter. Also drops the side_group parameter, as we can easily + determine that from the requested side to draw. + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Change DrawSilk() to use a layer side + parameter, not SWAP_IDENT + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Make DrawPPV() always draw for non gui HIDs, rather than doing_assy The gui HIDs don't accept the assembly drawaing, so testing for + !gui->gui will catch this case, and we can drop the doing_assy + override for PCB->PinOn and PCB->ViaOn + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Change DrawTop() to DrawPPV(), and teach it to + work for any layer (PPV stands for Pins, Pads and Vias). Extend its functionality to + work for pins and vias on any layer. + +2011-04-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Rename DrawTop() "screen" parameter + "drawn_area" For consistency with other code in draw.c + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Fix order of farside object drawing Backside silk should be drawn before bakside pads + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Add prototype for via_callback Fixes breakage since commit c095adf5ea890284cddc2730944b980ac1275109 + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove a couple of redundant if statements The outer if statement already ensures this code-path isn't followed + for a gui hid.. don't repeat ourselves. One statement will always + return false, hence is dead code - the other is always true. + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Use the via callback when drawing vias. Since this code-path is only hit for exporters, (and the only + difference between the pin and via callback is the drawing colour) + it is not likely this matters, but lets be correct. + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Add layer side parameter to DrawMask() Don't use the global variable SWAP_IDENT to choose which side to + draw. + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Combine front and back element and name + callbacks Pass an integer "side" parameter to determine which is desired + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/macro.h: Remove commented TEXT_IS_VISIBLE implementation I'm cleaning up code which uses SWAP_IDENT, and this comment scored + a hit. + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/change.c, src/copy.c, src/draw.c, src/draw.h, + src/find.c, src/hid/gtk/gui-drc-window.c, src/insert.c, src/move.c, + src/polygon.c, src/rats.c, src/remove.c, src/rotate.c, + src/select.c, src/toporouter.c, src/undo.c: draw.c: Remove unused + parameter from various calls: DrawObject DrawVia DrawViaName DrawLine DrawArc DrawText DrawRegularText DrawPolygon DrawElement DrawElementPackage DrawElementPackageLowLevel DrawElementName DrawElementPinsAndPads DrawRat DrawPin DrawPinName DrawPad DrawPadName + +2011-04-23 Andrew Poelstra * asp11 AT sfu dot ca * + + * src/hid/png/png.c: hid/png: Fix incorrect um unit conversion in + bloat parser. (Split from a larger patch by Peter Clifton * pcjc2 AT cam dot ac + dot uk *) + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * globalconst.h: globalconst.h: Remove some unused constants + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/png/png.c: hid/png: Fix segfault when no image formats are + configured in. Based on a patch by Felix Ruoff * Felix AT posaunenmission dot de * Catch the case where the selected image format is actually the NULL + terminator at the end of the format list. This happens when the list + is empty. Don't strcmp against that terminator! Closes-bug: lp-699290 (sf-2669324) + +2011-04-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/png/png.c: Revert "Fix potential segfault" This reverts commit 2d2040e92d5ebb069af42567855055b096532e71. This patch causes segfaults in the GTK and Lesstif hids, so + reverting, as it removes the required NULL termination from an + attribute enumeration. Any code which can't deal with an empty + enumeration list should be fixed instead. Reopens-bug: lp-699290 (sf-2669324) Closes-bug: lp-769336 + +2011-04-22 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/main.c, src/misc.c, src/polygon.c, src/polygon.h, + src/thermal.c: Fix geometry errors caused by commit + 2d8dc8a3a3a55158b4e6278dd9f40588e4111c2d This fixes up problems from the following commit: [PATCH] fix bug 2793480 (vias/arcs-to-polygon clearances) frac_circle(): introduce radius_adjustment factor to make the polygon outline the arc rather than connet points on the arc ArcPolyNoIntersect(): compute number of segments so that polygon diverges from the arc no more than 0.02 of required thickness; adjust outer "arc" radius like in + frac_circle() The object bounding boxes for arcs, vias, lines and rounded pads + which determine the maximum area affected by that object were no + longer correct, leading to artaefacts when doing incremental polygon + processing. It also lead to missing fragments in (the non-curved + and x type + thermals). These are also fixed up by this patch. + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Tidy up hole_callback routine + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove unnecessary pin_info struct. The argument specifying whether to clear pins was always true in any + case where clearPin_callback was called (the only user of this + info). + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/macro.h: macro.h: Remove unused X11 specific macros + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/macro.h: macro.h: Remove unused object visibility macros + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/line.c, src/macro.h: Remove some old unused + coordinate conversion macros from the core Sadly, there are still some left to expunge which are being used - + and their usage appears broken in many cases! + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * tests/golden/hid_gcode1/Makefile.am, + tests/golden/hid_gcode10/Makefile.am, + tests/golden/hid_gcode11/Makefile.am, + tests/golden/hid_gcode2/Makefile.am, + tests/golden/hid_gcode3/Makefile.am, + tests/golden/hid_gcode4/Makefile.am, + tests/golden/hid_gcode5/Makefile.am, + tests/golden/hid_gcode6/Makefile.am, + tests/golden/hid_gcode7/Makefile.am, + tests/golden/hid_gcode8/Makefile.am, + tests/golden/hid_gcode9/Makefile.am, + tests/golden/hid_gerber1/Makefile.am, + tests/golden/hid_gerber2/Makefile.am: Fix test-suite harder Fixup Makefile.am files to match renames in last commit + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * tests/golden/hid_gcode1/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode1/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode1/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode1/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode10/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode10/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode10/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode10/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode11/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode11/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode11/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode11/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode2/out.back.cnc, + tests/golden/hid_gcode2/out.bottom.cnc, + tests/golden/hid_gcode2/out.front.cnc, + tests/golden/hid_gcode2/out.top.cnc, + tests/golden/hid_gcode3/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode3/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode3/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode3/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode4/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode4/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode4/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode4/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode5/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode5/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode5/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode5/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode6/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode6/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode6/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode6/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode7/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode7/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode7/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode7/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode8/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode8/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode8/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode8/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gcode9/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode9/gcode_oneline.gcode.bottom.cnc, + tests/golden/hid_gcode9/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode9/gcode_oneline.gcode.top.cnc, + tests/golden/hid_gerber1/gerber_oneline.back.gbr, + tests/golden/hid_gerber1/gerber_oneline.bottom.gbr, + tests/golden/hid_gerber1/gerber_oneline.front.gbr, + tests/golden/hid_gerber1/gerber_oneline.top.gbr, + tests/golden/hid_gerber2/out.back.gbr, + tests/golden/hid_gerber2/out.bottom.gbr, + tests/golden/hid_gerber2/out.front.gbr, + tests/golden/hid_gerber2/out.top.gbr, tests/tests.list: Fix + test-suite files to match component->front and solder->back rename Test-suite now passes again. + +2011-04-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: hid/common: Draw the hole outline for pins / vias + being moved or placed + +2011-04-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Use a dummy via structure to draw + vias being created + +2011-04-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/draw.c, src/global.h, src/hid.h, + src/hid/common/draw_helpers.c, src/hid/common/draw_helpers.h, + src/hid/common/hidnogui.c: Consolidate pin / via drawing routines, + moving them under the HID API Adds two new HID APIs, fill_pcb_pv and thindraw_pcb_pv, splitting + drawing code from DrawPadLowlevel() to common_fill_pcb_pv() and + common_thindraw_pcb_pv() in hid/common/draw_helpers.c. Octagonal vias and pins are now correctly drawn when attached to the + cursor. + +2011-04-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/draw.c, src/global.h, src/hid.h, + src/hid/common/draw_helpers.c, src/hid/common/draw_helpers.h, + src/hid/common/hidnogui.c: Consolidate pad drawing routines, moving + them under the HID API Adds two new HID APIs, fill_pcb_pad and thindraw_pcb_pad, splitting + drawing code from DrawPadLowlevel() to common_fill_pcb_pad() and + common_thindraw_pcbpad() in hid/common/draw_helpers.c. I simplified the code somewhat as I moved it, reducing the number of + special cases. gui->{thindraw|fill}_pcb_pad() is called from DrawPadLowlevel(), and + is used to replace an incomplete thindraw implementation for pads in + XORDrawElement(). Outlines for angled pads are now drawn in their entirety when + attached to the cursor, not just their center line, and rounded end + pads are also now drawn correctly in this mode. + +2011-04-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c, src/hid/common/draw_helpers.c, + src/hid/common/draw_helpers.h, src/hid/common/extents.c, + src/hid/gcode/gcode.c, src/hid/gerber/gerber.c, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: hid/common: Provide a helper function for HIDs to + use drawing helpers Gives the following changes to various HIDs: extents: now has thindraw_polygon implemented - should not be an + issue gcode: now has thindraw_polygon implemented - should not + be an issue gerber: now has thindraw_polygon implemented - should + not be an issue nelma: now has thindraw_polygon implemented - + should not be an issue png: now has thindraw_polygon implemented + - should not be an issue eps: now has thindraw_polygon + implemented - should not be an issue ps: now has + thindraw_polygon implemented - should not be an issue batch: no + longer has NOP fill_pcb_polygon and thindraw_pcb_polygon routines - + should not be an issue, as the drawing calls the common helper + routines use are still NOPs for the batch HID. + +2011-04-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/draw_helpers.c: hid/common: Some formatting changes + in draw_helpers.c + +2011-04-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gcode/gcode.c, src/hid/png/png.c: Add missing HID + structure zero initialisation for gcode and png HIDs. Missed from commit commit d67f4335b5d4f97330448b833ea1bf04377c3a57 "Initialise the HID vtable by function, rather than as a struct + initialiser." I missed the memset from these two HIDs. + +2011-04-19 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Fix damage area computation We should reset the left and top bounds to MAXINT, and the right and + bottom bounds to -MAXINT. This way the correct bounds are computed + when gathering. The code previously every coordinate to 0, meaning that the box + encompasing (0, 0) up to the (max_x, max_y) would be invalidated, + rather than (min_x, min_y) to (max_x, max_y). No improvement is going to be seen, as both the GTK and Lesstif GUIs + ignore the damaged bounds and repaint the whole screen each time - + it is just for correctness. + +2011-04-18 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/crosshair.h, src/hid/lesstif/main.c: Don't + abuse CrosshairOn() API to force a redraw. Expose DrawAttached() to the HIDs so they can call it themselves, + and don't have to cheat by forcing Crosshair.On to false. Make DrawAttached() and DrawMark() a NOP if the relevant item is not + being shown. Ie. if !Crosshair.On, both functions draw nothing. If + !Marked.status, DrawMark() draws nothing. A minor change is required in CrosshairOff() to XOR un-draw before + switching the flag to off. Not known to fix any particular bug, but it is possible that the + crosshair should not be drawn at a given point (due to changes + taking place inside a HideCrosshair() / RestoreCrosshair() pair. Tested with Lesstif and GTK HIDs. + +2011-04-18 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/hid/gtk/gui-output-events.c: hid/gtk: Don't + call CrosshairOn() when re-entering the viewport The GTK HID doesn't turn the crosshair off, so we don't turn it back + on if we default to having it switched on in the first place. Make + that default change, and remove the CrosshairOn() call. (Also tested not to break the Lesstif HID). + +2011-04-18 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/extents.c, src/hid/gcode/gcode.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/hid/lpr/lpr.c, src/hid/nelma/nelma.c, + src/hid/nelma/nelma.h, src/hid/png/png.c, src/hid/png/png.h, + src/hid/ps/eps.c, src/hid/ps/ps.c: Initialise the HID vtable by + function, rather than as a struct initialiser. This allows adding new items without having to update every HID. It + also reduces bloat for those HIDs which have a lot of zero entries. + +2011-04-12 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Add t/b synonyms for group list Allow 't' as well as 'c', and 'b' as well as 's', in the groups + string. + +2011-03-31 Ineiev * ineiev AT users dot berlios dot de * + + * src/hid/png/png.c: Fix potential segfault Closes-bug: lp-699290 (sf-2669324) + +2011-04-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/flags.c: Update file names to top/bottom convention Yes, I know this will break some scripts - mine included. I + couldn't think of a good way to auto-detect when the old names + should be used. + +2011-04-11 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Remove (now) unused variable. + +2011-04-11 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Don't draw an empty outline layer. If the design has an outline layer, and it's empty, draw an outline + anyway - around the whole work area. + +2011-04-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Fix PS rect/outline logic PS's draw_rect was actually doing a fill_rect. Fixed. If the design has an outline layer, draw it in more of the cases + where we would draw the synthetic outline. + +2011-04-11 DJ Delorie * dj AT delorie dot com * + + * src/misc.c, src/misc.h: Add IsEmpty functions Add functions to test if a given layer or layer group is "empty" - + i.e. has none of its own drawing items on it. + +2011-04-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Fix gerber output Use the correct DRC minimums for drawn outlines on silk and copper + layers. Draw rectangles as unfilled, not filled. + +2011-04-11 DJ Delorie * dj AT delorie dot com * + + * src/main.c: Tweak default layer stack. Change the default layer stack to reflect a six layer board, + allowing for 2 or 4 layer designs with the same stack. Add an + outline layer by default. Change the default names from + "component/solder" to "top/bottom" to be consistent with names used + by popular packages. + +2011-04-08 Krzysztof KoΕ›ciuszkiewicz * k dot kosciuszkiewicz AT gmail dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Change menu location of "Move + to current layer" To be consistent with other menu actions (like Flip/Flip selected): * "Move to current layer" is now located in the Info > Key + bindings, * "Move selected to current layer" is now located in the + Select menu. Closes-bug: lp-699106 + +2011-04-01 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gui-dialog.c: hid/gtk: Select adequate folder in + file-save-dialog Since now, the 'Save layout as' - dialog gives full path and + filename in name-line, but did not switches to given path in + folder-list (lower part of the dialog). For me, this is + uncomfortable, e.g. if I just want to switch to another near-by - + folder. This solution was suggested by Krzysztof KoΕ›ciuszkiewicz. Thank + you! Closes-lp: 699491 Reviewed-by: Krzysztof KoΕ›ciuszkiewicz * k dot + kosciuszkiewicz AT gmail dot com * + +2011-04-07 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gui-library-window.c: hid/gtk: expand library tree + after filtering This patch changes the behavior of the library selection dialog. + After filtering by name is applied the tree view of matching + components is automatically expanded so that all leafs are + immediately selectable. The tree view is collapsed when the filter + is cleared. Patch is adapted from Krzysztof Kosciuszkiewicz's patch for gschem. Closes-bug: lp-753643 Reviewed-by: Krzysztof KoΕ›ciuszkiewicz * k + dot kosciuszkiewicz AT gmail dot com * + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c, src/hid/gtk/gui.h: hid/gtk: + Consolidate the mechanisms used for holding off scrollbar updates Don't duplicate mechanisms - it is confusing! + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Remove unused variables + from {h,v}_adjument_changed_cb() + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui-output-events.c: + hid/gtk: Only redraw the crosshair when necessary If we're about to repaint the screen from our backing pixmap, there + is no point un-XOR drawing our current crosshair first. + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: Don't issue CrosshairOff() from the + DestroyCrosshair() function. We shouldn't assume we can make call GUI calls at this point. NB: The DestroyCrosshair() function is actually unused! + +2011-04-05 Ed Maste * emaste AT freebsd dot org * + + * configure.ac: Small change to get pcb to build on FreeBSD Add check for wish 8.5 + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Remove some unneded crosshair hide/restore + calls Removed calls from various actions which should not have any effect + on the objects drawn attached to the crosshair. + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: In ActionLoadFrom(), only hide the + crosshair where required + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: In ActionUnselect(), only hide the + crosshair where required + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: In ActionSelect(), only hide the crosshair + where required + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: In ActionDisplay(), Only invalidate + crosshair where needed Rather than wrapping the whole function in a crosshair hide/restore, + only do it for the sub-paths which require it. + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Combine the crosshair / restore for all + paths in click_cb() Reduces code duplication in the different sub-paths of this routine. + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/buffer.c, src/hid/gtk/gui-command-window.c, + src/report.c: Don't undraw the crosshair attached object when + showing dialogue prompts + +2011-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Remove commented out line of code Left from commit 089fbaf59c78fe75475db737e7e2827cd745d570 (Initial + C++ compatibility patch) + +2011-04-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : Commit hook test This commit is deliberately blank, but should close bug lp-700413 + due to the line below. Closes-bug: lp-700413 + +2011-02-11 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/draw.c: Remove unused function DrawVText() used GDK; it shouldn't have been implemented this way in + any case. Closes-bug: lp-717294 + +2011-03-23 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gui-dialog-print.c: Fix warning at exporting png with + GTK+ GUI An 'Gtk-CRITICAL'-Error was thrown on opening the png-exporter + dialog because there is no default-string for the 'png-bloat' + option. Closes-bug: lp-740526 + +2011-03-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: Remove comment which is no longer + relevant + +2011-03-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/buffer.c, src/crosshair.c, src/crosshair.h, + src/draw.c, src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-command-window.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/main.c, src/misc.c, src/report.c, src/set.c: Remove + BlockToo argument from various crosshair hide / restore functions Goodness knows what it is supposed to do, but everything appears to + work fine without it. For the majority of cases, the argument passed + is true. When passed as false, the effect is to surpress drawing an attached + selection / rubberband rectangle in the AttachedBox.state == + STATE_THIRD state. Digging through the version control history, this code appears to + have been present since the project was initially placed under CVS + control. The 23 places this argument was previously false are: ActionMovePointer - HideCrosshair (false); - RestoreCrosshair (false); EventMoveCrosshair - RestoreCrosshair (false); ActionDisplay - RestoreCrosshair (false); ActionFreeRotateBuffer - HideCrosshair(false); - RestoreCrosshair(false); MoveCrosshairAbsolute - HideCrosshair (false); ghid_invalidate_all - RestoreCrosshair (FALSE); ScrollAction (GTK HID) - HideCrosshair (FALSE); - RestoreCrosshair (FALSE); ghid_port_ranges_changed - HideCrosshair (FALSE); ghid_note_event_location - RestoreCrosshair (false); idle_proc (Lesstif HID) - CrosshairOn (0); CenterDisplay - RestoreCrosshair(false); ReportDialog - HideCrosshair (false); - RestoreCrosshair (false); ReportFoundPins - HideCrosshair (false); - RestoreCrosshair (false); ReportNetLength - HideCrosshair (false); - RestoreCrosshair (false); SetLocalRef - RestoreCrosshair (false); - HideCrosshair (false); - RestoreCrosshair (false); + +2011-03-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/crosshair.c, src/crosshair.h: Remove visibility + overriding argument from DrawMark() Because of the places it was used, we can gaurantee this doesn't + change any behaviour - the mark visibility status is always correct, + so doesn't need overriding. + +2011-03-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: g_return_if_reached() for + receipt of gui->use_mask(HID_MASK_BEFORE) This means one less untranslated printf which is never hit. If for + some reason the core sends us one of these - logging a warning and + continuing is probably better than a hard abort() + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Don't make GUI drawing calls to erase objects Changing the colour here is unnecessary (as drawing is deferred). + Delete the gui->set_color() calls. + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/change.c, src/find.c: Allow zero clearance pads and pins to + touch polygons This was already supported for vias, lets be consistent. + +2011-03-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Fix mask drawing at high zooms (only draw the + bit on-screen) This probably papers over a bug in the GTK hid's fill_rect routine, + as the only reason this should fix anything is because it avoids + coordinate overflow at high zoom. The GTK hid really ought to clip coords to the screen before + transforming them for rendering. + +2011-03-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Tidy up mask drawing code Since the gerber HID now supports putting the outline on various + layers, we can also safely remove the commented out code for doing + that in draw.c + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Make benchmark() + implementation suit multiple drawing models Invalidate and process updates, rather than calling redraw directly. + This suits the coming GL renderer which will use an + invalidate/expose model. + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui.h: hid/gtk: Move set_layer function into GDK + specific code. Not that this is particularly GDK specific, just that the GL + renderer hopefully coming soon needs to do some extra work. + +2011-03-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui.h: hid/gtk: Add hooks to tell the render when we + start/stop drawing This is necessary if the renderer requires certain state to be + configured before its drawing calls can be operated. + +2011-03-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui-pinout-preview.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Add hooks + for the renderer to initalise drawing widgets + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Remove some unnecessary + gtk_widget_realize() calls. + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gerber/gerber.c: hid/gerber: Remove unused variables + outline_trace_size and absolute Left over accidentally from commit + 35b137c3e193471e07f0873dfb149cebd059dca2 + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: hid/gtk: Create a + private structure for the gdk render backend This is in an effort to isolate some of its internals from the rest + of the GTK hid. Also create a hook for configure events on the main drawing area to + allow the renderer to reconfigure its-self as necessary as the area + changes in size. + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-pinout-preview.c, + src/hid/gtk/gui-render-pixmap.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: hid/gtk: Move more GDK specific routines to + gtkhid-gdk.c + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gerber/gerber.c: hid/gerber: Remove option for thickness + of auto-generated outline layer We don't mess with the thickness of the user's hand-drawn outline, + and this option implies that we do. I cannot think of a sensible way + to present the option without confusing the user, so lets remove it. Whilst the previous default of 10 mil was fine, 8 mil matches the + width used on the fab drawing, so I have changed to that value. + +2011-03-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/print.c: src/print.c: Fix fab drawing width text annotation. The text hard-coded that the outline is 10mil, in fact it is not, + the current #define sets it at 8 mil. Report the actual value used. + +2011-03-23 Ineiev * ineiev AT users dot berlios dot de * + + * src/hid/gtk/gui-misc.c: suppress annoying decimals in relative + coordinates The threshold for non-aligned object is increased because in case of + relative coordinates the round-off error may double. Closes-bug: lp-699452 (sf-2117383) Closes-bug: lp-699169 + (sf-1741659) + +2011-03-22 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/global.h: Save footprints as footprints. If the user loads a footprint as a PCB (i.e. File->Load) and tries + to save it, save only the elements in the pcb, not the whole pcb. + +2011-03-22 DJ Delorie * dj AT delorie dot com * + + * src/misc.c, src/misc.h: Fix mkdir() in plugins. Plugins include misc.h without having a valid config.h, which meant + they'd get a build error. This patch moves the error check into + pcb's misc.c, which always has a config.h, and lets plugins have a + MKDIR() macro that uses pcb's detected values. + +2011-03-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Add copy-outline mode New gerber export options to copy the outline layer onto one or more + other layers. Some fabs want the outline separate; others want it + on a "working" layer. + +2011-03-22 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Allow space between value and units in GetValue(). + +2011-03-22 Ineiev * ineiev AT users dot berlios dot de * + + * src/polygon.c: simplify an expression return to the form written in d5d894d977e610 (lost in + 2d8dc8a3a3a551) + +2011-03-06 Ineiev * ineiev AT users dot berlios dot de * + + * src/action.c, src/const.h, src/crosshair.c, src/gpcb-menu.res, + src/hid/gtk/gui-misc.c, src/misc.c, src/misc.h, src/pcb-menu.res, + src/set.c, src/set.h: (GTK HID) fix annoying decimals When in metric grid mode, the coordinates are displayed with + irregular tails of nines, e.g. "116.9998 43.9999" when the grid is + set to 1mm. The fix includes using more precise metric-to-imperial and crosshair + calculations and fitting crosshair values into metric grids. To address the issue in a really reliable way we need at least one + more separate variable, to explicitly mark the grid as metric (as + opposed to the displayed units); this flag should also be saved in + PCB files. First reported by Kai-Martin Knaak. Closes-bug: lp-699452 (sf-2117383) Closes-bug: lp-699169 + (sf-1741659) + +2009-11-27 Ineiev * ineiev AT users dot berlios dot de * + + * doc/pcb.texi: fix sections hierarhy + +2010-12-07 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/action.c, src/buffer.c, src/djopt.c, src/fontmode.c, + src/hid/gtk/gui-top-window.c, src/move.c: Cleanup action + documentation Add missing documentation * Display(ToggleLockNames) * Display(ToggleOnlyNames) * Select(Object) Remove invalid documentation * Connection(Measure) * Display(Scroll, Direction) * Display(Step, direction, amount, units) Cosmetic modifications: * dots at end of sentences * capital letter at start of sentences * remove some curious signs at the beginning of some lines. * fix a couple of typos Closes-bug: lp-699506 + +2011-03-12 DJ Delorie * dj AT delorie dot com * + + * src/misc.h: Make io.h inclusion conditional on the mingw case. + +2011-01-23 Bob Paddock * bobpspam AT gmail dot com * + + * configure.ac, m4/m4_ax_func_mkdir.m4, src/hid/common/hidinit.c, + src/hid/gtk/gui-config.c, src/misc.h: mkdir() takes only one + argument under WIN32. Add check whether mkdir() is mkdir or _mkdir, and whether it takes + one or two arguments. WIN32 mkdir takes one argument and POSIX + takes two. Use MKDIR() macro from misc.h everyplace to get correct behavior + depending on platform. + +2011-01-23 Bob Paddock * bobpspam AT gmail dot com * + + * configure.ac, src/action.c, src/hid/png/png.c, src/main.c: Use + rand() in place of random(). The 'random', 'srandom', functions are those from BSD derived + UNIX's, and may not be available under WIN32. The 'rand' and + 'srand' functions are required by the ANSI standard. rand(): Return a random integer between 0 and RAND_MAX inclusive. + random(): Return a random long integer between 0 and RAND_MAX + inclusive. Standard MinGW stdlib.h only supports rand() and RAND_MAX is limited + to the 32 bit value of 32767, which is significantly smaller than + that returned by random(). This turncation of range should not + effect usage in our application. Added srand( time(NULL) ) to main.c to set the seed. + +2010-12-31 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * po/LINGUAS: Added an entry for the Dutch translation in LINGUAS. + +2010-12-31 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * po/nl.po: First issue of the Dutch translation. + +2011-02-14 Krzysztof Kosciuszkiewicz * k dot kosciuszkiewicz AT gmail dot com * + + * src/hid/gtk/gui-library-window.c: hid/gtk: handle CTRL-C in + library window Handle CTRL-C keypress in library window and copy name of the + selected component into the default GTK clipboard. The change facilitates workflow where one browses footprints in pcb + and copies component names into gattrib or gschem. + +2011-03-10 Felix Ruoff * Felix AT posaunenmission dot de * + + * doc/pcb.texi: Describe layer groupings in GTK+ GUI Add information to the documentation where the 'Edit Layer Grouping' + option can be found in the GTK+ GUI. Closes-bug: lp-699175 + +2011-03-07 Kai-Martin Knaak * kmk AT lilalaser dot de * + + * doc/pcb.texi: expand the regexp appendix in pcb manual * Add special character "|" to concatenate * Add special character $" to denote the end of a string * Mention the special meaning of brackets. * Make the table of examples conform to "example -> description" rather than the other way round. * Add examples for the use of "|", "[]" and "{}". Closes-bug: lp-723931 + +2011-01-31 Markus Hitter * mah AT jump-ing dot de * + + * gts/.gitignore, tests/.gitignore: Clean up "git status" output. Closes-bug: lp-710719 + +2011-02-25 Stephen Ecob * silicon dot on dot inspiration AT gmail dot com * + + * src/draw.c: better heuristics for paste openings Prohibit paste windows wider than mask ones (suggested by Kai-Martin + Knaak). Suppress zero width paste windows (suggested by DJ Delorie). Discussed on + http://www.seul.org/pipermail/geda-user/2011-February/052413.html Closes-bug: lp-718342 + +2011-02-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: Fix auto-router - we need to actually increment + the dir variable! Was broken accidentally in commit + 5f0f788dc12a10a3c01ade7cf00d5ed63922ca47 (Initial C++ compatibility patch) + +2011-02-21 Ineiev * ineiev AT users dot berlios dot de * + + * src/polygon.c: fix polygon regression Introduced by 2d8dc8a3a3a55158b4e6278dd9f40588e4111c2d Reported by Kai-Martin Knaak + +2011-02-19 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Fix solder mask drawing glitch in pcb Applied patch from lp-699498 by rdrehmel Closes-bug: lp-699498 + +2011-02-10 DJ Delorie * dj AT delorie dot com * + + * src/strflags.c: Use onsolder for text, not auto. The flags table wasn't using onsolder for text, which meant the + default "auto" was used for it, which doesn't make sense. This + makes text on the solder side use the "onsolder" name instead. + +2011-01-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Conditionally use "class" or "c_class" + depending on the compiler language. The X11 headers define some classes differently based on whether + they're being used for C or C++, so we have to make our access to + them conditional also. + +2011-01-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/batch/batch.c: C++ compatibility for the batch HID. Just a keyword rename (xor). + +2011-01-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c, src/hid/lesstif/lesstif.h, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/styles.c: C++ compatibility for the lesstif HID. Minor changes - casts, consts, keyword renames, so that the lesstif + HID can be compiled as C or C++. + +2011-01-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/change.c: change.c: Restore and clear to polygons when + changing hole sizes This is more consistent with other code-paths and is useful if the + thermal gemoetry were to depend in on the hole size (which it + doesn't currently, but used to do before the clipper branch). + +2011-01-24 Markus Hitter * mah AT jump-ing dot de * + + * configure.ac: configure.ac: remove the now obsolete test for + gethostname(). No longer required since commit + 00c556b02dfa0113eab62b894eff4cf5d55b8e5f Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * + Affects-bug: lp-703914 + +2011-01-23 Markus Hitter * mah AT jump-ing dot de * + + * doc/gs/fb-blinker.pcb, doc/gs/fb-led-5.pcb, doc/gs/fb-led.pcb, + doc/gs/fb-smt.pcb, doc/gs/term-annulus-1.pcb, + doc/gs/term-clearance-1.pcb, doc/gs/term-element-1.pcb, + doc/gs/term-pad-1.pcb, doc/gs/term-pin-1.pcb, + doc/gs/term-platedhole-1.pcb, doc/gs/term-tented-1.pcb, + doc/gs/term-thermal-1.pcb, doc/gs/term-thickness-1.pcb, + doc/pad.pcb, doc/puller.pcb, doc/thermal.pcb, example/LED.pcb, + example/LED2.pcb, tests/inputs/bom_general.pcb, + tests/inputs/gcode_oneline.pcb, tests/inputs/gerber_oneline.pcb, + tutorial/tut1.pcb: Remove all date, user and host comments on all + test and sample files. These items are no longer written, so sample files shouldn't contain + them either. Usually, this was line 2...4. Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * + Affects-bug: lp-703914 + +2011-01-23 Markus Hitter * mah AT jump-ing dot de * + + * src/file.c: src/file.c: don't write user name or date to the + stored layout file. Notes from Peter Clifton: The consensus from bug lp-703914 was that that recording the + username, data and host which produced the file is unnecessary and + causes version control clashes which are otherwise unnecessary. Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * + Closes-bug: lp-703914 + +2011-01-22 Bob Paddock * bobpspam AT gmail dot com * + + * src/action.c: Compiling with MinGW requires cast to const for + _spawnvp to compile without warning. + +2011-01-22 Bob Paddock * bobpspam AT gmail dot com * + + * src/hid/gcode/gcode.c, src/hid/nelma/nelma.c: Add missing + Message() prototype to remove warning about same. + +2011-01-22 Bob Paddock * bobpspam AT gmail dot com * + + * src/polygon1.c: Initialize min_dist to zero before using in if(). + +2011-01-23 Newell Jensen * pillar2012 AT gmail dot com * + + * configure.ac, src/action.c, src/autoplace.c, src/autoroute.c, + src/change.c, src/create.c, src/dbus-pcbmain.c, src/draw.c, + src/drill.c, src/edif.y, src/file.c, src/find.c, src/free_atexit.c, + src/global.h, src/heap.c, src/hid.h, src/hid/bom/bom.c, + src/hid/common/actions.c, src/hid/common/draw_helpers.c, + src/hid/common/extents.c, src/hid/common/flags.c, + src/hid/common/hid_resource.c, src/hid/common/hidinit.c, + src/hid/common/hidnogui.c, src/hid/gcode/gcode.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-command-window.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui-dialog-size.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui-drc-window.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-pinout-preview.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h, src/hid/nelma/nelma.c, + src/hid/png/png.c, src/hid/ps/eps.c, src/hid/ps/ps.c, src/insert.c, + src/intersect.c, src/main.c, src/misc.c, src/move.c, src/mtspace.c, + src/mymem.c, src/netlist.c, src/parse_l.l, src/parse_y.y, + src/polygon.c, src/polygon1.c, src/puller.c, src/rats.c, + src/rats.h, src/report.c, src/rtree.c, src/toporouter.c, + src/undo.c, src/vector.c, src/vendor.c: Initial C++ compatibility + patch Doesn't cover lesstif or batch hids. Makes source code build + without warnings on C, and build with warnings on C++. + +2011-01-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/netlist.c: Add header for Draw() prototype. Silences a warning building the lesstif hid. + +2011-01-23 DJ Delorie * dj AT delorie dot com * + + * src/edif.y: FindContext() takes an int, not a pointer. + +2011-01-14 Markus Hitter * mah AT jump-ing dot de * + + * INSTALL: INSTALL: add instructions on how to create configure. Closes-bug: lp-702484 + +2011-01-07 Jared Casper * jaredcasper AT gmail dot com * + + * configure.ac: Heed and remove warning issued by autoconf 2.68. Starting in autoconf 2.68, "the macros AC_PREPROC_IFELSE, + AC_COMPILE_IFELSE, AC_LINK_IFELSE, and AC_RUN_IFELSE now warn if the + first argument failed to use AC_LANG_SOURCE or AC_LANG_PROGRAM to + generate the conftest file contents." Closes-bug: lp-700180 Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac * + +2009-02-20 Ineiev * ineiev AT users dot sourceforge dot net * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Fix disappearing + reference mark Fixes the following symptoms (Bug sf-1882970 reported by Steven + Michalske): (0) when the cursor leaves the window, the mark disappears (with attached objects); when the cursor enters again, the mark is redrawn only if there are any attached objects; (1) When there are no attached objects, the mark disappears on button press, but is not redrawn again when button press and release form a click. (Commit message edited by Peter Clifton * pcjc2 AT cam dot ac dot + uk *) Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * Closes-bug: lp-699244 Closes-bug: lp-699453 + +2011-01-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/lpr/lpr.c, src/hid/ps/ps.c: Fix postscript page scaling Calculate page sizes *after* selecting the page, not before. + +2011-01-10 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Calculate text bounding boxes based on that actual + drawn glyphs. Previously, a text's bounding box was calculated based on overall + font metrics, not the actual glyphs. This meant that text clearing + a polygon would have far more space around it than called for. + +2011-01-10 DJ Delorie * dj AT delorie dot com * + + * src/file.c: Make new PCB active during parsing Set the global PCB pointer to the "new" pcb while it's being loaded. + Some of the creation functions use the DRC values in PCB to compute, + for example, bounding boxes. Before, it used whatever was hanging + around in the previous PCB (or the default values). + +2011-01-09 DJ Delorie * dj AT delorie dot com * + + * Makefile.am, configure.ac, gts/.gitignore, gts/Makefile.am, + gts/NOTES, gts/bbtree.c, gts/boolean.c, gts/cdt.c, gts/container.c, + gts/curvature.c, gts/edge.c, gts/eheap.c, gts/face.c, gts/fifo.c, + gts/graph.c, gts/gts-private.h, gts/gts.h, gts/heap.c, + gts/hsurface.c, gts/iso.c, gts/isotetra.c, gts/kdtree.c, + gts/matrix.c, gts/misc.c, gts/named.c, gts/object.c, gts/oocs.c, + gts/partition.c, gts/pgraph.c, gts/point.c, gts/predicates.c, + gts/predicates.h, gts/psurface.c, gts/refine.c, gts/rounding.h, + gts/segment.c, gts/split.c, gts/stripe.c, gts/surface.c, + gts/triangle.c, gts/tribox3.c, gts/vertex.c, gts/vopt.c, + src/Makefile.am, src/gts/.gitignore, src/gts/NOTES, + src/gts/bbtree.c, src/gts/boolean.c, src/gts/cdt.c, + src/gts/container.c, src/gts/curvature.c, src/gts/edge.c, + src/gts/eheap.c, src/gts/face.c, src/gts/fifo.c, src/gts/graph.c, + src/gts/gts-private.h, src/gts/gts.h, src/gts/heap.c, + src/gts/hsurface.c, src/gts/iso.c, src/gts/isotetra.c, + src/gts/kdtree.c, src/gts/matrix.c, src/gts/misc.c, + src/gts/named.c, src/gts/object.c, src/gts/oocs.c, + src/gts/partition.c, src/gts/pgraph.c, src/gts/point.c, + src/gts/predicates.c, src/gts/predicates.h, src/gts/psurface.c, + src/gts/refine.c, src/gts/rounding.h, src/gts/segment.c, + src/gts/split.c, src/gts/stripe.c, src/gts/surface.c, + src/gts/triangle.c, src/gts/tribox3.c, src/gts/vertex.c, + src/gts/vopt.c: Add top-level build changes to enable C++ + compilation This patch moves GTS (gts.sourceforce.net) out of the src/ tree and + gives it its own Makefile, and changes src/Makefile.am so that C++ + compilation is used if configure requests it. ./configure --enable-build-with-cxx ./configure + --disable-build-with-cxx (the default is disable at the moment) Makefiles with these lines will use C++ if the user requests it: CC = @CC_OR_CXX@ CFLAGS = @CC_OR_CXX_FLAGS@ + +2011-01-07 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: Support far-side polygons when converting buffer to + element. + +2011-01-07 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Fix command-injection bug in Import() Use "--" before the list of schematics, to prevent the src list from + containing other gnetlist options (or arbitrary guile code) + +2011-01-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Fix prelight state for + layer button to show current status One of the ways we identify whether a layer is switched on or off is + by changing the background colour of the GtkToggleButton + representing that layer. Fix the prelight (mouse-over) background style to match the current + button state, so as to give immediate feed-back when the user + presses the button, and make the interface less confusing. Closes-bug: lp-699150 + +2010-12-28 Felix Ruoff * Felix AT posaunenmission dot de * + + * src/hid/gtk/gui-command-window.c, src/hid/gtk/gui-dialog-size.c, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-top-window.c: hid/gtk: Modify window title to match + the GNOME Human Interface Guidelines Modifies the title of the main window as suggested in the GNOME HIG: + + (http://library.gnome.org/devel/hig-book/nightly/windows-primary.html)The following will be shown there: - A star (*) if the layout has unsaved changes (this patch also removes this sign from the statusbar, where it currently is) - The name of the layout, if set in the config-dialog ('Put layout name on the window title bar') - The filename (in braces, if layout name is shown) - The string " - PCB" Closes-bug: lp-699509 Acked-by: Peter Clifton * pcjc2 AT cam dot ac + dot uk * (Also modified commit message) + +2011-01-05 DJ Delorie * dj AT delorie dot com * + + * src/select.c: Fix "select pads on hidden far side" If an SMT pad is on the far side of the board, and the far side + layer is not visible, do not select that pad. Exception: if that + pad is part of an element which *is* on the visible side, the pad is + selected as part of selecting the whole element. But, elements on + the far side (the common case) won't have their pads selected when + those pads aren't visible. + +2011-01-04 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Allow zoom-out-past-board for Lesstif The lesstif GUI has been modified two ways: 1. You may zoom out "past" the board, making the board smaller than + the window. Note that the crosshair is still limited to the board's + extents. 2. You may pan the board so that edges need not be on the edge of + the window, such as centering an edge for inspection. Note that the smallest the board can be is 1/10th the window size. + While this is arbitrary, it's easily changed if needed, and avoids + problems with miniscule boards (and thus maxiscule coordinate + systems). The 'v' key still zooms the board to fit, but now centers the board + in the window rather than placing it at the upper left. + +2011-01-04 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/netlist.c: ResetConncetions -> ResetConnections Typo. + +2011-01-04 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Fix lesstif segfault in key handling. When a key handler calls an action, the key table might change + before the action returns (via nested key handlers), so save a + pointer to the table we're parsing to ensure it will be around when + we return. + +2011-01-04 DJ Delorie * dj AT delorie dot com * + + * lib/geda.inc: Add 80-pin headers. Add 80 pin DIP and Ribbon 0.1" header macros to the usual list. + +2010-12-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-netlist-window.c, + src/hid/gtk/gui.h: hid/gtk: Fix warping pointer to correct location + from netlist window We weren't updating the pointer location after having panned the + connection into the center of the screen. Also fixes the open-coded panning code to work for flipped views. + The patch is pretty horrible at best, but appears to fix the issue. Unfortunately the locical call to use (the "Center" action) cannot + be made through the generic action code as we need to specify the + location explicitly. We could perhaps export the Centre action + function, or refactor it as a wrapper around a helper function which + we would then export and call. I aim to eventually rework the GTK HID's coordinate transforms + (including flipped views), event handling, view panning and pointer + warping in order to give greater sepeatation between the renderer + agnostic parts of the HID and the renderer. This will be needed for + the 3D portions of the PCB+GL branch. It seems most sensible to start refactoring from a state where the + existing code at least functions correctly (even if it isn't + pretty), rather than work in fixes as I refactor. + +2010-12-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-main.c: hid/gtk: + Remove unused Vx2 and Vy2 functions from gtkhid-{main,gdk}.c + +2010-12-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Remove unused file scope + variables event_x and event_y + +2010-12-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Compute warp pointer offset + without reading current position This is closer to the way the old code which used the an + XWarpPointer call worked, and makes the two code-paths where we warp + the pointer consistent. Also, remove some unnecessary comments which + were more relevant to explain why we previously had too + implementations. + +2010-12-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Fix "Center" action in a + couple of ways We need to update the adjustments together otherwise the center + action will only work on one axis at a time. Fix typo for out-of bounds check which mixed width and height. + +2010-12-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac, src/hid/gtk/gtkhid-main.c: hid/gtk: Clean up mouse + warping code now we require a later GTK version Remove the X11 specific implementation and remove some comments in + the GDK one which were more relevant to explain why we previously + had two implementations. + +2010-12-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-dialog.c: Cleanup conditional code now we require + a later GTK version + +2010-12-27 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac: Bump required GTK version in configure.ac test to + 2.12 This is required, as we utilise some of the newer APIs. NB: GTK+ 2.12.0 was released in September 2007 + +2010-12-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui.h: hid/gtk: Remove unused DRAW_Z, VIEW_Z macros + +2010-12-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Don't avoid panning when + further X11 events are pending Since we are using motion hints, we should not get bogged down with + motion events, so we should probably just act upon them. + +2010-12-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: hid/gtk: Use motion notify events to avoid too + rapid pointer events Not fixing a specific problem - just a backport from the GL branch. + +2010-12-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c, src/find.h, src/hid/gtk/gui-netlist-window.c, + src/hid/lesstif/netlist.c, src/rats.c, src/select.c: Revert undo + changes relating to RatFindHook calls This reverts commit 1aac06b665330507ca42f380e38f32875a979cd9 and commit d3b508ca272b7d89aa27f552ab78943f9bed27f9. I made a mistake by assuming the undo data saved by the RatFindHook + (when looking up nets to select) was redundant. I had presumed this + since the flags being set are reset again before we finish + processing. What actually happens, is that further processing sets the SELECTED + flag on the FOUND objects. Undo data is saved for the SELECTED flag + addition, which stores the previous flags of the object. When an + undo is performed, it also restores the FOUND flag we wanted to keep + unset. In order to get back to our original state, we need the RatFindHook + to store undo information for when the FOUND flag was initially set. The ResetConnections() call after the RatFindHook should still be ok + not saving undo information, as it only touches object flags which + will be restored anyway by the undo data now saved by the + RatFindHook. NB: Revert was manually modified to retain an indenting change in + find.c and to add the required changes to select.c, which had + additions using the RatFindHook API since the above reverted commits + were made. + +2010-12-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/find.c, src/report.c, src/set.c: Remove Draw() + call from ResetFound*() Let the caller do it This allows multiple changes to be grouped into one repaint + operation. Use this where appropriate, such as the Netlist lookup + functions. + +2010-12-23 Martin Kupec * martin dot kupec AT kupson dot cz * + + * src/action.c, src/const.h, src/select.c: Add NetByName to the + select action options v2: Check Net name appropriately v3: Ensure we set the changed flag Reviewed-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * + +2010-12-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c, src/find.h, src/hid/gtk/gui-netlist-window.c, + src/hid/lesstif/netlist.c, src/rats.c: find.c: Remove "undo" + parameter to RatFindHook Since all callers pass false for the "undo" parameter since the last + commit, remove it from the function prototype. NB: The file-global variable "User", which it previously set can be + assumed to be false, as it defaults to false - and is restored after + any code which temporarily sets it to true. + +2010-12-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c, src/hid/lesstif/netlist.c: We + don't need the RatFindHook to save undo state when doing netlist + lookup We already save undo information to get to a known state when + resetting the FOUND flags. Since we later reset back to this state, + we don't need to save undo data. + +2010-12-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c, src/hid/lesstif/netlist.c: Fix + netlist selection to save undo information for wiped "FOUND" flags When we wipe the found flags on objects, we should also queue them + for redraw - this fixes that too, as the ResetConnections() argument + controls both redraw AND saving undo data. + +2010-12-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c, src/hid/lesstif/netlist.c: + Remove unneeded Undo serial number save / restore in netlist lookup The RatFindHook function does not call anything which will increment + the Undo serial number, so the save / restore calls are not + required. + +2010-12-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/autoroute.c, src/find.c, src/find.h, + src/hid/gtk/gui-netlist-window.c, src/hid/lesstif/netlist.c, + src/rats.c, src/report.c, src/set.c, src/toporouter.c: Fix broken + undo handling for ResetFound*() and ResetConnections() Many callers have this idiom: SaveUndoSerialNumber (); ResetFoundPinsViasAndPads (AndDraw); RestoreUndoSerialNumber (); ResetFoundLinesAndPolygons (AndDraw); The intent is to squash the two operations into one undo operation. + The ResetFound* functions DO increment the Undo serial number but + ONLY if that particular function makes a change. Assume for the example that the first ResetFound* call makes some + change and increments the serial number. This is then reset by the + caller. If the second ResetFound* call doesn't find anything, there + is no overall increment to the serial number. Move the undo serial number handling out of the ResetFound* calls, + and let the callers increment the serial number if desired. To + facilitate this, return a boolean value from these functions to + indicate whether any changes were made which could be undone. For convenience, expose previously static find.c function + ResetConnections() in order to avoid repeating Undo handling in each + caller to the two ResetFound* functions which are often called + together. + +2010-12-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/hid/gtk/gui-netlist-window.c, + src/hid/lesstif/netlist.c, src/select.c: select.c: Remove Draw() + call from SelectConnection Make callers responsible for calling the final Draw(), to avoid + multiple redraws if other operations are to occur, such as changing + "FOUND" flags on objects after making the selection. + +2010-12-23 Patrick Bernaud * patrickb AT chez dot com * + + * src/free_atexit.h: Fix substitutions for leaky_*alloc functions + +2010-12-22 Tibor Palinkas * geda AT igor2 dot repo dot hu * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Use leaky_* functions for + deliberate leaks in ghid_ui_info_append Allocate cached temporary memory with the leak_* memory allocation + wrapers which allow these to be freed at exit. + +2010-12-22 Tibor Palinkas * geda AT igor2 dot repo dot hu * + + * src/free_atexit.c, src/free_atexit.h: free_atexit.c: Add + leaky_calloc() function for deliberately leaked calloc's Stores a pointer to the calloc'd memory to be free'd at exit of the + program. Returned memory must not be free'd manually. + +2010-12-22 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/report.c: report.c: Fix missing return value + +2010-12-22 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/toporouter.c: toporouter.c: Make inline functions "static + inline" gcc builds break for CFLAGS="-g" only builds (no -O2). Inlineing is + only performed when optimisation is enabled, and unless we make all + local inlined functions "static inline", it does not emit the + non-inlined assembly for them, resulting in undefined symbols at + link time. + +2010-12-22 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui.h: hid/gtk: Move + more gdk specific drawing routines to gtkhid-gdk.c + +2010-12-22 Tibor Palinkas * geda AT igor2 dot repo dot hu * + + * src/Makefile.am, src/free_atexit.c, src/free_atexit.h, src/main.c: + Add leaky_{malloc,realloc} functions for deliberate non-free'd + allocations These are to be used for local allocations made and cached for the + lifetime of the program (never free'd). Typically, these are stored + in a static char * variable (or similar) within a function. The leaky_*() functions store the addresses of all memory they + allocate, and for debugging builds, free it upon exit of the + program, thus allowing leak detectors such as valgrind to ignore our + deliberate "leaks". The memory returned by leaky_*() must not be free'd. Build system and PCB integration, and leaky_atexit.c reindenting by + Peter Clifton * pcjc2 AT cam dot ac dot uk * + +2010-12-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/parse_l.l: parse_l.l: Fix warning implicit definition of + function β€˜CreateBeLenient’ Warning was introduced in commit + e8dd18a2f7b83c6108d2c9398903d4be92e22d4c The required prototype was + added to create.h, but create.h was not included in parse_l.l Note to developers: Please "make clean" and build with "make -s" before pushing changes. + This kind of bug is really easy to catch, and I just recently spent + a log of effort cleaning up PCB's compile so we can catch real bugs! Pretty please? + +2010-12-20 Martin Kupec * martin dot kupec AT kupson dot cz * + + * src/action.c: action.c: Change macro ARG, to return NULL instead + of 0 When the argument number requested does not exist, return NULL, not + 0, as this is more in keeping with the type (char *) normally + returned. Commit message modified by Peter Clifton * pcjc2 AT cam dot ac dot + uk * + +2010-12-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : commit 7392b020deca9ef155f3b1449f1ad8fac6d6083b Author: Peter + Clifton * pcjc2 AT cam dot ac dot uk * Date: Mon Dec 20 13:54:41 + 2010 +0000 + +2010-12-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/search.c, src/search.h: Improve grid snapping + heuristics + +2010-12-19 DJ Delorie * dj AT delorie dot com * + + * src/create.c, src/create.h, src/parse_l.l: Allow via copper to + overlap; preserve illegal vias from file. Reduce "overlapping via" tests to check for overlapping drills, not + overlapping copper. If a file being loaded has "illegal" vias, load + them anyway - the check only happens at create time, if the user + figures out a way around it, preserve it. + +2010-12-16 DJ Delorie * dj AT delorie dot com * + + * src/rats.c: Further work on rats patch. Add a lot of comments to clarify what's happening in that function + (the previous patch was bogus because the function re-uses a + structure in an unintended way). Fixed the logic to be mew + paranoid. + +2010-12-16 DJ Delorie * dj AT delorie dot com * + + * src/rats.c: Fix rats bug (SF 3137324) When adding rats from a netlist, we want to skip nets with too few + connections, not netlists with too few nets. + +2009-02-20 Ineiev * ineiev AT users dot sourceforge dot net * + + * src/report.c: report object coordinates in selected units SF patch 1621915 HOW TO TEST load a pcb; Ctrl-R on objects of different types; the + reported units should be either mm or mils according to selected + units 04 Oct 2010: merged with Joerg Wunsch's patch 2995918 "Add + human-readable info to polygon report" + +2010-12-12 Ineiev * ineiev AT users dot berlios dot de * + + * src/polygon.c: [PATCH] fix bug 2793480 (vias/arcs-to-polygon + clearances) frac_circle(): introduce radius_adjustment factor to make the + polygon outline the arc rather than connet points on the arc ArcPolyNoIntersect(): compute number of segments so that polygon + diverges from the arc no more than 0.02 of required thickness; + adjust outer "arc" radius like in frac_circle() + +2010-12-11 DJ Delorie * dj AT delorie dot com * + + * src/file.c: Check for special name "(local)" to mean "." When expanding a tree of footprints, we want the symbolic name + "(local)" to mean the "." subdir. Now that we check for chdir() + failures, we can no longer "just work" because the chdir didn't + change directories. + +2010-12-11 David Dandar * ddandar AT users dot sourceforge dot net * + + * src/action.c: Let RealignGrid align grid to a pin or pad snap. SF patch 2476262: Realign grid has been broken for a while. Darn mm and mil mix + components were killing me. I got desperate and fixed it, but I'm + not sure if it's the right fix. It does work though. Hope this + helps. + +2010-12-11 Seb James * seb AT esfnet dot co dot uk * + + * src/rats.c: SF patch 1674895: This crash occurs in rats.c, around line 610 in the function + DrawShortestRats() where CreateNewRat() is called with some + arguments which don't exist. It occurred for me when I tried to "optimize rats nest" on an + invalid design. There's no check in DrawShortestRats() that the firstposition and + secondposition pointers are not NULL. firstposition->X (and Y) and + secondposition->X (and Y) are passed as arguments to CreateNewRat(). + It is possible in some cases that firstposition and secondposition + are not reset from 0 in the code preceding the call to + CreateNewRat(). In these cases a segfault will occur. + +2010-10-09 Cesar Strauss * cstrauss AT not2005 dot cea dot inpe dot br * + + * configure.ac, src/action.c: Check for the _spawnvp function and + use it if present. + +2010-10-09 Cesar Strauss * cstrauss AT not2005 dot cea dot inpe dot br * + + * src/.gitignore: Ignore generated files on Windows. + +2010-12-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/buffer.c, src/command.c, src/create.c, + src/drill.c, src/file.c, src/find.c, src/hid/common/flags.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gui-dialog-size.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/styles.c, src/intersect.c, src/main.c, src/misc.c, + src/mymem.c, src/mymem.h, src/netlist.c, src/parse_l.l, + src/parse_y.y, src/rats.c, src/remove.c, src/report.c, src/undo.c: + Remove My* memory allocation routines. Just use the standard APIs + directly This means the following changes: 1. Replace all calls to MyCalloc() with calls to calloc() 2. Replace all calls to MyMalloc() with calls to malloc() 3. Replace all calls to MyRealloc() with calls to realloc() 4. Replace all calls to SaveFree() with calls to free() 5a. Where the MYFREE(x) macro is used and its pointer clearing + side- effect is required, call free (x); and (x) = NULL; directly. 5b. Where the MYFREE(x) macro is used, and (x) is immediately re- assigned, or its location free'd or zero'd, skip the (x) = + NULL; 6. Replace all calls to MyStrdup() with calls to the STRDUP(x) + macro, which expands to (((x) != NULL) ? strdup (x) : NULL). NB: The previous commit already replaced various known "safe" calls + of MyStrdup() with strdup(), when the argument is known to be + non-NULL. 1,2,3 and 6. mean that we discard the following features of the mymem.c allocators: 7. Logging messages when MEM_DEBUF defined 8. Logic to assign 1 unit of memory if 0 is requested 9. Allocation failure handling via MyFatal() -> EmergencySave() With feedback from Stephen Ecob * silicon dot on dot inspiration AT + gmail dot com * 7. Is old debug code which is rarely (if ever) used. Current debug + tools such as Valgrind can do a much better job of memory debugging + now. 8. This is really papering over the possibility of a caller bug, and should never have been included in the first place. 9. This is unlikely to actually ever save someone from loosing their + PCB. Patch created with the assistance and testing of Stephen Ecob * + silicon dot on dot inspiration AT gmail dot com * + +2010-12-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/buffer.c, src/copy.c, src/create.c, src/file.c, + src/fontmode.c, src/main.c, src/misc.c, src/move.c, src/netlist.c, + src/rats.c: Convet some "safe" usage of MyStrdup to plain strdup These cases are ones where we know the caller will not risk passing + a NULL string to duplicate. Patch created with the assistance and testing of Stephen Ecob * + silicon dot on dot inspiration AT gmail dot com * + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/create.c: Ensure CreateNewText() returns NULL if called with + NULL text. Ensuring that text will not be NULL allows us to replace the + MyStrdup call with a simple strdup. + +2010-12-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c: file.c: c Attempt to handle failure of + GetWorkingDirectory() and chdir() Report the error to the user if any GetWorkingDirectory or chdir + call fails. Add attempts to change back to the original working + directory on error paths. Ideally, these functions would be re-implemented to operate without + changing the current working directory at all. + +2010-12-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/change.c: Plug some memory leaks of strings + returned from gui->prompt_for() + +2010-12-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/vendor.c: vendor.c: Fix memory leak and unused variable in + ActionLoadVendorFrom() Also, don't bother testing x != NULL before calling free (x). + +2010-12-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidnogui.c: hid/common: Fix / re-write + nogui_{prompt_for,fileselect,confirm_dialog}() Fixes some pretty nasty bugs in these functions: 1. These functions must return allocated memory, strdup will do + nicely. 2. fgets will insert the newline character into the buffer, so we + need to check if we just got a '\r' or '\n' as our first character, + not just '\0' when deciding whether to return the default string or + not. 3. DO NOT strcpy a the default string... we don't know if it will overflow our buffer 4. For the "fileselect" case, return NULL if the user didn't give us + a filename, and the caller didn't specify a default string. Prompt + for will return strdup (""), equivalent to what it previously did. + +2010-12-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c: hid/batch: Use hidnogui as a default base + hid to avoid code duplication + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Mark some strings as translateable in + ActionImport() + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c, src/misc.h, src/mymem.c, src/mymem.h: Make the strings + passed to AttributePutToList and MyStrdup (const char *) Silences some compiler warnings when using const char * strings. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/dialogs.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/lesstif/netlist.c, + src/hid/lesstif/styles.c: hid/lesstif: Revert some Boolean->bool + type changes as required by Xt* APIs This is a partial revert of commit + ad5eb5a165442be38624aa622beeb57dc1d2afbd For consistency, I have also reverted the changes of True->true and + False->false when calling X11 APIs. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/dialogs.c: hid/lesstif: Use an intervening size_t + casts to aovid compiler warnings This is to avoid the compiler warning us what we already know.. that + we are passing an integer value cast into what might be a different + sized pointer. (On 64 bit builds). + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c: hid/batch: Add some missing header files + for prototypes + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/batch/batch.c, src/hid/common/hidinit.h, + src/hid/gcode/gcode.c, src/hid/gerber/gerber.c, src/hid/lpr/lpr.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: hid/common: Provide a header to define + hid_parse_command_line() Avoids having each caller having to pull it in with an extern + declaration. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/batch/batch.c, src/hid/common/actions.h, + src/main.c: hid/common: Provide a header file to define + print_actions() Avoids having each caller having to pull it in with an extern + declaration. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c: Fix function prototypes and dummy return + values for batch HID Most of these are dummy functions, but we should get the prototypes + right! Also, return 0 from dummy functions which are supposed to + return an integer. Fixes various compiler warnings. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid.h, src/hid/batch/batch.c, src/hid/common/hidnogui.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui.h, src/hid/lesstif/dialogs.c, + src/hid/lesstif/lesstif.h: HID: Make arguments to the prompt_for + method const char *, not char * Avoids compiler warnings calling gui->prompt_for() with a const char + * + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: Rename "r" variables used in calls to GetValue() to + be more descriptive A purely cosmetic change. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: action.c: Fix data types in GetValue calls We passed an (int *) rather than a (bool *) in ActionImport() and + parse_layout_attribute_units() + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c, src/misc.h: Change unmodifed parameters to GetValue to + const char * Silences some compiler warnings in action.c + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c: autoroute.c: #if 0 out an unused static function + add_clearance() Silences compiler warning. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/djopt.c: djopt.c: #if 0 out an unused static function + nudge_corner() Silences a compiler warning. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/buffer.c: buffer.c: Declare variables before code in + ActionFreeRotateBuffer() Avoids compiler warning "ISO C90 forbids mixed declarations and + code" + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/change.c: change.c: Remove unused variable in + ChangeElementText() + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: Remove unused variables in ActionElementList() and + ActionImport() + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: Add missing return value in ActionImport() + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rtree.c: Silence used function warning for __r_tree_is_good() + (Non-debug builds) __r_tree_is_good() and its helper functions are only used when + debugging, and are called from assert() macros. If "NDEBUG" is + defined, these expand to nothing, and the function is not called. Wrap __r_tree_is_good() and its helper, __r_tree_node_is_good() with + #ifndef NDEBUG + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c: file.c: The "elapsed" variable in LoadPCB is debugging + only Silence compiler warning by moving it inside the #ifdef DEBUG + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/flags.c: flags.c: Avoid compiler warning when casting our + OffsetOf value Strictly, our OffsetOf calculation "could" return a number as large + as the pointer size on the system - so the compiler will complain + when we squash it directly into an int. Casting via a size_t will + avoid the warning. Our structure offsets are small, so this is safe - if somewhat ugly. + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/toporouter.c: Toporouter: Comment unused debug variable Silences compiler warning + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/gts/pgraph.c: gts: Comment some unused variables left as + placeholders Silences compiler warnings + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/gts/edge.c: gts: Add cast to silence compiler warning + +2010-12-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/parse_l.l, src/res_lex.l: *.l: Add #define YY_NO_INPUT to + avoid defining unused "input()" function Silences compiler warnings + +2010-12-09 Stephen Ecob * silicon dot on dot inspiration AT gmail dot com * + + * src/buffer.c: Fix SmashBufferElement(), broken by fixing a memory + leak in FreeDataMemory() Commit 466b0183758ef3ca44623c43de60a233b175d2ad broke + SmashBufferElement() by changing FreeDataMemory() (which + SmashBufferElement() calls via ClearBuffer()). SmashBufferElement() + depended on the memory leak of Data->Element in FreeDataMemory(). This patch removes the dependence on the leak. Commit-message-reformatted-by: Peter Clifton * pcjc2 AT cam dot ac + dot uk * + +2010-12-07 Stephen Ecob * silicon dot on dot inspiration AT gmail dot com * + + * src/misc.c, src/mymem.c: Fixed some memory leaks associated with + the global PCB structure. + +2010-11-28 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Store is_pad flag for each line rather than trying to + deduce if a line is a pad or not. + +2010-11-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : commit 093a606b182229c8e28118ace1be7d6b6ad5cf7f Author: Peter + Clifton * pcjc2 AT cam dot ac dot uk * Date: Fri Nov 26 03:26:08 + 2010 +0000 + +2010-11-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Various speedups to the polygon code. Attempt to fix polygon slowness by avoiding the need to create a + completely new polygon for each boolean operation. This mostly + relies upon r-tree searches to find contours to operate on - rather + than searching each in turn. We avoid labelling all of the "A" polygon's contours, use the + contour r-trees to dynamically search the required data. Added code to reparent holes which end up in the wrong polygon piece + after inserting a new hole in InsertHoles. This means we don't have + to dump every potental hole we encounter in the holes insersion + queue, hopefully leading to better dynamic update performance. At this point, polygon performance has finally seen a net gain. HOWEVER: Due to differences in the order of polygon operations, the data-structures resulting from a boolean polygon operation may be sorted differently. In certain contrived cases, where a polygon is clipped into identically sized pieces, the resulting piece of polygon which PCB will keep and use on the board is different after this commit. + +2010-11-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Use heap structure to insert holes quicker in + InsertHoles() + +2010-11-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polyarea.h, src/polygon.c, src/polygon1.c: Optimise polygon + operations by keeping an rtree of POLYAREA contours Attempt to speed up the intersect() routine using this rtree rather + than generating a new one at each call. Due to the increased overheads of keeping an r-tree up to date, + there is a significant overall slow-down at this point in the patch + series. + +2010-11-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Use rtree of countours when computing an + intersection NOTE: This is more complex than the existing code, and on its own, actually slows things down a little. The intention is that the r-tree should be maintained + continually, so it doesn't need to be recreated with each call + to intersect(). + +2010-11-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: polygon.c: Accumulate vias and lines into batches + before subtracting them Accumulate polygons to clear from lines and pins in batches, then + clear from the polygon. Not quite sure why, but this _really_ seems + to speed up loading very complex boards. (e.g. 50sec -> 10sec for + one example). Possibly this is because withing the assembled batches, it is + cheaper to produce a more unified contour (touching lines), and the + complex contours of the main polygon are broken less frequently. It isn't quite clear why this helps so much for pins / vias (which + won't usually touch each-other), however it changes a 50sec load + time to 10 sec. This could perhaps be because any contours which + are smashed by clearance of closely spaced vias / pins now only + incurr the penalty of breaking the main contour once every batch + (100 vias / pins). Batch sizes (20 for lines, 100 for pins / vias) aren't necessarily + optimal! Also, clear pins and vias last... There is a chance these objects are simpler, and just end up as + holes in the main polygon, rather than causing a contour + intersection. This means it is cheaper to add them last. If we add them first, and make the polygon complex, objects (usually + lines) which pierce the polygon's outer contour cause all the holes + to be removed and queued for re-insersion after the new contour is + constructed. + +2010-11-07 DJ Delorie * dj AT delorie dot com * + + * lib/geda.inc: Add more ACY, ACPY, ALF, RCY, RCYP, JUMPER, and + HEADER macros to fill in the gaps. + +2010-11-07 DJ Delorie * dj AT delorie dot com * + + * src/toporouter.c: Comment out code to dump toporouter surfaces. + +2010-09-29 DJ Delorie * dj AT delorie dot com * + + * NEWS: Update NEWS from ChangeLog + +2010-09-29 DJ Delorie * dj AT delorie dot com * + + * ChangeLog: Update ChangeLog from git logs. + +2010-09-29 DJ Delorie * dj AT delorie dot com * + + * po/POTFILES.in: Fix for distcheck errors. + +2010-09-29 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Make new text clear polygons + +2010-09-20 DJ Delorie * dj AT delorie dot com * + + * src/parse_l.l: Don't print "can't open ./default_font for reading" + all the time + +2010-09-19 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/parse_l.l: Wrap more messages in debug Messages about where fonts were found, or how many milliseconds it + takes to load a file, are not normally needed. Wrap them in DEBUG + conditionals. + +2010-09-14 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: If we don't use the outline to clip, don't draw + it either. + +2010-09-14 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Fix bug tracker # 3064887 PNG exported all black in photo mode if the "outline" was exactly + the board size, because the left/bottom edges were clipped leaving a + big hole. With this patch, we do two things: 1. Detect a non-trivial outline, and only do outline processing if + found. Thus, a rectangular outline exactly the size of the board is + just ignored - no black border on the output image. 2. Any outline line that's drawn exactly on the bottom/right edge is + brought in by half a pixel to ensure it's drawn in the resulting + image. + +2010-09-13 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Avoid divide-by-zero Check for a zero dispersion before computing it. + +2010-09-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/thermal.c: thermal.c: Initialise flags of the LineType object + in square_therm() Keeps valgrind quiet about conditionals based upon uninitialised + variables.. must be a good thing. + +2010-09-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/autoplace.c, src/autoroute.c, src/buffer.c, + src/copy.c, src/create.c, src/crosshair.c, src/data.h, src/djopt.c, + src/draw.c, src/file.c, src/find.c, src/flags.c, + src/hid/batch/batch.c, src/hid/common/extents.c, + src/hid/common/flags.c, src/hid/gcode/gcode.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-top-window.c, src/hid/lesstif/dialogs.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c, src/line.c, src/macro.h, src/misc.c, src/move.c, + src/polygon.c, src/print.c, src/puller.c, src/rats.c, src/rtree.c, + src/rubberband.c, src/search.c, src/select.c, src/toporouter.c: + Split usage of "max_layer" into max_copper_layer and max_group PCB has an equal number of layer groups as copper layers, so the + "max_layer" #define'd variable has been used throught the codebase + to delimit iteration over both layer groups, and layers. This makes it hard for people reading the codebase to identify + whether a given loop is over layers or layer groups (since the + counter variable is often fairly terse or indescript). Confusingly, + in some of the code, layer groups indexes are stored in a variable + called "layer". To aid understanding of the code, this commit removes the max_layer + variable (#define'd to (PCB->Data->LayerN)), and replaces it with + two similarly #define'd variables, "max_copper_layer" and + "max_group". This commit also introduces convenience macros: #define solder_silk_layer (max_copper_layer + SOLDER_LAYER) #define component_silk_layer (max_copper_layer + COMPONENT_LAYER) Which make the code intention much clearer for a common case of the + old max_layer variable usage. + +2010-09-10 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Fix lesstif SwapSides magic layer option. If the first layer in the layer group is silk, the swap sides key + won't auto-toggle the solder and component groups, nor properly + activate them if it does. Add code to find a visible *copper* layer + in the layer group and use that. + +2010-09-09 Ineiev * ineiev AT gmail dot com * + + * src/find.c: find.c: Add RATLINE_TYPE to switch statement in + BuildObjectList Part of Ineiev's patch from: + http://archives.seul.org/geda/user/Nov-2009/msg00456.html Modified-by: Peter Clifton * pcjc2 AT cam dot ac dot uk * + +2010-09-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c: Fix crash due to uninitalised variable in DRC check + Bug #3033772 Andrew Armenia noted that the second pointer returned from + BuildObjectList(), "object_type_list" would be left uninitialised if + the object type was not encountered. A subsequent call to free() in + DRCFind() would then cause memory corruption. The issue was originally reported by Ineiev: + http://archives.seul.org/geda/user/Nov-2009/msg00456.html That patch addresses the lack of a RATLINE_TYPE in the + BuildObjectType function, but does not prevent a segfault for other + unknown types. + +2010-09-08 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Fix arc bounding box math. Calculations for Arc bounding boxes weren't taking into account the + wide range of possible starting arcs. This patch uses modulus to + force angles into canonical forms and iterates through quadrants to + capture the full extents of each arc. + +2010-09-08 DJ Delorie * dj AT delorie dot com * + + * src/parse_y.y: Fix typo in parse_y.y A typo in parse_y.y was causing the documentation to be corrupted. + +2010-09-07 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: Fix layer + visibility logic for some boards. If the Groups() line listed the c/s layer before the copper layer, + disabling silk would also disable the copper. This change searches + the entire layer group looking for any layer that might need to be + drawn, and uses that as the exemplar instead of blindly choosing the + first layer in the list. + +2010-09-01 DJ Delorie * dj AT delorie dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Add import settings to menus At the bottom of the Settings menu, add a submenu for import + settings for placing new elements. + +2010-09-01 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Store units for import::disperse If the user specified the dispersement as "123mm" for example, store + that string as-is for convenience. + +2010-09-01 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Enhance GetValue Allow cm and in as units. Allow the units to be part of the value + string or separate. + +2010-09-01 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Add new-part-location to import Adds import::newX, import::newY, and import::disperse attributes. + These determine where new parts are located when they're added to + the layout, including some random variation to keep them from being + all on exactly the same spot. Parameters were added to Import() to + set these: In @code{Import()} is called with @code{setnewpoint} then the + location of new components can be specified. This is where parts + show up when they're added to the board. The default is the center + of the board. @table @code @item Import(setnewpoint) Prompts the user to click on the board somewhere, uses that point. + If called by a hotkey, uses the current location of the crosshair. @item Import(setnewpoint,mark) Uses the location of the mark. If no mark is present, the point is + not changed. @item Import(setnewpoint,center) Resets the point to the center of the board. @item Import(setnewpoint,X,Y,units) Sets the point to the specific coordinates given. Example: + @code{Import(setnewpoint,50,25,mm)} @end table Note that the X and Y locations are stored in attributes named + @code{import::newX} and @code{import::newY} so you could change + them manually if you wished. Calling @code{Import(setdisperse,D,units)} sets how much the newly + placed elements are dispersed relative to the set point. For + example, @code{Import(setdisperse,10,mm)} will offset each part + randomly up to 10mm away from the point. The default dispersion is + 1/10th of the smallest board dimension. Dispersion is saved in the + @code{import::disperse} attribute. + +2010-09-01 DJ Delorie * dj AT delorie dot com * + + * src/misc.c, src/misc.h: Add AttributeRemove functions Add AttributeRemoveFromList() and the wrapper AttributeRemove() + +2010-08-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Remove unused colour + allocation for "BlackPixel" + +2010-08-28 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Remove unused extern HID + ghid_hid from gui-top-window.c + +2010-08-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove the unused "Erasing" flag / counter This variable tracks whether the object being drawn is to be erased. + It is never actually tested, so remove it. + +2010-08-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Initialise integer counters with 0, not + "false". For the "doing_assy" flag, fix its type to "bool" rather than "int". + +2010-08-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Remove "HaveGathered" parameter from + DrawLineLowLevel() This is superflous, as it is only passed as TRUE when the Gathering + variable it overrides is known to be FALSE anyway. + +2010-08-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/data.c, src/data.h, src/draw.c: Remove unused global variable + "render", and "extern bool RedrawOnEnter" The "render" variable was set and reset, but was not used anywhere. + +2010-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Track previous state of + crosshair attachements when undrawing For correctness - this doesn't necessarily fix any rendering bugs. + +2010-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-utils.c: hid/gtk: Simplify ghid_draw_area_update() If the rect passed is NULL, we can simply pass that on to + gdk_window_invalidate_rect() which will invalidate the whole area. + +2010-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h: hid/gtk: Remove unused + functions relating to string display Removes ghid_string_markup_extents() and ghid_string_markup() + +2010-08-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-utils.c: hid/gtk: Remove unused + ghid_draw_area_clear() function + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-top-window.c: Merge GTK attributes. Since the new help system only allows one attribute (i.e. command + line) list per HID, combine the two GTK lists into one. + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c, src/hid/lesstif/menu.c: Merge lesstif + attributes. Since the new help system only allows one attribute (i.e. command + line) list per HID, combine the two Lesstif lists into one. + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/main.c: Print all command line options with help. Keep track of which attributes we've printed as part of the HID + help. After that, print any remaining attributes we know about that + we haven't already printed. + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-top-window.c: Export + GTK attributes. The new help system uses export attribute lists for help, so the + Lesstif HID needs to export them. + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Export lesstif attributes. The new help system uses export attribute lists for help, so the + Lesstif HID needs to export them. + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Check for NULL element name when adding attributes. When setting element attributes, if the element is as yet unnamed, + use an "unnamed element" title instead of segfaulting. + +2010-08-15 Robert Spanton * rspanton AT zepler dot net * + + * po/ru.po, src/hid/gtk/gui-dialog.c: Add a keyboard shortcut to + pcb's "close without saving" option This patch adds a keyboard mnemonic to the "close without saving" + option in the close confirmation dialog box. + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Update Import() docs Fix capitalization. Mention that elements that should be removed + are left, but selected, after an Import. + +2010-08-15 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c, src/gpcb-menu.res, src/pcb-menu.res: Add + FreeRotateBuffer to the Menu Modify FreeRotateBuffer() to prompt the user if no angle is passed. + Add an entry to the menus to call it that way. + +2010-08-10 kai-martin * kmk AT bibo dot iqo dot uni-hannover dot de * + + * src/action.c: modified: action.c (fix element name position on + import schematic) + +2010-08-14 DJ Delorie * dj AT delorie dot com * + + * tools/gnet-pcbfwd.scm: Quote strings Quote all strings that might contains commas or close parens, to + avoid syntax errors when those names are passed to actions. + +2010-08-03 DJ Delorie * dj AT delorie dot com * + + * src/select.c: Don't select silk on the far side if the far side is + not shown The logic for determining if a layer was "on" didn't take into + account that the two silkscreen layers are handled differently. + Thus, if you tried to select shown silk, you would select hidden + silk on the other side too, unknowingly moving that around. With this patch, silk on the far side is only selected if the far + side ("invisible" side) is shown. + +2010-06-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/const.h, src/crosshair.c, src/flags.c, + src/gpcb-menu.res, src/hid/gtk/gui-icons-mode-buttons.data, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-top-window.c, src/hid/lesstif/main.c, + src/pcb-menu.res, src/set.c: Introduce POLYGONHOLE_MODE for creating + holes in polygons Having selected polygon hole mode, the first click selects which + polygon to cut a hole in. A second click defines the start point of + the hole contour. The tool then behaves in a similar way to the + polygon drawing tool, with the hole ending when the start point is + re-clicked. To avoid creating illegal polygons, the hole drawn is subtracted + from a representation of the original polygon with the + poly_Boolean_free(). This consolidates any contours it intersects + with and prevents the user defining contours which intersect each + other. (Although we don't currently prevent the the user drawing + self-intersecting contours). The resulting POLYAREA is re-processed into PolygonType objects, + potentially more than one - if the hole drawn bisects the original + polygon. To keep undo operations simple, these are added as + completely new objects and the original polygon is deleted - along + with its ID. + +2010-06-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c, src/polygon.h: Expose APIs for creating POLYAREA + from PolygonType objects and back The PolygonPoly() API wraps polygon.c's original_poly() function, + whilst PolyToPolygonsOnLayer() converts the passed POLYAREA and all + those linked to it into discrete PolygonType objects on the board. + +2010-06-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/autoroute.c, src/buffer.c, src/copy.c, + src/create.c, src/create.h, src/crosshair.c, src/file.c, + src/file.h, src/global.h, src/insert.c, src/insert.h, src/mymem.c, + src/mymem.h, src/parse_l.l, src/parse_y.y, src/polygon.c, + src/polygon.h, src/remove.c, src/report.c, src/undo.c, src/undo.h: + Support holes in input polygons (incl. file-format addition) PCB file-format date is now 20100606, and files saved with this or + greater PCB version will not load with older versions of PCB. If a + particular board doesn't make use of the polygon hole feature, the + PCB revision date in the file can be manually reset to 20070407. The file-format addition is as follows. Previously, a polygon would + be specified as a series of coordinates, such as: Layer(1 "component") ( Polygon("clearpoly") ( [6000 6000] [81000 6000] [81000 59000] [6000 59000] ) ) This commit introduces the ability to specify negative contours + which form holes in the polygon shape, e.g.: Layer(1 "component") ( Polygon("") ( [6000 6000] [81000 6000] [81000 59000] [6000 59000] Hole ( [76000 55000] [76000 38000] [58000 38000] [58000 55000] ) Hole ( [10000 10000] [10000 28000] [27000 28000] [27000 10000] ) ) ) The winding order of the contours specified in the file does not + matter, since PCB will automatically invert the order of the points + as necessary (as it always did with the outer contour). Hole contours should not intersect or self-intersect (although this + isn't checked for at load time). Hole contours must not intersect + the polygon's outer contour. Technical details: The PolygonType structure has a number of new fields, the critical + ones being an array of indices defining the start of hole contours. (PolygonType *)->HoleIndex[n] The number of hole contours is stored in (PolygonType + *)->HoleIndexN, and the maximum allocated memory for indices in + (...)->HoleIndexMax. The first hole contour starts at the point + given by (...)->Points[(...)->HoleIndex[0]], and continues until the start of + the next contour, or the last point defined. By storing all polygon points (including holes) in the existing + array (...)->Points[], existing code which operates on the polygon as a + whole, e.g. translation and rotation, can operate without change. For other operations, determining wrap-around to operate within the + same contour requires more computation. Some helper functions have + been introduced in polygon.c to aid this, next_contour_point() and + prev_contour_point(). Where applicable, these have been used to + simplify existing code which used ad-hoc wrap-around code. polygon_point_idx() computes the array index of a point in a polygon + from its PointTypePtr address. This is used to replace a search + idiom used in a number of places. polygon_point_contour() returns + the number of the contour a given point index belongs in, 0 for the + outer contour, 1 for the first hole etc.. Undo: Undo with holes has become a little more complex. The undo for a + point removal must now record which contour the point came from. + This is determined by the index of the removed point, and a new + boolean flag "last_in_contour", indicating if the point was at the + end of its contour. This flag is passed to InsertPointIntoObject(), + which uses it to disambiguate inserting a point at an index on the + boundary of two contours. Undo operations for removing hole contours "cheat" by saving a copy + of the whole polygon into the undo buffer rather than attempting to + describe the operation as a delta change to an existing polygon. + When undoing, the object IDs are swapped to keep them consistent. + +2010-06-05 Jared Casper * jaredcasper AT gmail dot com * + + * src/hid/gtk/gui-log-window.c: Add missing return statement. + +2010-06-05 Jared Casper * jaredcasper AT gmail dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Fix Bug #2717258, Lock mode + with F12. Binds F12 to lock mode in the default gcpb-menu.res and pcb-menu.res + files, bringing them inline with existing documentation. + +2010-06-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/hid/lesstif/main.c: Revert some "True" -> + "true" string changes These were inadvertently changed by the mechanised Boolean -> bool + replacement in commit ad5eb5a165442be38624aa622beeb57dc1d2afbd + +2010-06-05 Robert Spanton * rspanton AT zepler dot net * + + * src/action.c, src/action.h, src/autoplace.c, src/autoplace.h, + src/autoroute.c, src/autoroute.h, src/box.h, src/buffer.c, + src/buffer.h, src/change.c, src/change.h, src/clip.c, src/clip.h, + src/command.c, src/copy.c, src/copy.h, src/create.c, src/create.h, + src/crosshair.c, src/crosshair.h, src/data.c, src/data.h, + src/djopt.c, src/draw.c, src/draw.h, src/drill.c, src/file.c, + src/file.h, src/find.c, src/find.h, src/flags.c, src/global.h, + src/gts/gts.h, src/hid/gerber/gerber.c, + src/hid/gtk/gui-command-window.c, src/hid/gtk/gui-dialog-size.c, + src/hid/gtk/gui-drc-window.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui-netlist-window.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/dialogs.c, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/netlist.c, src/hid/lesstif/styles.c, + src/hid/nelma/nelma.c, src/insert.c, src/insert.h, src/line.c, + src/main.c, src/misc.c, src/misc.h, src/move.c, src/move.h, + src/mtspace.c, src/mtspace.h, src/mymem.c, src/netlist.c, + src/parse_l.l, src/parse_y.y, src/polygon.c, src/polygon.h, + src/polygon1.c, src/rats.c, src/rats.h, src/remove.c, src/remove.h, + src/report.c, src/rotate.c, src/rtree.c, src/rtree.h, + src/rubberband.c, src/search.c, src/search.h, src/select.c, + src/select.h, src/set.c, src/set.h, src/thermal.c, + src/toporouter.c, src/undo.c, src/undo.h, src/vendor.c, + src/vendor.h: Change all Booleans to bool. c99 provides bool. Declaring Boolean adds some obscurity to the + code, and could also miss out on some machine-specific + optimisations. This patch removes the definition of Boolean, and changes all + instances of it to bool. If you've come across this commit because it's causing you issues + when rebasing on mainline pcb, then you should find the following + script useful. You can use it to rewrite all of your local commits + to use bool instead of Boolean like so: git filter-branch --tree-filter rename-bool.sh HEAD...${HASH} Replacing ${HASH} with the latest commit that's in mainline. if [ ! -f src/autoplace.c ] then echo "This doesn't look like the PCB source directory." echo "Cowardly exiting to avoid causing misery!" exit fi chg_bool () { FNAME=$1 ADDR=$2 sed -i -e "${ADDR}s/\bBoolean\b/bool/g" "$FNAME" sed -i -e "${ADDR}s/\bTrue\b/true/g" "$FNAME" sed -i -e "${ADDR}s/\bFalse\b/false/g" "$FNAME" } find -name '*.[ch]' | while read f do chg_bool "$f" done find -name '*.[yl]' | while read f do # Replace first '%%' line with __TMP_MARKER_ sed -i -e '0,/^%%/s/^%%/__TMP_MARKER_/' "$f" # Replace in section before the first '%%' chg_bool "$f" "0,/^__TMP_MARKER_/" # Replace in section after the last '%%' chg_bool "$f" "/^%%/,$" # Remove the temporary marker: sed -i -e 's/^__TMP_MARKER_/%%/' "$f" done + +2010-06-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c, src/parse_y.y: Refer to git, not cvs when reading a + file from newer PCB. + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * po/LINGUAS, po/ru.po: Add Russian translation + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * po/POTFILES.in: Add source files with localized strings to .po + generation + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * src/hid/gtk/gui-keyref-window.c, src/rats.c: Adjust punctuation + and capitalisation of some messages + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * src/gpcb-menu.res: Add top level menu to localization + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: String unification and white + space cleanup String Rip up selected auto-routed tracks unified, so it can be + translated as one string. Also some white spaces at end of line + removed. + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * src/hid/common/actions.c: Localize need coord message before + output + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * src/action.c, src/change.c, src/create.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-command-window.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c, + src/hid/ps/ps.c: Add strings to localization + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * src/global.h, src/hid/gtk/gui.h: Move internationalization macros + to one header + +2010-06-02 Sergey Alyoshin * alyoshin dot s AT gmail dot com * + + * autogen.sh: Add rule to extract localized stings from .res files + +2010-06-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Don't call gdk_draw_points() + when we have no grid points to draw Fixes this warning seen when zooming close in on a course grid + setting: Gdk-CRITICAL **: gdk_draw_points: assertion `(points != NULL) && + (n_points > 0)' failed + +2010-06-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Fix node_label() function to work with + self-intersection Rather than just giving up if we encounter our own edges in the CVC + list at first, skip them until we either run out of edges, or find + one belonging to the other polygon. I'm not 100% sure this is the correct fix, but it "seems to work". Test-case: Layer(1 "component") ( Line[60000 70000 60000 90000 4000 2000 "clearline"] Line[80000 60000 80000 90000 4000 2000 "clearline"] Line[90000 90000 90000 50000 4000 6000 "clearline"] Line[60000 40000 80000 60000 4000 6000 "clearline"] Polygon("clearpoly") ( [10000 10000] [140000 10000] [140000 140000] [10000 140000] ) ) + +2010-06-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Fix the polygon touching contour test in + poly_ChkContour The following test-cases were used to help verify the changes: This polygon forms a self-touching shape like this: \| However, the right-hand edge does NOT have a node at the + junction. /| This previously caused it to fail the + self-intersection test. It should be reported as good. Polygon("") ( [85000 50000] [85000 90000] [83000 90000] [83536 63535] [85000 59999] [83535 56464] ) This polygon forms a self-intersecting shape like this: |/ (The vertical section is a straight line with no node in the + middle) /| It must be reported as bad. Polygon("") ( [85000 50000] [85000 90000] [83000 90000] [83536 63535] [85000 59999] [89535 56464] ) This polygon self-intersects, and must be reported as bad: Polygon("") ( [160000 50000] [160000 90000] [170000 100000] [180000 120000] [180000 150000] [160000 150000] [160000 120000] [170000 100000] [180000 90000] [180000 50000] ) This polygon self-touches, and should be reported as good: Polygon("clearpoly") ( [120000 50000] [120000 90000] [130000 100000] [120000 120000] [120000 150000] [140000 150000] [140000 120000] [130000 100000] [140000 90000] [140000 50000] ) + +2010-06-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Fix poly_ComputeInteriorPoint() to work correctly + for holes The step where the algorithm finds a convex node to start from must + take into account whether the polygon vertices are ordered as a hole + or an outer contour. We now correctly compute a point inside the + hole, rather than possibly outside it. This fixes an assertion on the following test-case. Prior to this + commit, the incorrect "interior" point tested for the concave hole + happens to lie inside the polygon's other hole, causing it to fail + an assert during processing. Layer(2 "solder") ( Line[340000 160000 183700 108000 1500 3000 "clearline"] Line[92000 121000 120000 90000 1500 3000 "clearline"] Line[270000 90000 120000 90000 1500 3000 "clearline"] Polygon("clearpoly") ( [40000 40000] [320000 40000] [320000 200000] [40000 200000] ) ) The bug was created in my attempt to speed up poly_ContourInContour: + commit 3d0a8bd1dae0816d364a774bf9b958faf2983ec7 + +2010-05-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Speed up poly_ContourInContour() test by computing + interior point NB: This introduces a behaviour change in the boundary case, that + two identical contours will now be considered to be inside each + other. First perform a test on an arbitrary boundary node (proving that the + contour being testing for "insideness" is not outside the other + contour. (This cannot not conclusively prove the contour is inside). In many cases, this simple node test gives enough evidence to return + 0 for the ContourInContour test computing and testing an interior + point. Rather than checking each exterior point, compute a strictly + interior point (not on the boundary), and test that against the + second contour. Benchmarked to improve performance over other fixes for the buggy + test. Example board load (CPU) times for a complex board: 21.50 (buggy contour_in_contour - single node point test) 24.43 (brute-force node point tests) 21.79 (single node test, then internal point test) + +2010-05-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Fix poly_ContourInContour() test not to return + TRUE for touching contours This test could previously return true for touching contours, such + as: __________.... |_________ | : :........ || : :: /\ : || : + Note that the bounding box of A is inside that of B, :: / \ :/ \ : + such that initial bounding box checks won't reject the ::/ A \/ B + \: possibility of A being inside B. ::\ /\ /: :: \ / :\ / + : ::..\/..:.\/..: When testing for insideness, the first point on A's contour is + picked. In this case, unfortunately being the touching X point + between the two contours. This point (correctly) returns as being + inside B - and the false presumption is that the whole A contour is + inside B. This commit introduces an unfortunately slow, but more robust test, + where we check each node in A for whether it is inside B. We return + as soon as we find an A node outside B, however this means the test + is VERY much slower for the case where A _is_ inside B. + +2010-05-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Add comment explaining assumptions for + poly_ContourInContour function Also, document its buggy boundary condition where the arbitrary + point chosen to test happens to be a common node shared between two + separate contours (which the test should return FALSE for). + +2010-05-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac: Make --enable-dbus default for the GTK and Lesstif + HIDs + +2010-05-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/main.c: Allow running of action scripts when running PCB as an + exporter + +2010-05-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Improve dump_poly() output Make it print each contour of a POLYAREA, and move the NEXT PLINE + and NEXT POLY messages inside the loops interating over these + structures. + +2010-05-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Add tool-tip to identify + element, pin and net when hovering + +2010-05-09 DJ Delorie * dj AT delorie dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Clarify the meaning of keys + bound to SetValue() Clarifies that keys which are bound to SetValue change the styles, + not the existing objects, so they really affect *new* objects. + +2010-05-04 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Fix PNG bloat for drills. Keep track of when the GC is doing an erase or drill, and invert the + bloat for holes. + +2010-04-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c: Allow for only one confirm button. The GTK confirm_dialog() hook now supports the user passing only one + button. Before, if you passed just "ok" it would create two OK + buttons. + +2010-04-23 DJ Delorie * dj AT delorie dot com * + + * src/change.c: Add hint about :MinClearGap(Selected,=10,mil) Add a hint to the user about how to change the clearance of a group + of objects. + +2010-04-23 DJ Delorie * dj AT delorie dot com * + + * src/change.c, src/change.h: Handle attempts to change clearance on + polygons. If the user attempts to change the clearance between polygons and + objects within the polygon by pressing 'k' over the *polygon*, + notice that attemp and tell the user how to accomplish what they + want. + +2010-04-12 Kai-Martin Knaak * kmk AT lilalaser dot de * + + * doc/extract-docs: expand the syntax of documentating comments This is part of the effort to derive the command line option entries + in the manual dynamically from the source. The expanded syntax + allows for more control on the actual headings rendered in the + manual. 1) accept keys enclosed in quotation marks ("). This allows for + multiple word nodes in the documentation. 2) strip leading digits of the key after sort. This allows to + control the order of nodes from the source. + +2010-04-16 Jared Casper * jaredcasper AT gmail dot com * + + * doc/pcb.texi, doc/thermal.pcb: Fix pr2136131. Clarify image of + thermal in doc. Also added some text about changing the style of thermal using + shift-click in the sections that talk about it. + +2010-03-18 Jared Casper * jaredcasper AT gmail dot com * + + * src/hid/common/actions.c: Check action name at registration. Prevent actions with spaces and '(' in their names from being + registered; these will cause ambiguity and problems in + hid_parse_actionstring. + +2010-03-18 Jared Casper * jaredcasper AT gmail dot com * + + * doc/pcb.texi, src/action.c, src/hid.h, src/hid/batch/batch.c, + src/hid/common/actions.c, src/hid/common/hid_resource.c, + src/hid/gtk/gui-command-window.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, src/main.c: + Consolidate hid action parsing. - Create a common routine hid_parse_command, which handles both + action script style "action(arg1, arg2);" and command entry style + "action arg1 arg2". This is done by making a static + hid_parse_actionstring function, which takes a boolean to determine + whether or not it should accept command entry style strings. + hid_parse_actions functions as it currently does, only accepting + action script style, but does so by calling hid_parse_actionstring + with TRUE. - Use hid_parse_command across all hids for user command entry, + removing command_parse in lesstif/main.c and batch/batch.c. - Added extra error handling to common hid_actionv to match + lesstif_call_action and remove lesstif_call_action. + +2010-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/toporouter.c: Fix some "mixed declarations and code" issues. + +2010-04-04 Ineiev * ineiev AT gmail dot com * + + * src/misc.c: Fix "Request for bounding box of unsupported type + 1024" Tracker bug # 2893717 + + https://sourceforge.net/tracker/?func=detail&atid=538811&aid=2893717&group_id=73743 + +2010-04-03 DJ Delorie * dj AT duopoly dot delorie dot com * + + * src/hid/lesstif/dialogs.c: Fix doc typo + +2010-04-03 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c: Open + schematic file chooser dialog if PCB name is not set. (cherry picked from commit 209e1031656f58ffe40189d93893457975c4042f) + +2010-04-03 DJ Delorie * dj AT duopoly dot delorie dot com * + + * src/hid/lesstif/dialogs.c: Implement lesstif ImportGUI() + +2010-04-03 DJ Delorie * dj AT duopoly dot delorie dot com * + + * src/action.c: Add missing newline. + +2010-04-03 DJ Delorie * dj AT duopoly dot delorie dot com * + + * src/action.c: Let the GUI deal with choosing schematics. If the PCB is as yet unnamed, or if the pcb name doesn't correspond + to a schematic file, have Import() call ImportGUI() to let the user + tell PCB what to do. Note: corresponding HID changes are separate commits. + +2010-04-03 DJ Delorie * dj AT duopoly dot delorie dot com * + + * src/misc.c, src/misc.h: Add AttributePut functionality. Add the logic to put arbitrary attributes into a PCB. + +2010-04-03 Stuart Brorson * sdb AT cloud9 dot net * + + * src/file.h: Remove conflicting declaration of ParseLibraryTree. This is a static function declared in file.c. Therefore I took it + out of file.h. + +2010-04-03 Stuart Brorson * sdb AT cloud9 dot net * + + * src/action.c: Place components in middle of board instead of at + 0,0. + +2010-03-01 Stuart Brorson * sdb AT cloud9 dot net * + + * src/action.c, src/buffer.c, src/change.c, src/copy.c, + src/create.c, src/file.c, src/file.h, src/global.h, src/main.c: + Support for footprints not in subdirectories Added tons of debug spew to various files to aid in program tracing + Also, modify library loading stuff in file.c to allow footprints to + live directly in library directory (rather than only one level + down). + +2010-04-02 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: When built with less than all of the graphic + formats that the png exporter supports, don't segfault anymore. The + problem is an array is defined differently at compile time but the + default value for the format was constant and a ways into the array. + Problem reported by jean on irc. + +2010-04-01 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: Inform the user where (what file or + compiled in defaults) the menu config came from. Should help with + some of the gpcb-menu.res versus pcb-menu.res and the + ./gpcb-menu.res versus ~/.pcb/gpcb-menu.res confusion which comes up + from time to time. + +2010-04-01 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Return non-fail for ReportAllNetLengths() + +2010-04-01 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Record the calculated length in Report(NetLength) + +2010-03-24 Dan McMahill * dan AT mcmahill dot net * + + * : commit d9e4279e4c0af142bc776bdd4a3b905388ce6b5a Author: Dan + McMahill * dan AT mcmahill dot net * Date: Wed Mar 24 17:38:17 + 2010 -0400 + +2010-03-22 anthonix * anthonix AT anthonix-desktop dot (none) * + + * : commit 6081daa8966c8c83bb734789c38dd5c42dd97443 Author: + anthonix * anthonix AT anthonix-desktop dot (none) * Date: Mon Mar + 22 12:38:01 2010 +1300 + +2010-03-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Fix Typo + +2010-03-20 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Speccut bug fix + +2010-03-20 anthonix * anthonix AT anthonix-desktop dot (none) * + + * : commit 1ee723379413c20973bdad27b1e1cf1d722cf3d1 Author: + anthonix * anthonix AT anthonix-desktop dot (none) * Date: Sat Mar + 20 19:45:05 2010 +1300 + +2010-03-19 Anthony M. Blake * anthonix AT anthonix dot resnet dot scms dot waikato dot ac dot nz * + + * : commit e45f4e8d15f2c30f8ccb37de5b0bfa801fca572c Author: Anthony + M. Blake * anthonix AT anthonix dot resnet dot scms dot waikato dot + ac dot nz * Date: Fri Mar 19 16:25:38 2010 +1300 + +2010-03-18 Dan McMahill * dan AT mcmahill dot net * + + * tests/run_tests.sh: Missed the copyright bump when adding the + gcode compare routines a few weeks back. + +2010-03-18 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, tests/golden/Makefile.am, + tests/golden/hid_png2/Makefile.am, + tests/golden/hid_png3/Makefile.am, tests/tests.list: Add tests for + --outfile and --dpi arguments to the PNG exporter + +2010-03-13 Kai-Martin Knaak * kmk AT lilalaser dot de * + + * README, doc/pcb.texi, src/main.c, win32/Readme.txt: replaces + "pcb.sourceforge.net" with "pcb.gpleda.org" + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid.h, src/hid/common/actions.c: Apply patch from Patrick + Bernaud: Add function to register single action. The possibility to register a single action has been introduced with + commit 337fa8ba8094cee1ed291cec7bf29895ac7c9d72 but then reverted + later when changing way of passing context to action callback. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid/common/actions.c: Apply patch from Patrick Bernaud: Modify + HID actions storage. It removes the HID_ActionNode structure and directly store + registered actions in an array of HID_Action*. It also provides a nicer way of making sure the array is sorted and + the code for binary search within the array has been removed in + favor of a call to bsearch(). + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/main.c: Apply patch from Patrick Bernaud: Set 'exporter' + global variable before printing defaults. In print_defaults(), when the HID to print defaults is not a gui, + set 'exporter' global variable prior to calling HID's + get_export_options() callback. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/main.c: Apply patch from Patrick Bernaud: Rewrite function + that print HID options. The previous version of usage_hid() had a strange search for gui HID + attributes through 'hid_attr_node' rather than requesting them + directly from the HID. As a result it was calling the get_export_options() callback on + exporter without prior setting of the exporter global variable. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid/gtk/gui-dialog-print.c: Apply patch from Patrick Bernaud: + gtkhid: Avoid use of 'exporter' when testing for exporter + availability. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid/gtk/gui-dialog-print.c: Apply patch from Patrick Bernaud: + gtkhid: Set and unset global variable 'exporter' when printing. The GTK hid was not setting the global variable 'exporter' before + exporting the layout in ghid_dialog_print(): exporter HID relying on + this variable being set were lost. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid.h, src/hid/common/actions.c, src/hid/lesstif/menu.c: Apply + patch from Patrick Bernaud: Provide context to callbacks of actions + through global variable. Providing a context to action is necessary for scripting languages + (for example). To do so, a global variable ('current_action') is + being defined: it is saved, set and restored when running the + callback of an action in a way similar to what PCB already does with + HIDs. The callback function may access the action it belongs to and cast + it the way it wants to extract information from a potentially + extended HID_Action structure. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid/common/actions.c: Apply patch from Patrick Bernaud: Sort + actions by references in 'all_actions'. Previously, when building 'all_actions', a copy of every HID_Action + was performed for each action. Now it builds 'all_actions' with + pointers to the actual HID_Action structures (without reallocating). + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid.h, src/hid/common/actions.c, src/hid/hidint.h, + src/hid/lesstif/menu.c: Apply patch from Patrick Bernaud: Revert + "single-action register/deregister". This reverts commits 337fa8ba8094cee1ed291cec7bf29895ac7c9d72 and + b274cf8fe9c8caf5bcd4edc28935c88cf5bab7f4 before the introduction of + a new context passing scheme for actions. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid.h: Apply patch from Patrick Bernaud: Fix comment for + global variable 'gui' in hid.h. hid_start_gui() does not exist. The variable is instead set in + main() and hid_expose_callback(). + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/draw.c: Apply patch from Patrick Bernaud: Modify + DrawSpecialPolygon() prototype to not take a pointer on HID. DrawSpecialPolygon() was the only function to take a HID as + parameter. Every other draw function works on the current HID + through global variable 'gui'. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid/gtk/gui-dialog-print.c: Apply patch from Patrick Bernaud: + Support HID_Mixed options in attribute dialog Since an HID_Mixed attribute is the combination of a real and an + enum, reuse the code for HID_Enum to provide a combo box next to the + spin button for the real part. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/hid/common/hidinit.c, src/hid/gtk/gui-config.c, src/main.c: + Apply patch from Patrick Bernaud. Modified code to not abort on HID_Mixed attributes. Th gtk HID is + modified to understand such an attribute (though the attribute + dialog has still no support for them), the lesstif HID is unchanged. + +2010-03-14 Stuart Brorson * sdb AT cloud9 dot net * + + * src/main.c: Applying patch from Patrick Bernaud. HIDs with a name too long were breaking alignement in usage() as the + code requested insertion of a tab after the name even for HID names + already exceeding the length of the tabulation. This is similar to how usage_attr() already does alignment of name + and help text. + +2010-03-13 Dan McMahill * dan AT mcmahill dot net * + + * : commit b75d1fe8d42c138ae499898db5b926948b73f767 Author: Dan + McMahill * dan AT mcmahill dot net * Date: Sat Mar 13 09:08:06 + 2010 -0500 + +2010-03-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gcode/gcode.c: Remove invalidate_wh from the new gcode HID + too. + +2010-03-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/global.h, src/main.c, src/misc.c: Remove Settings.init_done + variable and its usage This variable was previously used to allow action scripts run prior + to loading the GUI to avoid GUI startup if they executed a "Quit" + action. The requirement dates back prior to the HID split, where the "Quit" + action would cause PCB to call gtk_main_quit(), an operation which + is illegal if the GUI main loop was not yet started. The init_done + flag was used in QuitApplication(), both to determine whether the + GUI had started, and if not - to note that the GUI should not be + initialised later. (Instead of calling gtk_main_quit()). With the HID split, the QuitApplication() function was changed to + call exit(0) rather than gtk_main_quit(), so this dance isn't + necessary at all now.. in either case, we can just exit the + application immediately. + +2010-03-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/global.h: Remove unused HistorySize field from the settings + structure + +2010-03-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/hid.h, src/hid/batch/batch.c, + src/hid/common/hidnogui.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c: Remove unused "last" parameter from HID + "invalidate_lr" method + +2010-03-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid.h, src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/extents.c, src/hid/common/hidnogui.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/hid/lpr/lpr.c, src/hid/nelma/nelma.c, + src/hid/png/png.c, src/hid/ps/eps.c, src/hid/ps/ps.c: Remove unused + HID method invalidate_wh() invalidate_lr and invalidate_all are sufficient APIs for our needs. + +2010-03-11 Dan McMahill * dan AT mcmahill dot net * + + * data/pcb.desktop.in: Revert "As silly as it seems to group any + sort of scientific or EDA" I've pushed really hard to sort this nonsense out, and this patch is + not in my opinion suitable for applcation. gEDA, gerbv and PCB all + deliberately omit the Education category.. this is not where we need + to fix this issue. I strongly object to putting bogus categories into our apps. If + Debian want to patch these in, let them. This reverts commit b402a144e19225f0648189bca6fbfad95d046047. + +2010-03-11 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: Fix an obvious bug in the previous commit. + Caught by harry. + +2010-03-11 Dan McMahill * dan AT mcmahill dot net * + + * data/pcb.desktop.in: As silly as it seems to group any sort of + scientific or EDA software under "Education", this appears to be the + standard documented by + http://standards.freedesktop.org/menu-spec/1.0/apa.html Noted in + patch #2889228 by Ahmed El-Mahmoudy. + +2010-03-11 Dan McMahill * dan AT mcmahill dot net * + + * data/pcb.desktop.in: "Encoding" is deprecated. + http://standards.freedesktop.org/desktop-entry-spec/1.0/apc.html + Noted in patch #2889228 + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: It is gcode/hid.conf not gcode/gcode.conf that + needs to be distributed. Also put this file in the correct sorted + location. + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: Add missing AC_PROG_MKDIR_P check. + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: Fix building outside of the source tree with + dependency tracking disabled. In that case some directories need to + be manually created. Fixes bug #2889226. + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: In the rectangle fill code fix a bug in swapping + of the coordinates. It doesn't appear that this code path is + encountered much. + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: Add DSC to the postscript outputs to keep certain + windows based postscript viewers a little happier. Patch supplied + in patch #2700352 by Bob Paddock. + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * INSTALL, configure.ac: The gcode exporter used libgd so make sure + we check for it and document that we need it. Noted by Patrick + Bernaud in bug #2967313 + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * tests/inputs/Makefile.am: Make sure the simple gcode test layout + makes it into the distfile. + +2010-03-10 Dan McMahill * dan AT mcmahill dot net * + + * : commit 802576013b5d780cd87caafa31c694279ee2dc4f Author: Dan + McMahill * dan AT mcmahill dot net * Date: Wed Mar 10 13:15:26 + 2010 -0500 + +2010-03-09 Stephen Ecob * silicon dot on dot inspiration AT gmail dot com * + + * src/djopt.c: Fix Freckles The autorouter sometimes ends a trace just past a pad. The + optimizer cuts this trace at the pad center, leaving a tiny trace + left over. This tiny trace is a "freckle" and it inhibits mitering. + Check for such freckles and remove them. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * tests/run_tests.sh: Make the xy file check actually do something. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, tests/golden/Makefile.am, + tests/golden/hid_gcode1/Makefile.am, + tests/golden/hid_gcode1/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode1/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode1/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode10/Makefile.am, + tests/golden/hid_gcode10/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode10/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode10/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode11/Makefile.am, + tests/golden/hid_gcode11/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode11/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode11/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode2/Makefile.am, + tests/golden/hid_gcode2/out.back.cnc, + tests/golden/hid_gcode2/out.drill.cnc, + tests/golden/hid_gcode2/out.front.cnc, + tests/golden/hid_gcode3/Makefile.am, + tests/golden/hid_gcode3/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode3/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode3/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode4/Makefile.am, + tests/golden/hid_gcode4/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode4/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode4/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode5/Makefile.am, + tests/golden/hid_gcode5/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode5/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode5/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode6/Makefile.am, + tests/golden/hid_gcode6/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode6/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode6/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode7/Makefile.am, + tests/golden/hid_gcode7/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode7/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode7/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode8/Makefile.am, + tests/golden/hid_gcode8/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode8/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode8/gcode_oneline.gcode.front.cnc, + tests/golden/hid_gcode9/Makefile.am, + tests/golden/hid_gcode9/gcode_oneline.gcode.back.cnc, + tests/golden/hid_gcode9/gcode_oneline.gcode.drill.cnc, + tests/golden/hid_gcode9/gcode_oneline.gcode.front.cnc, + tests/inputs/gcode_oneline.pcb, tests/run_tests.sh, + tests/tests.list: Add testsuite entries to test the gcode export HID + and all of its command line options. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/gcode.c: Remove RCSID. We don't use those anymore. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/gcode.c: Change options like 'drill depth' to + 'drill-depth' as a space in a command line option is non-standard. + Also be consistent with having or not having a "." at the end of the + option help strings. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/gcode.c: Remove unix2dos system() call. It was not + needed. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/trace.c: Get rid of compiler warnings. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/gcode.c: Get rid of some gcc warnings. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/gcode.c: C++ style comments -> C style comments + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.1: Fix nroff error. Noted in sf patch #2889227 by Ahmed + El-Mahmoudy. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add the gcode exporter to the export hid list + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * INSTALL: add notes about what libs may be needed (none) for the + gcode exporter. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/auxiliary.h, src/hid/gcode/bitmap.h, + src/hid/gcode/curve.c, src/hid/gcode/curve.h, + src/hid/gcode/decompose.c, src/hid/gcode/decompose.h, + src/hid/gcode/gcode.c, src/hid/gcode/gcode.h, + src/hid/gcode/lists.h, src/hid/gcode/potracelib.h, + src/hid/gcode/trace.c, src/hid/gcode/trace.h: indent to conform to + the coding style used by pcb. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: gcode_lists.h should depend on gcode sources, not + png sources. + +2010-03-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gcode/auxiliary.h, src/hid/gcode/bitmap.h, + src/hid/gcode/curve.c, src/hid/gcode/curve.h, + src/hid/gcode/decompose.c, src/hid/gcode/decompose.h, + src/hid/gcode/gcode.c, src/hid/gcode/gcode.h, + src/hid/gcode/hid.conf, src/hid/gcode/lists.h, + src/hid/gcode/potracelib.h, src/hid/gcode/trace.c, + src/hid/gcode/trace.h: Apply sf patch 2948711 from Alberto Maccioni + which adds a g-code exporter. + +2010-03-08 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: When building the docs have configure check for + epsf.tex being in the TeX installation. Problem noted by Kai-Martin + Knaak and the method for checking suggested by Peter Clifton. + +2010-03-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac: Fix test for whether to rebuild the shipped + pcblib-newlib library ${top_srcdir} is a Makefile variable, we need $srcdir in + configure.ac + +2010-03-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * lib/Makefile.am: Fix make distcheck by removing regenerated newlib + footprints The generated newlib files need to be removed by make distclean if + they are being updated by the build process. If the files aren't + being updated, we should not delete them as they were originally + distributed with the source tarball. + +2010-03-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * tools/Makefile.am: Fix distribution of gnet-pcbfwd.scm in the dist + tarball Use the dist_ prefix to make this simple, so our installed targets + are now listed in dist_..._DATA, rather than ..._DATA and + EXTRA_DIST. + +2010-03-01 DJ Delorie * dj AT delorie dot com * + + * src/parse_y.y: Allow empty attribute values. The STRING token is NULL when an empty string is indicated, but + empty attributes are different than missing attributes, so if a + STRING is NULL, store an empty string instead. + +2010-02-28 DJ Delorie * dj AT delorie dot com * + + * src/change.c: Fix bogus cast to BoxType Instead of casting, which hides real bugs, pass the address of the + bounding box itself. + +2010-02-24 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Add more flexibility to the import::make option. New attributes: make::outfile - the intermediate action file make::target - the + makefile target to call (defaults to outfile or pcb_import) + make::makefile - adds -f to make Added more documentation too. + +2010-02-24 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: Check both FOO and FOO.fp for footprints. + +2010-02-24 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Check for NULL names. + +2010-02-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/main.c: Use strdup to copy environment strings into our + settings structure. getenv returns a const char * which is part of the environment and + must not be free'd. + +2010-02-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/main.c: Fix default gnetlist executable name to be "gnetlist" The old value "defgnetlist" appears to have been a mistake. + +2010-02-22 Jared Casper * jaredcasper AT gmail dot com * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-netlist-window.c, + src/hid/gtk/gui.h: hid/gtk: Prevent extra windows from showing + unnecessarily Prevent the library, log, and netlist windows in the GTK HID from + being shown unless explicitely requested. This is done by splitting + ghid_*_window_show into ghid_*_window_create, which creates all the + resources for the window, and ghid_*_window_show, which actually + shows the window (and optionally "presents" it, which pulls it to + the foreground and gives it focus). Since the NetlistShow action no longer actually shows the netlist + window, but sets the node/net that is shown in the window (if and + when it is visible), this patch also adds NetlistPresent which + presents the netlist window. This can be added to the + FindConnections menu item to restore the behavior of bringing up the + netlist window on a find. Added an action LogShowOnAppend() which can restore the old behavior + that the log window is shown whenever something is appended to it + (currently off by default). + +2010-02-22 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: Document that it is pcb-menu.res for the lesstif HID + and gpcb-menu.res for the GTK+ HID. + +2010-02-19 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh: Add some quoting to handle the case where + there are spaces in some of the directory names. As noted by peque on irc, this script failed when the build + directory was located somewhere that had a space in a directory + name. Adding the extra quotes fixes that. + +2010-02-16 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Revert an unintended change. + +2010-02-16 Ineiev * ineiev AT gmail dot com * + + * src/global.h: Fix broken build due to missing struct members Looks like a part of commit ef2efdd2a18a744eb715ffc1278aace1a2cded46 + was omitted by mistake. + +2010-02-07 Ineiev * ineiev AT gmail dot com * + + * src/find.c, src/search.c: Fix bugs in the arc intersection + routine. Bug #2942582 This bug resulted in various false identificaton of connectivity + between arcs and other object. Notes from Ineiev's emails: So I built a montecarlo; fixed some ugly unrealistic cases like thin + arc merged in bloat and arc->Delta<-360; ran the test program + (aat.c) several hours on different machins; that discovered no + errors, though the number of points was not very high (a thousand or + slightly more): the reference functions are really slow. That resulted in arc.bis.patch. I tested it also with already + mentioned teardropped OSDCU.pcb and t1.pcb. Then, eliminate two + precision losses. I feel I ought to stop here: the patch fixes many more bugs than + originally reported. + +2010-02-13 Dan McMahill * dan AT mcmahill dot net * + + * : commit ef2efdd2a18a744eb715ffc1278aace1a2cded46 Author: Dan + McMahill * dan AT mcmahill dot net * Date: Sat Feb 13 12:41:35 + 2010 -0500 + +2010-02-11 DJ Delorie * dj AT delorie dot com * + + * src/action.c, tools/gnet-pcbfwd.scm: Fix pcb forward annotation + bugs Finish rename of pcblf -> pcbfwd, handle pcb-has-no-name case. + +2010-02-09 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/action.c: When mkdtemp() is available use it + instead of tmpnam() for creating temp file names to be used by + gnetlist or make. + +2010-02-06 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: Remove some gcc warnings about unitialized variables. Remove some gcc warnings about uninitialized variables. The logic + was such that if the variables weren't ever assigned to somethign + then they also would not have been accessed but by getting rid of + this warning, we increase the chances of paying attention to other + warnings which may indicate real bugs. + +2010-02-06 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: Add missing return on an action function which is + supposed to return an int. + +2010-02-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: Remove some gcc warnings. Use GPOINTER_TO_INT(), GINT_TO_POINTER() and remove a couple of + unused variables to eliminate some gcc warnings. + +2010-02-06 Dan McMahill * dan AT mcmahill dot net * + + * src/netlist.c: In functions returning non-void, give a return + value for returns. + +2010-02-06 Dan McMahill * dan AT mcmahill dot net * + + * src/file.h: Add missing prototype for sort_netlist() which is + called in netlist.c. + +2010-02-06 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/action.c: Remove some gcc warnings. Add missing headers for fork(), execvp(), wait(). Also remove a + couple of unused variables. + +2010-02-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-netlist-window.c, src/hid/lesstif/netlist.c: + Rename NetlistChanged() to avoid conflicts Rename the existing static NetlistChanged() functions in the Gtk and + Lesstif HIDs to avoid conflicts with the core global + NetlistChanged() function. + +2010-02-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: swap png bloat too When computing the bloat offsets for filled rectangles, apply the + bloat after sorting the Y coordinates but before swapping them if + we're printing the solder side. + +2010-02-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Fix drawn circles When drawing a "line" that's zero length, check for rounded ends + (circle) vs square ends (square). + +2010-01-31 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/data.h, src/hid.h, src/misc.h, src/netlist.c, + src/rats.c, src/undo.c, tools/Makefile.am, tools/gnet-pcbfwd.scm, + tools/gnet-pcblf.scm: Changed element/netlist syntax as follows: ElementList(start) ElementList(need,...) ElementList(done) Netlist(freeze) Netlist(add,...) Netlist(thaw) Hooked all NetlistChanged() actions into a wrapper that checks for + frozen. + +2010-01-29 DJ Delorie * dj AT delorie dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Add import to gtk menu, + change lesstif menu to match. + +2010-01-29 DJ Delorie * dj AT delorie dot com * + + * tools/Makefile.am, tools/gnet-pcblf.scm: Add the new netlister. Adds the new script-based netlister and the install rules. Note + that this installs PCB's netlister in gEDA's data directory. + Unusual, but it's the best way to keep pcb in sync with its own + netlister. + +2010-01-01 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/global.h, src/gpcb-menu.res, src/hid.h, + src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/hidnogui.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/dialogs.c, src/hid/lesstif/lesstif.h, + src/hid/lesstif/main.c, src/hid/lesstif/xincludes.h, + src/hid/lpr/lpr.c, src/hid/nelma/nelma.c, src/hid/png/png.c, + src/hid/ps/eps.c, src/hid/ps/ps.c, src/pcb-menu.res: Add attribute + editor GUI to gtk and lesstif. Attributes(Layout|Layer|Element) Attributes(Layer,layername) Let the user edit the attributes of the layout, current or given + layer, or selected element. + +2009-12-27 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/misc.c, src/misc.h, src/pcb-menu.res: Add + Import() action Add an action to import schematics into the pcb. It allows for a + list of schematics to be specified in the action or in the pcb, as + well as supporting using a Makfile instead of gnetlist. + +2009-12-27 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Undo an accidental change. + +2009-04-21 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/buffer.c, src/buffer.h, src/change.c, + src/change.h, src/create.c, src/draw.c, src/file.c, + src/hid/lesstif/main.c, src/misc.c, src/misc.h, src/netlist.c: + Work-in-progress for LF: netlist importing + +2010-01-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Check for empty layers. If you have a four-layer board but the inner layers are empty, photo + mode dies. This checks for at least the more common case, but + further work to protect against *any* empty layer is warranted. + +2010-01-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Fix photo-mode mask layer. The addition of mask support to PNG export broke photo mode; this + patch undoes the mask support when photo mode is enabled. + +2009-12-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c, src/misc.c: Add support for specifying + soldermask and solderside from the command line Added "solderside" and "mask" as valid layers for --layer-stack. + Also added "silk" as an alias for "elements". Added mask support to + the PNG exporter so that it draws soldermask properly. Also added + support to PNG for showing the board from the solder side, and + removed some leftover cruft from when it was copied from the PS hid. + +2009-12-22 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Removed superfluous debug function + +2009-12-22 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c, src/toporouter.h: Toporouter: A few fixes The main fix is in the 'special cut' code, which had a tricky corner + case to deal with. The special cut code has also been commented in + the critical spots. There are a few other minor fixes, and a couple of heuristic + changes. + +2009-12-13 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Handle NULL strings when replacing elements. Sometimes, when you're building a board from the library, elements + won't have values for their strings. When replacing those elements, + replicate the NULL pointer rather than trying to strup it. + +2009-12-07 Chitlesh GOORAH * chitlesh dot goorah AT gmail dot com * + + * doc/refcard.tex: Update the quick reference From: Chitlesh GOORAH * chitlesh dot goorah AT gmail dot com * To: + gEDA user mailing list Hello there, The current layout of the PCB command reference PDF document (the + one currently being shipped) is broken. It looks like it on life + support. http://chitlesh.fedorapeople.org/pcb/refcard_old.pdf I've updated only the layout of refcard.tex + http://chitlesh.fedorapeople.org/pcb/refcard.tex to give + http://chitlesh.fedorapeople.org/pcb/refcard.pdf . Unfortunately, I + don't have enough time currently to update it to the latest key + shortcuts. Can you guys please apply it to the next release ? I hope + someone will update it in the meantime, else I'll dig into it next + year. Chitlesh + +2009-11-25 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: In the -h (help) output, mention which gui the gui + options are for. + +2009-11-25 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: Rather than having @code{Pcb} all over, refer to + the program name with @pcb{}. To help keep how we refer to and mark up the name of the program, + define a macro at the start and use it all over. Also change some of the "for X11" text since pcb really runs on what + ever windowing system gtk (X11 or windows) or lesstif (X11 for this + one) runs on. + +2009-11-23 Dan McMahill * dan AT mcmahill dot net * + + * : commit c81b2d09b281a357910759a373bd8e8bdc4b3cd1 Author: Dan + McMahill * dan AT mcmahill dot net * Date: Mon Nov 23 21:16:36 + 2009 -0500 + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c: hid/gtk: Add a guard against GC's being + passed from another HID Various other HIDs have this check. + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-gdk.c, src/hid/gtk/gui.h: hid/gtk: Move + definition of the device context inside gtkhid-gdk.c Keep it private to the drawing routines. + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: hid/gtk: Remove unused HID + "ghid_extents" + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/gtk/gtkhid-gdk.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: hid/gtk: Move various gdk drawing routines into a + new file gtkhid-gdk.c This separates some of the drawing code so it could be replaced more + easily. Pretty much a straight move at this point, but renamed the static + function "draw_grid()" to ghid_draw_grid() now it is no longer local + to gtkhid-main. Removed all #include not required to build in gtkhid-main.c and + gtkhid-gdk.c + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/hid.h, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c: Add SL_RATS layer ID to tell the GUI we're + drawing rats Also, let the GUI decide whether it wants to draw rats or not, + rather than have the core test PCB->RatsOn directly. + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/draw.h: draw.c: Apply const qualifier to DrawLayer + and DrawSilk bounds This keeps the compiler happier. + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: By popular(*) request, Make the cross-hair snap + to the center of pads * Popular.. or vocal?, I'm not sure. If more people don't like the new behaviour, there is always git + revert. There was of course, a third possibility - adding support to snap to + pad end-points _and_ their centers. I rejected this because of + "nearly" square pads - it becomes difficult to know if you've picked + up the center of the pad, and many of those asking for + snap-to-center wanted it in order to pick up and align components by + their pads. NB: Optimised rat-lines still go to the edge of pads, as this can + often look less cluttered. Rat-lines drawn to the center of a pad + will work correctly, and remain on the pad center until the next + time "optimise" is run on the rats-nest. + +2009-11-15 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c, src/rubberband.c: Add support for rat-lines ending at + the center of pads Optimised rats are still located at the edges of pads, but the rat + connection checking code will now also accept rats ending at the + center of a pad. + +2009-11-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.h: draw.h: Remove ClearPin prototype missed in last + commit + +2009-11-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Don't clear pins / vias from polygons... it is + already done! Our polygons already the required holes for pins, vias, pads etc.. + so don't waste drawing clearances twice. This looks to be a legacy + from the way PCB used to draw polygons. + +2009-11-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/draw_helpers.c, src/polyarea.h, src/polygon.c, + src/polygon1.c: polygons: Tag circular contours so they can be + special-cased when drawing. Tagging circular contours allows GUIs (if they wish) to draw + circular holes in polygons more accurately, and potentially faster - + depending on whether they use mask based rendering or rely on the + dicer. When zoomed far out (and using a mask based scheme), the drawing + routine can use a lower vertex count approximation to the contour - + leading to rendering speed improvements. This is used to reasonable + effect in the experimental OpenGL branch. Modify hid/common/draw_helpers.c to use these tags when thin-drawing + polygon contours with common_thindraw_pcb_polygon(). This allows the + GUI to change the level of detail rendered with zoom, and serves to + test this feature. DRC checking and output are still done using the fixed resolution + approximation present in the polygon contour, so in this respect - + it makes rendering somewhat unfaithful to the final output. + +2009-11-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: draw.c: Draw all vias, pins and pads under the mask + layer This fixes an issue where the rendering of a partially masked via is + inaccurate. The down-side is that partially, or completely masked + vias become obscured by the mask drawn above them. If the user is previewing the mask rendering, it is probably best + that they see an accurate representation - even if this means hiding + vias. + +2009-11-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Fix thindraw mask drawing for pins, including + octagonal ones We need to set the line-width for round pins when in thin-draw and + clearing the mask. We need to tell DrawSpecialPolygon() whether we need thin-draw or + not depending on whether we're clearing the mask or not. We don't + need to set the line-width outside of DrawSpecialPolygon(), since it + sets it its-self. Fix DrawSpecialPolygon() to set the line width and cap style on the + correct GC. + +2009-11-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/draw_helpers.c: hid/common: Control update of + NoHoles cache based on clip region If at least 50% of the bounding box of a polygon is within the clip + region, compute the whole NoHoles polygon and cache it for later + rendering. If less of the polygon is within the clip region, just compute what + we need to draw the piece we've been asked for. + +2009-11-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/draw_helpers.c: hid/common: Fix memory leak in + polygon NoHoles clipping routine poly_CopyContour creates the edge tree, as does ContourToPoly(), + which overwrite the one created in poly_CopyContour(). Replace call to ContourToPoly() with a discrete alternative without + the poly_PreContour() call. Also, don't try to poly_Free() the output of poly_Boolean_free() in + an error condition. poly_Boolean_free() re-uses the A input polygon + for its output, so attempting to free that might be dangerous. poly_Boolean_free() should free its inputs (and any partial output) + if it encounters an error. + +2009-11-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/draw_helpers.c: hid/common: Clip no-holes polygon + pieces before calling fill_contour This avoids integer overflow in some HIDs (GTK, Lesstif?) when + drawing at high zoom level. Such overflow would lead to incorrectly + drawn polygons. It is possible that a similar bug could effect thin-drawn polygons, + but that has not manifested its-self so far. If we were to clip + these in the future, we need to be careful to extend the clip region + slightly off-screen, so the outlines are not drawn. Ideally we would clip these vertices using a Sutherland-Hodgman + clipping algorithm, then we could simply discard edges which are + clipped completely. + +2009-11-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/hid/common/flags.c, src/hid/gerber/gerber.c, + src/hid/png/png.c, src/hid/ps/ps.c, src/print.c: Use strcmp() for + special layer names, rather than strcasecmp() Before commit 086aa491fae18f1ec72da047b772fa3510f72d0b, we were + using strcmp() and strcasecmp() in different places. That commit + changed to strcasecmp(). Lets choose to keep the more restrictive + option for now, which reduces the number of "magic" layer names PCB + supports. + +2009-11-12 Patrick Bernaud * b-patrick AT wanadoo dot fr * + + * src/hid/gtk/gui-top-window.c: hid/gtk: Fix strncat length when + building accelerator string. (CODE!) (Oops, I pushed an empty patch last time - Peter Clifton) Commit d6b396c4a34bb619c8e91da1e9cd9bd27ff54657 was not enough: + strncat() must be given the remaining length of buffer to ensure it + does not overflow. Plus it now emits a message in the unlikely case + of a too small buffer for an accelerator. + +2009-11-12 Patrick Bernaud * b-patrick AT wanadoo dot fr * + + * : hid/gtk: Fix strncat length when building accelerator string. Commit d6b396c4a34bb619c8e91da1e9cd9bd27ff54657 was not enough: + strncat() must be given the remaining length of buffer to ensure it + does not overflow. Plus it now emits a message in the unlikely case + of a too small buffer for an accelerator. + +2009-11-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/find.c, src/polygon.c: Correct rendering and + connectivity checks for zero clearance pads and pins NB: These areren't technically allowed by PCB, but it is nice that + when a user hacks zero clearance in their PCB file, that we: a) Draw polygons without any cleared gaps in the output b) Correctly determine that these objects will be connected to the + polygon + +2009-11-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/hid/gerber/gerber.c, src/hid/ps/ps.c: Use + strcasecmp when looking for the "outline" or "route" layer A some cases previously used strcmp, leading to inconsistencies in + our handling of these "special" layers. + +2009-11-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: polygon.c: Remove unused #define COARSE_CIRCLE 0 + +2009-11-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: Speed up unsubtraction from polygons when objects + are deleted This process worked by adding a new "blob" of polygon to cover in + the hole made by the object being deleted. If this "blob" + intersected the contour of the original polygon, it would cause the + polygon's contour to be damaged. To avoid this, the unsubract code + would always clip the resulting polygon against the original + (pristine) polygon contour. Unfortunately.. this clipping operation is gauranteed to intersect + the contour of the polygon - a very expensive operation, since all + holes inside the polygon (many on a complex board) have to be + re-processed. This speed-up is achieved by reversing the order of operation. The + "blob" to be added to the polygon is first clipped against the + pristine contour (a relatively cheap operation). This then allows us + to add the new clipped blob to the more complex polygon on the board + without worry that the contour may be compromised. + +2009-11-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c: file.c: Add profiling of CPU seconds consumed during + file load This information is useful to benchmark the load of complex boards + which consume a lot of CPU cycles clipping polygons during loading. Adding this upstream will allow me to gain information from users + describing load slowness, without having a copy their design. + +2009-11-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/ps/ps.c: hid/ps: Don't use diced polygons for postscript + output This speeds up postscript output on boards with complex polygons. It has the added advantage for some postscript and pdf readers that + there are no accidental gaps rendered between pieces of the diced + polygon due to non-global anti-aliasing in their renderer. + +2009-11-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: Play with number of line segments in line caps By changing from 36 lines-segments per circle to 40, we create a + symmetry at 45 degrees - a common angle for lines on a circuit board + to intersect at. This avoids building additional complexity in the + polygons which are cut by these intersections. + +2009-11-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: Fixup frac_circle to avoid repeating a vertex the + caller will add Before we were getting self-intersecting polygons in some cases, a + big no-no. One less vertex should be added than the expected + (CIRC_SEGS / range), since the caller adds the last vertex. + +2009-11-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: polygon1.c: #ifdef DEBUG_ALL_LABELS build + print_labels() Avoids warnings when building with DEBUG defined, but without + DEBUG_ALL_LABELS. + +2009-11-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: polygon1.c: Tidy up poly_Valid debug print + routines to match pline_dump Avoids a superfluous line: %d %d 10 10 ""] at the beginning of each output block. + +2009-11-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: polygon1.c: Fixup pline_dump() (debug code) to + match correct edge state The old code would mistakenly annotate with the edge state for the + next edge. From polygon1.c: /* note that a vertex v's Flags.status represents the edge defined + by * v to v->next (i.e. the edge is forward of v) */ + +2009-11-11 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon1.c: Re-write node_label() to only label the edge being + passed as its argument. Breifly, the old code tried to walk the entire CVCList labeling + multiple edges. The new code tries only to label the argument vertex + i.e. the forward ('N') edge from this vertex. It tries to look + counter-clockwise just one edge which will give the answer in most + cases. If that fails it looks further into the CVCList until it can + find the answer, then stops. The code is much simpler because we + know that the edge we are labeling is always departing the + cross-vertex point. (Futher comments and original bug diagnosis by Peter Clifton) This prevents "double labeling", where the old routine could label + any edges which intersect at the vertex passed to node_label(). This fixes a bug discovered by Stefan Salewski, where certain boards + could trigger an assert failure when PCB was built with debugging. The bug, it would seem - is relating to the way (and order) we were + labeling edges of polygon contours: 2 |/\ In this diagram, x is on the outer contour of our polygon, + x | and is in fact two vertices at the same point, lets denote + |\/ these as |\ and |/ 1 The labelling algorithm works up from point 1, gets to |\, whereupon + it gets the CVCList for the spatial point x - which contains |\ AND + |/ along with the (shared) edges from the clipping contour. node_label is called with the |\ vertex, but in the process of + scanning the CVCList at this point, it also checks for SHARED edges. + It _only_ checks shared edges against the |\ vertex, NOT the |/ one. During this pass, the | edge coming from the |/ vertex gets + mis-labelled as "OUTSIDE", where is should eventually get labelled + "SHARED" BUG: We then skip over calling node_label with the |/ vertex, since | has + already been labelled. We next get to point 2. Point 2 looks at the | edge, and declares it to be "INSIDE". (It + should already have been labelled "SHARED" by this point, so + node_label doesn't know any better. The | edge is already labelled "OUTSIDE" when we go to label it + "INSIDE".. assertion fail. This commit avoids missing the correct labelling of shared edges, by + ensuring we never label any edges in advance of calling node_label + for them. + +2009-11-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Reindent polygon1.c with "indent" This is a painful one for me to push, as it will certainly cause + rebase misery against all my local branches. Oh well... got to be done! + +2009-11-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/file.c: file.c: Don't walk off the end of a string in + string_cmp() We need to check that we don't advance past the terminating \0 in + the string. + +2009-11-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: polygon1.c: Fix some printf format arguments in + DEBUG sections %ld is for long int, and we are passing int, so use %d + +2009-11-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-dialog.c, src/hid/gtk/gui-top-window.c: hid/gtk: + Don't pass non-literat format argument to printf style functions. + +2009-11-09 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-top-window.c: Pass correct length to strncat We need to pass "sizeof (string) - 1", due to the NULL pointer. + +2009-11-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * win32/Makefile.am, win32/build_pcb, win32/pcb.nsi.in: win32: + Install Readme.txt in @docdir@ Also removes unnecessary fiddling with pkgdatadir which was + responsible for creating an empty directory $datadir/pcb-$VERSION/ Adjusted pcb.nsi.in to match new location of Readme.txt, but have + not test-built the Win32 package due to non-availablility. Adjusted build_pcb to put @docdir@ at ${pcb_inst}/doc, as this fits + the rest of the win32 build better. + +2009-11-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * example/Makefile.am, example/libraries/Makefile.am, + tutorial/Makefile.am: Install examples under @docdir@ This is what distro packaging scripts have been doing anyway, so + save them the work by moving our defaults. Suggested by Chitlesh GOORAH * chitlesh dot goorah AT gmail dot com + * + +2009-11-07 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * example/LED, example/LED.NET, example/LED.net, example/LED.pcb, + example/LED2, example/LED2.pcb, example/Makefile.am: examples: Add + ".pcb" extension to "PCB(2)", move "LED.NET" to "LED.net" ".pcb" change suggested by Chitlesh GOORAH * chitlesh dot goorah AT + gmail dot com * ".net" change was my doing, to match our registered + mime-types + +2009-11-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/lesstif/main.c: lesstif: Remove errornous & operator in + free () call GCC spotted this one. + +2009-11-05 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: Fix some details on branching and pushing a + local branch. + +2009-11-03 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: Update how to release files on sourceforge since + it has all changed. + +2009-11-03 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: Welcome to pcb-1.99z (decision time is looming) + +2009-11-03 Dan McMahill * dan AT mcmahill dot net * + + * : commit 7f38f164addcbfc0a9873d2e0782f921f2d005c9 Author: Dan + McMahill * dan AT mcmahill dot net * Date: Tue Nov 3 17:56:38 2009 + -0500 + +2009-11-03 Dan McMahill * dan AT mcmahill dot net * + + * utils/git2cl: Be more agressive about obfuscating email addresses. + +2009-11-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c, src/move.c: Fix mistaken use of MAX_LAYER + instead of max_layer. (Why this wasn't obvious, I can't possibly imagine ;)) Bug relates to batch HID, and move of text items onto the solder + layer. + +2009-11-02 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: Add a few more news item for the upcoming release. + +2009-11-02 Dan McMahill * dan AT mcmahill dot net * + + * : commit ccd37c7db665e3b0f15bc5377a54f89ba997296d Author: Dan + McMahill * dan AT mcmahill dot net * Date: Mon Nov 2 22:24:29 2009 + -0500 + +2009-09-22 Ineiev * ineiev AT users dot berlios dot de * + + * src/file.c, src/global.h, src/mymem.c, src/parse_y.y: add + attributes to layers Fix memory leaks of attributes + +2009-10-30 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, doc/Makefile.am, lib/Makefile.am: Provide better + support for building from anoncvs sources. There were a few conditionals which turned on additional portions of + the makefiles if it was determined that the build was from sources + obtained via git. Expand this to also detect if sources were from + CVS since we still support anonymous cvs for tracking sources. + +2009-10-29 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: Add some missing 'git push' to the instructions. + +2009-10-29 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: Add some last minute news items for 20091101 + +2009-10-29 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: Recommend using the -O (obfuscate email + addresses) option to git2cl + +2009-10-29 Dan McMahill * dan AT mcmahill dot net * + + * utils/git2cl: Teach git2cl how to obfuscate email addresses. Also + add a --help. + +2009-10-29 Dan McMahill * dan AT mcmahill dot net * + + * : commit dc0cdd25a1e490e7288622e9bcb5efd1f253c9cb Author: Dan + McMahill * dan AT mcmahill dot net * Date: Wed Oct 28 22:58:43 + 2009 -0400 + +2009-10-28 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: Take a first pass at updating the release + documentation for git instead of cvs. + +2009-10-28 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: Update the target release date for the next snapshot + +2009-10-22 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: Pass r_NoHolesPolygonDicer a POLYAREA *, not a + PLINE * No functional changes to callers of NoHolesPolygonDicer() The allows state in the POLYAREA to be passed into the recursive + dicer. This is needed in order to maintain an up to date r-tree of + contours in the POLYAREA. + +2009-10-22 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Fix bug with polygon boolean operation PBO_XOR (I + think!) If the contour in A is outside of B, it should be kept. It seems + this case may have been missed. PCB does not use the PBO_XOR operation, so it is difficult to test + whether this is correct or not. + +2009-10-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/global.h, src/polyarea.h, src/polygon1.c: Make rtree_t type + available to polygon1.c This saves a load of casting, and helps the compiler doing its job + catching programming errors. + +2009-10-21 Jared Casper * jaredcasper AT gmail dot com * + + * src/hid/gtk/gui-top-window.c: Fix a bug in gtk hid which caused + layer buttons to get confused (sourceforge bug 1988951) In ghid_layer_enable_buttons_update, the layer_buttons array was + being indexed using a counter which stoped at the current max_layer, + which was wrong. Changed this to use the constants LAYER_BUTTON_*. Also made the handling of the silk and rats buttons match the + (slightly more correct) handling of the other buttons in + layer_enable_button_cb. + +2009-10-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/create.c, src/global.h, src/hid/common/draw_helpers.c, + src/mymem.c, src/polygon.c, src/polygon.h: Add cache for "noholes", + diced versions of polygons + +2009-10-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/draw_helpers.c, src/polygon.c: Give callback from + NoHolesPolygonDicer ownership of the returned contour This means callers of NoHolesPolygonDicer() should call + poly_FreeContours on the contour they are passed (if they do not + wish to retain it). + +2009-10-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polyarea.h, src/polygon1.c: Replace poly_Clear(POLYAREA *) + function with poly_FreeContours(PLINE **) The poly_Clear function only clears the contours of the polygon + anyway, so make a more explicitly named function to do this work, + and pass the contours directly. This will be useful should we want to deal with a series of contours + separately from a POLYAREA object. + +2009-10-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/create.c, src/strflags.c: Fix "newfullpoly" flag + test and save its state into the .pcb file. The flag controlling this behaviour is kept up to date as a PCB + flag, not something which is updated in Settings.FullPoly. Change + the test accordingly. Added a PCB flag "newfullpoly" to save this state in the .pcb file. NOTE: "full" polygons severly break connectivity checking, as the + code always treats broken up pieces of the polygon as being + connected. It _might_ have been better to leave this support broken - so users don't inadvertently create polygons with the "fullpoly" + flag. TODO: Consider removing, hiding or adding warnings to this feature. + +2009-10-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: hid/gtk: Remove unused function + in_draw_state() + +2009-10-19 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/actions.c: hid/common: Fix dereference bug in + hid_find_action(). We want to check context for being NULL, not *context. + +2009-10-19 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/actions.c: hid/common: Don't walk off end of string + whilst parsing. Fixed a bug where the action parser would walk off the end of a + string when given an action without a "(" in it, e.g. "benchmark". + +2009-10-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/find.c: Tidy up IsPolygonInPolygon No functional changes + +2009-10-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/global.h, src/polygon1.c, src/rtree.c: Add some annotations to + help optimise branch prediction. Macros G_LIKELY and G_UNLIKELY were taken from GLib (LGPL 2), and + renamed without the G_ prefix. This hasn't had much discernable effect + +2009-10-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Rework iteration over contours in "intersect" to + improve performance We don't need to be using an r_tree search to determine if a + contour's bounding box hits anything in another contour. Just + compare the bounding boxes directly, then continue to the more + expensive testing. Rather than counting the vertices of each POLYAREA then swapping to + ensure we loop over the the small one, wait until we've worked out + which contours we're comparing. Rather than swapping, we just choose + which to loop over. This saves us time in the case where the larger + intersecting contour belongs to the polygon with fewer vertices. In one case, this change reduced a complex board's load time from + ~140 seconds to ~70. + +2009-10-14 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/.gitignore: Add dbus-introspect.h to src/.gitignore dbus-introspect.h is a generated file. + +2009-09-14 Dan McMahill * dan AT mcmahill dot net * + + * : commit 7ae3210e58b682577bbae1ff4d8ffc89a6666ad9 Author: Dan + McMahill * dan AT mcmahill dot net * Date: Mon Sep 14 07:20:50 + 2009 -0400 + +2009-09-11 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Fix "Design Rule Checker moves elements and traces off + grid" Patch from Ineiev * ineiev AT gmail dot com * to keep the grid from + changing just because DRC ran. + +2009-08-29 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * src/hid/batch/batch.c: gEDA-dev: [pcb patch] Correction of the pcb + homepage url in the batch HID Hi, Subject says it all. Kind regards, Bert Timmerman. >From 54b7d8e1d7704c1f467e0711f94dc564cc0a2c6d Mon Sep 17 00:00:00 + 2001 From: Bert Timmerman * bert dot timmerman AT xs4all dot nl * + Date: Sat, 29 Aug 2009 21:57:22 +0200 Subject: [PATCH] Correction of + the pcb homepage url in the batch HID. + +2009-08-14 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * src/misc.c: gEDA-dev: pcb [PATCH] Correction of the geda homepage + url and wiki url in the about dialog window. Hi all, Here is another one :) Kind regards, Bert Timmerman. >From c383fc1aabfcefb3c688bb5274f08874c86e7a8a Mon Sep 17 00:00:00 + 2001 From: Bert Timmerman * bert dot timmerman AT xs4all dot nl * + Date: Fri, 14 Aug 2009 00:33:26 +0200 Subject: [PATCH] Correction of + the geda homepage url and wiki url in the about dialog window. + +2009-08-13 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * src/misc.c: Correction of the pcb homepage url in the about dialog + window. + +2009-03-31 Bert Timmerman * bert dot timmerman AT xs4all dot nl * + + * src/hid/gtk/gui-dialog.c: Apply filters to load filechooser + dialogs. [1988982] [2686963] Applies filters to the filechooser dialogs when loading layouts, + layouts (to buffer), elements (to buffer) and netlists. Default behaviour is to not filter in the filechooser dialog. + Choosing a predefined filefilter in the filechooser dialog filters + on registered mime types, lowercase and uppercase file extensions. + Predefined filters are selected upon the action chosen in the "File" + pulldown menu. + +2009-08-04 Peter TB Brett * peter AT peter-b dot co dot uk * + + * README.cvs, README.git: Replace 'README.cvs' with 'README.git'. + [2810417] Provide information on how to use git to access the PCB repository. + Fixes bug #2810417. + +2009-08-04 Peter TB Brett * peter AT peter-b dot co dot uk * + + * doc/.gitignore: Add some generated .texi files to doc/.gitignore. + +2009-08-01 Uwe Hermann * uwe AT hermann-uwe dot de * + + * doc/gs/fb-blinker.texi, doc/gs/fb-smt.texi: gEDA-user: [PATCH] + s/pj-102.fp/pj102.fp/ in PCB tutorial Replace pj-102.fp filename with pj102.fp to make the PCB example + work. + +2009-07-30 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: Do not try to call gdImageCreate() on a 0x0 + sized image. This hopefully addresses some of the segfaults seen recently with + the latest version of gd. If a brush size scales to give a size of + 0 then bump it up to a single pixel. + +2009-07-29 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: Check return codes from the various gd + allocation functions. Check returns codes for gdImageCreate(), gdImageColorAllocate(), and + gdImageColorAllocateAlpha() calls to check for problems. + +2009-07-27 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: Don't disperse locked elements. When dispersing elements do not disperse locked elements. Locked + elements often times are mechanical and really should not be moved. + Noted by Levente Kovacs on gEDA-user. + +2009-07-07 anthonix * anthonix AT anthonix-desktop dot (none) * + + * : commit 2f80c6fc0c4aa1b7b5bb85d0d45f8415564dbe68 Author: + anthonix * anthonix AT anthonix-desktop dot (none) * Date: Tue Jul + 7 02:21:33 2009 +1200 + +2009-07-02 Dan McMahill * dan AT mcmahill dot net * + + * po/POTFILES.in: add src/toporoute.c add src/toporoute.c which lets this pass 'make distcheck' again. + +2009-07-03 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c, src/toporouter.h: Toporouter: ROAR + +2009-06-27 anthonix * anthonix AT anthonix-desktop dot (none) * + + * : commit ffb17f87f0d5c4e06574750f36a15e51f4ff89d3 Author: + anthonix * anthonix AT anthonix-desktop dot (none) * Date: Sat Jun + 27 11:27:55 2009 +1200 + +2009-06-25 Dan McMahill * dan AT mcmahill dot net * + + * : commit b17582ba2e192f42cd87d8466f16ea48fbde0d5b Author: Dan + McMahill * dan AT mcmahill dot net * Date: Thu Jun 25 22:58:29 + 2009 -0400 + +2009-06-26 anthonix * anthonix AT anthonix-desktop dot (none) * + + * : commit 889ee4f3a223c90d376c68524bce75423ef776bc Author: + anthonix * anthonix AT anthonix-desktop dot (none) * Date: Fri Jun + 26 13:56:48 2009 +1200 + +2009-06-26 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c, src/toporouter.h: Toporouter: One pass + curvilinear wiring + +2009-06-25 Dan McMahill * dan AT mcmahill dot net * + + * tests/run_tests.sh: When using the gerber HID, always use + --fab-author to make the resuls repeatable. Always use the --fab-author flag to the gerber HID to avoid many + false failures which would occur when different users try to run the + testsuite. Also fix a minor glitch when building from a read-only + source tree while here. + +2009-06-24 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, configure.ac: Top level configure stuff for the + testsuite. Add top level configure stuff missed in the previous few commits for + the testsuite. + +2009-06-24 Dan McMahill * dan AT mcmahill dot net * + + * tests/golden/Makefile.am, tests/golden/hid_png1/Makefile.am, + tests/run_tests.sh, tests/tests.list: Teach the testsuite how to + compare image files. Teach testsuite how to compare image files and add a very basic test + on the PNG HID. + +2009-06-24 Dan McMahill * dan AT mcmahill dot net * + + * : commit 81fdd6f7753046121b268cc562faab2d4bcb69f6 Author: Dan + McMahill * dan AT mcmahill dot net * Date: Wed Jun 24 22:16:17 + 2009 -0400 + +2009-06-23 Dan McMahill * dan AT mcmahill dot net * + + * src/buffer.c: Document the FreeRotateBuffer() action. Add syntax and help strings as well as the manual documentation for + the FreeRotateBuffer() action. + +2009-06-23 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: Add a comment about breakouts for fine pitch power + pins and the autorouter. Add a comment about breakouts for fine pitch power pins and the + autorouter. Suggested by harry on geda-user. + +2009-06-22 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: Add a short chapter on using the autorouter. Add a short chapter on using the autorouter based on email + instructions from harry on geda-user. + +2009-06-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Add bloat to PNG hid Add a --png-bloat option that takes a bloat setting, much like the + postscript HID. Optionally, a units suffix is allowed: --png-bloat + 10px or --png-bloat 1.2mil. + +2009-06-21 harry * harry AT harry-laptop dot (none) * + + * src/autoroute.c: calculate total wire length for result + +2009-06-21 harry * harry AT harry-laptop dot (none) * + + * src/buffer.c, src/create.c, src/polygon1.c, src/rats.c, + src/remove.c, src/search.c: Fix several errors, some more + point-boxes that weren't half open and several found with valgrind. + valgrind is your friend! + +2009-06-21 harry * harry AT harry-laptop dot (none) * + + * src/misc.c: fix single-point rectangles to correctly have + half-closed boxes + +2009-06-21 harry * harry AT harry-laptop dot (none) * + + * src/action.c: don't count one rat line twice when deleting them + +2009-06-21 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in: Improve the pre-install pcb wrapper script. The pcbtest.sh wrapper script is used to run pcb for testing before + pcb is installed. Improve the robustness of this script to allow it + to be run from a different directory from where it is created as + well as allowing the export HID's to be called with it. + +2009-06-21 harry * harry AT harry-laptop dot (none) * + + * : commit dfc097708cf04983767be89b4e29a551cc0b3bc3 Author: harry * + harry AT harry-laptop dot (none) * Date: Sun Jun 21 14:33:59 2009 + -0400 + +2009-06-21 harry * harry AT harry-laptop dot (none) * + + * src/autoroute.c, src/box.h, src/heap.c, src/heap.h, src/hid.h, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c, src/mtspace.c, + src/mtspace.h, src/rtree.c, src/vector.c, src/vector.h: Major + updates to the autorouter. Fixes bug created from prior changes + elsewhere and changes significantly the way that expansion searching + is performed. + +2009-06-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/actions.c: Oops, re-comment-out a debug statement. Re-comment out a debug statement that was accidentally left + uncommented in the last commit. + +2009-06-19 Jared Casper * jaredcasper AT gmail dot com * + + * src/hid/common/actions.c: Allow quoted strings and escaped + characters in action arguments. Quoting works similar to bash quoting: A backslash (\) is the escape character. It preserves the literal + value of the next character that follows. To get a literal '\' use + "\\". Enclosing characters in single quotes preseves the literal value of + each character within the quotes. A single quote may not occur + between single quotes, even when preceded by a blackslash. Enclosing characters in double quotes preserves the literal value of + all characters within the quotes, with the exception of '\' which + maintains its special meaning as an escape character. + +2009-06-16 Dan McMahill * dan AT mcmahill dot net * + + * : commit 8039b7114c038b05688f0e7c2a527a187cda242e Author: Dan + McMahill * dan AT mcmahill dot net * Date: Tue Jun 16 21:03:51 + 2009 -0400 + +2009-06-17 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Fix for routing_edge_insert() with + same coordinates + +2009-06-14 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Fix for TCS candidate vertices leak + +2009-06-14 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Fix for arc orientation in export + checks + +2009-06-14 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Fix for arc orientation in export + checks + +2009-06-14 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.h: Toporouter: Wiring score calculation + +2009-06-14 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Better cleanup of routing edges + +2009-06-14 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Fix for arc removal not updating + vertex link + +2009-06-14 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c, src/toporouter.h: Toporouter: Work on traces + arcing back around vertices + +2009-06-10 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: RESC3216M was defined twice. The first was supposed + to be INDC3216M. Noted by John Luciana on the gEDA user list. + +2009-06-11 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/Makefile.am, src/gts/gts.h, src/gts/predicates.c, + src/gts/predicates_init.c, src/toporouter.c, src/toporouter.h: + Toporouter: Dynamic computation of GTS predicates + +2009-06-10 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Workaround for older GLib + +2009-06-10 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Workaround for older GLib + +2009-06-10 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Workaround for older GLib + +2009-06-10 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: Workaround for older GLib + +2009-06-10 anthonix * anthonix AT anthonix-desktop dot (none) * + + * : commit 9653a6371aa41f873932062ef6d6b838bf98a987 Author: + anthonix * anthonix AT anthonix-desktop dot (none) * Date: Wed Jun + 10 13:28:10 2009 +1200 + +2009-06-09 Dan McMahill * dan AT mcmahill dot net * + + * : commit 6878692f1e13a09a7191c8f9cf09e05c403fbe33 Author: + anthonix * anthonix AT anthonix-desktop dot (none) * Date: Wed Jun + 10 13:23:27 2009 +1200 + +2009-06-10 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c: Toporouter: disabled some experimental stuff + +2009-06-10 anthonix * anthonix AT anthonix-desktop dot (none) * + + * src/toporouter.c, src/toporouter.h: Misc Toporouter Changes + +2009-06-09 Dan McMahill * dan AT mcmahill dot net * + + * src/gts/boolean.c, src/gts/split.c, src/gts/surface.c, + src/report.c: Remove some unused variables Remove some unused variables. On some others which are only + conditionally used, then only conditionally declare them. Same for + some functions. This clears out some of the compiler warning + clutter. + +2009-06-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-pinout-preview.c: Revert "avoid trying to use the + background graphics context before it is created." This reverts commit 1138b9419b56c6c4a5861dce79ed058ee4e513ba. As + noted by Peter Clifton, there is a visible artifact that results + from this. We'll look for a better way to make sure the GC is + created when it is needed. + +2009-06-08 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-pinout-preview.c: avoid trying to use the + background graphics context before it is created. On startup, some code was trying to access the background graphics + context before it was created. Check to make sure the context has + been created before we do anything with it. + +2009-06-08 Jared A. Casper * jcasper AT youngmc dot Stanford dot EDU * + + * src/hid/common/actions.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c: Fix command line exporting. Previously, hid_actionv was changed to always call gui->get_coords + wether or not the action had a need_coord_msg, in an attempt to + always get the latest coords for things like zoom or pan that didn't + have a need_coord_msg. However, this broke command line exporting + because it was trying to call get_coords on the nogui hid. Added the check back in to only call gui->get_coords when the action + has a need_coord_msg and added need_coord_msg's to actions that need + coords. + +2009-06-08 Jared Casper * jaredcasper AT gmail dot com * + + * src/gpcb-menu.res, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-output-events.c: Adding ability to customize mouse + scroll action in GTK. Changed the mouse_scroll gtk event handler to use do_mouse_action + instead of manually handling the event. gtk treats scrolling + differently as a mouse button event, and doesn't give a mouse button + number, so this patch just hard codes up/down/left/right to buttons + 4 through 7. This corresponds to the default names used in the + resource file, and, for X11, gtk hardcodes mouse buttons 4 - 7 to + the scrolling events anyway. This may cause problems in quartz or + windows if some mouse has a bunch of buttons and quartz/windows maps + those buttons to numbers 4 - 7, but I don't have a system to test + that (and in that case the names in the resource file would be wrong + as well). Added a Scroll action to the gtk hid to be able to mimic the + existing scroll wheel behavior with an action script. + +2009-06-08 Steven Michalske * smichalske AT gmail dot com * + + * src/line.c: Allow mod1(alt)(option) to bypass AUTO enforce DRC For Apples, which use ctrl-click to simulate right-click. + +2009-06-08 Steven Michalske * smichalske AT gmail dot com * + + * src/hid.h, src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/extents.c, src/hid/common/hidnogui.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui.h, src/hid/lesstif/main.c, src/hid/lpr/lpr.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: Adding the ability to use mod1 (alt)(option) in + HIDs This is useful for OSX installs as crtl-click, is mapped to right + click. so when drawing a line that you want to force a DRC + "Violation" and you need to use the control key, it will cancel the + line being drawn, and start a new point. This is tested in the lesstif and GTK hids, under OSX wher ehte + option key is the "alt" key. I leave it to the patch integrator th + verify on linux for GTK and lesstif. This is a bit hackish on detecting the alt key/option key, I + #ifdefed it to __APPLE__ because the option key returns 1<<13 not + GDK_MOD1_MASK Under lesstif I might guess that the alt/mod1 is not + quite the same as option. + +2009-06-07 Jared Casper * jaredcasper AT gmail dot com * + + * src/Makefile.am, src/action.c, src/gpcb-menu.res, + src/hid/common/actions.c, src/hid/common/hid_resource.c, + src/hid/common/hid_resource.h, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h, src/hid/lesstif/lesstif.h, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c: Teaching GTK hid to + use mouse resources I had some free time over the weekend and have been wanting to get + my hands dirty in the PCB code so I took a crack at this and wanted + to get some feedback. Attached is a patch with a detailed commit message of what I did, + but the general idea was to move the lesstif hid's handling of the + mouse resources into a common hid file (which I called hid_resource) + and have the gtk hid use that instead of being hardcoded. This way + the hid's are only responsible for catching the button press, + determining which modifier keys were active, then calling the common + code to handle it. The common code does what the lesstif hid does, + calls the actions defined in the resource file. In theory more + common stuff could be moved to hid_resource, the code to find and + load the resource file, for example, is nearly identical in both + hids. I changed up the Mouse resource in gpcb-menu.res to match the + hardcoded behavior of the gtk hid as closely as possible (see the + commit message for details). I've played around with it a bit and it seems to be working, but as + this my first time meddling with the code, I'm sure I missed some + stuff. If anybody has time to look it over and/or try it out that + would be great. Comments regarding the approach, formatting, style, + etc. are all appreciated (I did my best to match the style of the + surrounding code). Jared + +2009-06-05 Dan McMahill * dan AT mcmahill dot net * + + * src/edif.y: Use the appropriate header files instead of providing + extern foo() prototypes. Use the correct headers for a handful of function prototypes. This + should fix some build issues reported on geda-uers. + +2009-06-03 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: When building from git sources and building docs, + force maintainer-mode. When building from git sources, maintainer mode is required to build + the manual. So, unless the user has specified --disable-doc then + force maintainer mode. When building from a tarball, the + preformatted manual is already contained and we do not impose + maintainer-mode. Hopefully this puts to rest the documentation + building issues once and for all! + +2009-06-01 Dan McMahill * dan AT mcmahill dot net * + + * po/pcb.pot: Remove generated file that cause continual git churn. This file is generated and also removed by the clean target. + Removing a file from the source tree via 'make clean' that is under + version control just causes headaches. + +2009-06-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/fractional_size.texi, doc/letter_size.texi, + doc/metric_size.texi, doc/wire_size.texi: Remove additional + generated files. Remove the .texi files that are generated from the ASCII .tab files + from git to avoid gratitous storage of and changing of generated + files. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/.gitignore, doc/gs/.gitignore: Add a few more missing + .gitignore entries. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/.gitignore: Expand out the list of files since git didn't like + the patterns I used. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/.gitignore: Add gitignore file for the manual + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * src/.gitignore, src/gts/.gitignore: Add some missing .gitignore + entries for the new gts code + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs: update this a bit to reflect the new repository home + and also remove references to needing maintainer-mode + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * utils/git2cl: add git2cl utility to help update ChangeLog's for + releases. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/pcbfile.texi, doc/version.texi: remove some + generated files from git. These are only needed if you are building + the documentation in which case you have all the tools and makefile + rules for them to be regenrated anyway. Eliminates all the + headaches associated with generated files in version control. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/gs/gafrc, doc/gs/gschemrc: these are generated at configure + time now. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/gs/gafrc.in, doc/gs/gschemrc.in: to handle the case where we + may be building outside the source directory, generate gafrc and + gschemrc at configure time. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/gs/print-eps.scm: comment out a color theme that relied on a + path only present on DJ's machine. It isn't really needed anyway. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * po/POTFILES.in: add a missing file. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, doc/Makefile.am, doc/gs/Makefile.inc, + lib/Makefile.am: Remove the use of maintainer mode to gate on/off + rules for building the documentation. Instead look for the + existance of $(top_srcdir)/.git and decide based on that if this is + a tarball build (in which case the documentation ships already + built) or a git build. If it is a git build, then simply require + all the needed tools for building the docs. If the tools are not + found then configure will exit with an explicit message saying why + it has failed and that either tools need to be installed or + --disable-doc needs to be given. Hopefully this will eliminate the + previous strange behavior of needing --maintainer-mode when building + docs from cvs/git. + +2009-05-29 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: add gts/predicates_init.h to DISTCLEANFILES. + Also comment out the section that extracts REGISTER lines from the + gts code. We currently don't have any REGISTER lines in the gts + code and so make was exiting because a grep failed. + +2009-05-23 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/to.inc: Add TO18, TO39, and fix pinout on TO92. Added TO18 and TO39 footprints based on the JEDEC drawings. Note + that the pinout used is the one from the JEDEC drawings and it may + or may not match a particular vendors pin numbering. I have in fact + seen different vendors number the pins differently on these + packages. It is entirely up to the user to make sure that their CAD + symbols have the correct mapping from E, B, C (for a bipolar) to + pins 1, 2, 3 and that the JEDEC numbering is used. While here correct the TO92 pinout. It now also matches the JEDEC + drawing and is more consistent with the most common vendor + numberings. How on earth has this not caused problems before? + +2009-05-17 Jared Casper * jaredcasper AT gmail dot com * + + * ChangeLog, configure.ac, src/hid/lpr/hid.conf: Some cleanup of + configure script Added top level check for pkg-config with PKG_PROG_PKG_CONFIG and + removed AC_PATH_PROG call for pkg-config from inside a block + conditional on enable_dbus. Added a PKG_CHECK_MODULE for cairo if the toporouter output is + enabled. Added a check for HID dependencies. A hid's hid.conf can set a + variable "deps" which is a list of other HIDs that must be included + with that hid. Added ps the lpr's deps. + +2009-05-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh: Remove an extra '' quote in a comment + which caused confusion on some systems. + +2009-05-05 Ineiev * ineiev AT gmail dot com * + + * ChangeLog, configure.ac, src/Makefile.am, src/gts/cdt.c, + src/toporouter.c, src/toporouter.h: Toporouter: portability fixes 1) add #include 2) add configure options for toporouter --disable-toporouter + switches off toporouter --disable-toporouter-output switches off + toporouter cairo output 3) make toporouter compatible with glib < 2.10.0 provide + slist_insert_sorted_with_data() for those cases 4) fix VPATH builds gts/predicates_init stuff was not quite clean 5) fix find_closest() implementation for glib < 2.4.0 The earlier + version combined with toporouter produced segfault on tut1.pcb (on + top of glib-2.0.1 and glib-2.6.4); furthermore, it accessed to + undocumented glib structures. + +2009-04-30 Anthony Blake * tonyb33 AT gmail dot com * + + * src/gts/gts.h: gts.h fix Fixes the include of gtsconfig.h + +2009-04-24 Anthony Blake * tonyb33 AT gmail dot com * + + * gts/Makefile.am, gts/NOTES, gts/bbtree.c, gts/boolean.c, + gts/cdt.c, gts/config.h.win32, gts/container.c, gts/curvature.c, + gts/edge.c, gts/eheap.c, gts/face.c, gts/fifo.c, gts/graph.c, + gts/gts-config.in, gts/gts-private.h, gts/gts.def, gts/gts.h, + gts/gts.m4, gts/gtsconfig.h, gts/heap.c, gts/hsurface.c, gts/iso.c, + gts/isotetra.c, gts/kdtree.c, gts/makefile.msc, gts/matrix.c, + gts/misc.c, gts/named.c, gts/object.c, gts/oocs.c, gts/partition.c, + gts/pgraph.c, gts/point.c, gts/predicates.c, gts/predicates.h, + gts/predicates_init.c, gts/psurface.c, gts/refine.c, + gts/rounding.h, gts/segment.c, gts/split.c, gts/stripe.c, + gts/surface.c, gts/triangle.c, gts/tribox3.c, gts/vertex.c, + gts/vopt.c, src/Makefile.am, src/gts/NOTES, src/gts/bbtree.c, + src/gts/boolean.c, src/gts/cdt.c, src/gts/container.c, + src/gts/curvature.c, src/gts/edge.c, src/gts/eheap.c, + src/gts/face.c, src/gts/fifo.c, src/gts/graph.c, + src/gts/gts-private.h, src/gts/gts.h, src/gts/heap.c, + src/gts/hsurface.c, src/gts/iso.c, src/gts/isotetra.c, + src/gts/kdtree.c, src/gts/matrix.c, src/gts/misc.c, + src/gts/named.c, src/gts/object.c, src/gts/oocs.c, + src/gts/partition.c, src/gts/pgraph.c, src/gts/point.c, + src/gts/predicates.c, src/gts/predicates.h, + src/gts/predicates_init.c, src/gts/psurface.c, src/gts/refine.c, + src/gts/rounding.h, src/gts/segment.c, src/gts/split.c, + src/gts/stripe.c, src/gts/surface.c, src/gts/triangle.c, + src/gts/tribox3.c, src/gts/vertex.c, src/gts/vopt.c, + src/toporouter.c, src/toporouter.h: GTS build script changes Changed build scripts to include GTS. + +2009-04-22 Anthony Blake * tonyb33 AT gmail dot com * + + * gts/Makefile.am, gts/NOTES, gts/bbtree.c, gts/boolean.c, + gts/cdt.c, gts/config.h.win32, gts/container.c, gts/curvature.c, + gts/edge.c, gts/eheap.c, gts/face.c, gts/fifo.c, gts/graph.c, + gts/gts-config.in, gts/gts-private.h, gts/gts.def, gts/gts.h, + gts/gts.m4, gts/gtsconfig.h, gts/heap.c, gts/hsurface.c, gts/iso.c, + gts/isotetra.c, gts/kdtree.c, gts/makefile.msc, gts/matrix.c, + gts/misc.c, gts/named.c, gts/object.c, gts/oocs.c, gts/partition.c, + gts/pgraph.c, gts/point.c, gts/predicates.c, gts/predicates.h, + gts/predicates_init.c, gts/psurface.c, gts/refine.c, + gts/rounding.h, gts/segment.c, gts/split.c, gts/stripe.c, + gts/surface.c, gts/triangle.c, gts/tribox3.c, gts/vertex.c, + gts/vopt.c, src/Makefile.am, src/toporouter.c, src/toporouter.h: + Added topological autorouter Topological autorouter (not yet exporting geometry) GTS with small + but crucial bug fixes + +2009-04-19 Ben Jackson * ben AT ben dot com * + + * .gitignore: Add *.backup to gitignore (in case you test run PCB in + the tree) + +2009-04-19 Ben Jackson * ben AT ben dot com * + + * src/hid/gtk/gui-config.c: GTK HID: Background color can be set + live from config dialog Background, off-limit and grid color changes require a special + update that was missing when colors were edited in the config + dialog. + +2009-04-17 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Add "report all net lengths" option Usage: Report (AllNetLengths[,(mm|in|mil|pcb)]) + +2009-04-09 Ben Jackson * ben AT ben dot com * + + * .gitignore, data/.gitignore, lib/.gitignore, po/.gitignore, + src/.gitignore, src/hid/.gitignore: Add initial gitignore files + covering autogen files and GTK HID build + +2009-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-render-pixmap.c: GTK HID: Use clipping region when + drawing DRC violation previews Should speed up rendering quite a bit for non-trivial boards. + +2009-04-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui.h: GTK HID: Move inline + coordinate conversions from gtkhid-main.c to gui.h This allows other source files easy access to the routines Vx(), + Vy(), Vz() and Px(), Py, Pz(). + +2009-04-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: Allow PolygonHoles() to be passed a NULL region Passing a NULL region implies we wish to be called back for all + holes in the polygon, as we don't have a region to test against. Fixes crash in the DRC window when the design has polygons, and is + viewed with either thindraw, or thindraw polygons. + +2009-04-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-drc-window.c: GTK HID: Add "Refresh" button to DRC + window to re-run the DRC. Allows the user to more quickly get an updated view of their + progress fixing design rule violations. + +2009-04-05 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-drc-window.c: GTK HID: Fix pango markup in DRC + window to be more compatible Avoid using the attribute alias "font_size" in the markup. Older + pango versions only support "size", which is functionally identical. + +2009-04-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: GTK HID: Fix cursor warping on flipped + boards + +2009-04-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/gtk/gui-drc-window.c, + src/hid/gtk/gui-drc-window.h, src/hid/gtk/gui-render-pixmap.c, + src/hid/gtk/gui.h: GTK HID: Add preview images in the drc violations + window + +2009-04-03 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-drc-window.c, + src/hid/gtk/gui-drc-window.h, src/hid/gtk/gui.h: GTK HID: Add DRC + list window using the new DRC_GUI hooks. + +2009-04-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c, src/find.c, src/global.h, src/gpcb-menu.res, + src/hid.h, src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c, + src/hid/lpr/lpr.c, src/hid/nelma/nelma.c, src/hid/png/png.c, + src/hid/ps/eps.c, src/hid/ps/ps.c: Refactor DRC reporting to work + around a DrcViolationType structure. Add hooks to the HID structure allowing a GUI to consume this data + directly (if it wants), rather than being called to display ordinary + message dialog boxes. No HID yet utilises this interface. Remove log messages for individual design rule violations, instead + writing log entries based on the title in the DrcViolationType. This + modifies some of the logged messages slightly. All now prefix: + "WARNING! Design Rule error - ", as was present on some of the old + messges. + +2009-04-01 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Fix leak of input objects for certain short-cut + cases in poly_Boolean_free When either a or b input object is NULL, depending on the boolean + operation selected, either a, b or NULL is returned as the result. + Make sure we free any non NULL, and non-returned inputs when taking + these shortcut paths. + +2009-04-01 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: Fix leak of cross vertex connectivity lists when + deleting contours Free these lists as we free the contour's nodes in poly_DelContour() + +2009-03-25 Tibor Palinkas * igor2 AT inno dot bme dot hu * + + * src/hid/lesstif/menu.c: pass context in lesstif Minor patch to get the action context properly passed to actions + with lesstif, which doesn't use hid_actionv() for some reason. + +2009-03-25 DJ Delorie * dj AT delorie dot com * + + * src/hid.h, src/hid/common/actions.c, src/hid/hidint.h, + src/hid/lesstif/menu.c: single-action register/deregister New API to support registering individual actions with a context for + them, for example for scripting languages to register a hub + dispatcher. From Igor2 AT inno dot bme dot hu (Tibor Palinkas) + +2009-03-08 DJ Delorie * dj AT delorie dot com * + + * src/report.c: bugfix: avoid NULL pin names with Report(NetLength) There was an assumption that all pins and pads have non-NULL names, + but if you create a .pcb with a script you could end up with + anything. Make sure the names we get are non-NULL before using + them. + +2009-03-06 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gerber/gerber.c, src/hid/png/png.c: Fix exporters to cope + with hairlines now they are passed as width 0, not 1 This fixes breakage introduced in commit + 8dd739f9bd72dc3c8beb6e4e5e32c124cac0d13b png exporter: - Needs a minimum 1x1 pixel brush for drawing hairlines - Report and patch by Levente Kovacs * leventelist AT gmail dot + com * gerber exporter: - The hairline drawn around holes must be ignored - Report and diagnosis by Ineiev * ineiev AT gmail dot com * + +2009-03-05 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: Add a note for next time about some increased + test coverage. We need to do a distcheck build with a wider variety of requested + HID's to catch things like the missing src/hid/batch/hid.conf + +2009-03-05 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: add missing hid/batch/hid.conf to EXTRA_DIST. + Noted by Stefan Salewski. + +2009-02-27 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: Bump the required autoconf version to 2.60. pdfdir, psdir, and friends appeared in version 2.60 of autoconf. + Since we use those, we need 2.60 or higher. Problem noted by Bert + Timmerman. + +2009-02-27 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: Remove some now unused RCS ID's + +2009-02-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/dbus.c, src/draw.c, src/global.h, src/hid.h, + src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/draw_helpers.c, src/hid/common/draw_helpers.h, + src/hid/common/extents.c, src/hid/common/hidinit.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-config.c, + src/hid/gtk/gui.h, src/hid/lesstif/main.c, src/hid/lpr/lpr.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c, src/polygon.c, src/polygon.h: Add support for + filling / thindrawing raw polygons to the HID interface Refactor core polygon drawing to use these member functions, and + split the old code which used the HID's fill_polygon and draw_line + functions into a helper function which the guis now use to convert + the polygon into the primitives it knows how to draw. Alter the NoHoles dicer to pass back PLINE contours, rather than + wrapping them in a POLYAREA and PolygonType. + +2009-02-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c, src/polygon.c, src/polygon.h: Add user_data parameter + to NoHolesPolygonDicer Also switch the clip_box parameter before the ones defining the + callback and its user_data. + +2009-02-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Thindraw with 0 width, not 1 PCB unit width. This allows the gui to differentiate between when the core wants + hairline drawing, and when the core is drawing primitives which are + actually 1 PCB unit wide. + +2009-02-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Thindraw polygons with circular line-caps. Set an explicit cap style for consistency with other "special" + polygon drawing code. + +2009-02-17 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c: Bisect and union self-intersecting arcs in + ArcPoly() Avoids creating a self-intersecting contour which produces incorrect + result. Bug noted when clearing a 360 degree arc from a polygon. For self-intersecting arcs, we now bisect, produce two polygons + (with non-self-intersecting contours), then compute their union. + +2009-02-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/bom/bom.c, src/hid/gerber/gerber.c, src/hid/lpr/lpr.c, + src/hid/nelma/nelma.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: Various HIDs: Add missing pointers for the watch + and block hander methods These were missed when adding DBus support, since the initialisation + of the HID structure assumes that unspecified members are zero, and + all subsequent function pointers were explicitly 0, so as not to + cause a type mismatch. Hids fixed: bom gerber lpr nelma png eps ps + +2009-02-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/nelma/nelma.c: nelma: Fix prototype on set_layer() method + to take the "empty" flag. Fixes warning about incompatible types when intialising the HID + structure. + +2009-02-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon1.c: polygon1.c: Implement poly_Boolean() by calling + poly_Boolean_free() Reduces duplicated code. The poly_Boolean() implemetation already + copied the original contours, then duplicated the processing steps + taken in poly_Boolean_free(). + +2009-02-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c: gtkhid: Return NULL from + ghid_get_net_from_node_name() Fixes calling return; from function returning non-void type. + +2009-02-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c: crosshair.c: When drawing via DRC clearence, pass + correct angle. 360 * 64 was a hold-over from before the HID split. The passed angle + should be 360. + +2009-01-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c, src/polygon.h, src/rats.c: Make rats to polygons + work for arbitrary clipped shapes The rat will still be drawn to the first point on the polygon, which + might not necessarily be the closest. + +2009-01-14 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: Skip undocumented options. + +2009-01-14 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-dialog-print.c: Don't show undocumented options. + +2009-01-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c: GTK HID: Avoid critical warning + looking up connectivity without netlist + +2009-01-10 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Add only-visible support to photo-mode, check + for more outline fill spots. + +2009-01-10 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Add outline mode for photo-mode, from Mark + Rages + +2009-01-10 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Handle wrap-around when searching the string hash + table. + +2009-01-08 Dan McMahill * dan AT mcmahill dot net * + + * lib/misc.inc: for the axial footprints, put the mark on pin 1 + instead of on the body of the footprint. This gives you a much + better chance of the pins being on-grid when working with the + coarser grids typically found in a thru-hole design. + +2008-12-30 DJ Delorie * dj AT delorie dot com * + + * src/polygon.c: Add description of how polygon data works from Ben, + and debug routines for dumping polygon structures within gdb. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/const.h, src/draw.c, src/flags.c, + src/gpcb-menu.res, src/pcb-menu.res, src/search.c, src/strflags.c: + Add "hide names" option to hide all refdes on the board temporarily. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: Fix flipping of + arcs. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/parse_y.y: fix parsing of old-syntax arcs. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Include explicit text/lines/etc on silk layers in + assembly drawings. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Make sure the user has specified an element for the + pinout window. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-config.c: Add min-drill and min-ring to the saved + config list, and save the config file *after* updating it, not + before. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: sf patch 2162834 from d.ineiev - count + apertures for diagonal pads + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/draw.c, src/hid.h, src/hid/batch/batch.c, + src/hid/common/extents.c, src/hid/common/hidnogui.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: Add "empty layer" hint to HID. Add option + --all-layers to gerber export which causes it to export all layers, + empty or otherwise. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Rename ben-mode to photo-mode. + +2008-12-27 DJ Delorie * dj AT delorie dot com * + + * src/hid.h, src/main.c: Add an option for undocumented attributes. + +2008-12-27 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, newlib/Makefile.am: deprecate the analog-devices and + burr-brown newlib libraries + +2008-12-27 Dan McMahill * dan AT mcmahill dot net * + + * newlib/analog-devices/ADC12138CIMSA, + newlib/analog-devices/Makefile.am, newlib/burr-brown/Makefile.am, + newlib/burr-brown/OPA340_SOT23-5: remove some footprints of + questionable use + +2008-12-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/mymem.c: Regenerate rats r-tree when re-allocating a bigger + rats array. Shuould fix crashes observed on a board with a large number of rats. + +2008-12-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidinit.c: Typo. + +2008-12-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidinit.c: Make sure we clean up if dlopen fails. + +2008-12-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidinit.c: Avoid checking memory before short-named + files. + +2008-12-24 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c: Fix off-by one error in the GTK + HID's netlist window model generation Broken when I added support for a hierarchical view of nets. The bug + caused invalid memory to be read, possibly leading to a crash, as + the last (and missing) element from g_new0 was supposed to be a + terminator. + +2008-12-21 DJ Delorie * dj AT delorie dot com * + + * src/find.c: Fix via annulus calculations, from Jasper + +2008-12-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Set home from homedir. + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * doc/gs/gs.css: More missing files + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * doc/gs/gafrc, doc/gs/gschemrc: missed files + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * configure.ac: add texi2dvi check and doc/gs subdir + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * doc/eps2png, doc/gs/555.sym, doc/gs/555.symdef, + doc/gs/Makefile.am, doc/gs/Makefile.inc, doc/gs/fb-blinker-sch.sch, + doc/gs/fb-blinker.net, doc/gs/fb-blinker.pcb, + doc/gs/fb-blinker.prj, doc/gs/fb-blinker.texi, doc/gs/fb-led-5.pcb, + doc/gs/fb-led.pcb, doc/gs/fb-led.texi, doc/gs/fb-smt-sch.sch, + doc/gs/fb-smt.net, doc/gs/fb-smt.pcb, doc/gs/fb-smt.prj, + doc/gs/fb-smt.texi, doc/gs/firstboard.texi, doc/gs/gs.texi, + doc/gs/installation.texi, doc/gs/introduction.texi, + doc/gs/pj102.fp, doc/gs/powerjack.sym, doc/gs/print-eps.scm, + doc/gs/term-annulus-1.pcb, doc/gs/term-clearance-1.pcb, + doc/gs/term-element-1.pcb, doc/gs/term-pad-1.pcb, + doc/gs/term-pin-1.pcb, doc/gs/term-platedhole-1.pcb, + doc/gs/term-tented-1.pcb, doc/gs/term-thermal-1.pcb, + doc/gs/term-thickness-1.pcb, doc/gs/terminology.texi, + doc/gs/texinfo.tex: Add initial "getting started" guide. + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * src/hid.h: append '-' on all parameter names to prevent + mis-interpretation of such. Example: "xor" is a C++ reserved name. + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Increase resolution of gerbers to 0.01 + mil; drills remain at 0.1 mil + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * src/parse_l.l: Add support for suffixes on numbers, like "mm", + "um", "in", or "mil". No suffix defaults to "pcb units" as before. + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * src/flags.c: Add flags for whether various layers are shown. + +2008-12-20 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Add MinClearGap() action. + +2008-12-12 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: try to clean up the logic around cross compiling and + an executible pcb. Hopefully addresses some corner cases when cross + compiling. + +2008-12-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/version.texi, lib/Makefile.am, + lib/m4lib_to_newlib.sh.in: use if FOO stuff endif instead of @FOOTRUE@ stuff as the latter prevents automake from properly understanding some + dependencies. + +2008-12-05 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi: regen + +2008-12-05 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, doc/Makefile.am, lib/Makefile.am, + lib/m4lib_to_newlib.sh: Correctly deal with the case where one wants + to do a maintainer cross compile which requires an installed pcb + that can be executed on the build host. As part of this, also do + not build the png previews of the pcblib-newlib library by default. + They were not really used for anything and it really slows the + build. They can be built with --enable-m4lib-png. + +2008-12-03 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/Makefile.am: check for windres as a build tool + instead of hard coding 'windres'. Should help with cross-compiling + with windows as the target. Noted as a patch for gerbv by Cesar + Strauss. + +2008-12-03 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Merge thermals from old flags, not overwrite them. + +2008-11-30 Dan McMahill * dan AT mcmahill dot net * + + * win32/pcb.nsi.in: fix some shortcut removal issues on Vista. + +2008-11-30 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: add a --nsis-only option that only creates the + installer + +2008-11-30 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: fix up the PATH used during the build so pcb can + run when generating the pcblib-newlib previews + +2008-11-30 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb, win32/pcb.nsi.in: try to fix the pdf and html + manual links. Noted by Bob Paddock. + +2008-11-29 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-output-events.c: Fix bug 2011285 auto-pan on + solder side not working properly. Patch provided in the bug report. + +2008-11-29 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/crosshair.c, src/global.h, src/gpcb-menu.res, + src/hid/gtk/gui-output-events.c, src/hid/lesstif/main.c, + src/pcb-menu.res: Add additional crosshair shapes. ctrl-right click + cycles through. Patch 2170634 from D. Ineiev. + +2008-11-29 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-dialog.c: teach ghid_confirm_dialog to remember + its last position and to come up at the same place the next time. Patch 1900832 from Tomaz Solc. + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: Minor formatting updates for some long lines and + also minor updates to reflect some sourceforge changes. + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-config.c: fix up the library path dialog for + windows + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-config.c: Use PCB_PATH_DELIMETER instead of ":" in + a few key places + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: bump rev after 20081128 branch + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: update dates for 20081128 snapshot + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: update for 20081128 snapshot + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update for 20081128 snapshot + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi: regen. + +2008-11-28 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am: Make DISTCHECK_CONFIGURE_FLAGS actually work again so + we can check that 'make distcheck' passes for lesstif. + +2008-11-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: honor psdir, pdfdir, docdir, htmldir, dvidir. + Noted in sf bug #1916057. + +2008-11-27 DJ Delorie * dj AT delorie dot com * + + * src/create.c: Test the two lines, not the line vs the current pcb, + for compatible poly-join flags. + +2008-11-27 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in: get rid of an insecure usage of a temp file + +2008-11-25 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: do not free() the result of getenv(). Noted by Peter + Clifton + +2008-11-25 Dan McMahill * dan AT mcmahill dot net * + + * src/global.h, src/hid/common/hidinit.c, + src/hid/gtk/gui-top-window.c, src/hid/lesstif/menu.c, src/main.c: + Determine the users home directory on program startup and remember + it for use in other places later. This avoids repeated use of + getenv("HOME") which may have issues especially under windows. + +2008-11-25 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: create a DOS batch file wrapper for the installer + to make it easier to run the installer immediately after building it + from the cygwin shell. Without this, vista doesn't seem to like to + run it for some reason. + +2008-11-25 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/version.texi: regen + +2008-11-25 Dan McMahill * dan AT mcmahill dot net * + + * win32/Makefile.am, win32/build_pcb, win32/extract_gtk_win32, + win32/pcb.nsi.in, win32/registerExtension.nsh: - various minor updates for a more recent download of gtk and + friends largely taken from gerbv. - associate .pcb and .fp file extensions with pcb - make sure we start up pcb in the My Documents area to discourage writing into Program Files. + +2008-11-24 Dan McMahill * dan AT mcmahill dot net * + + * po/POTFILES.in: add 2 missing files that have translations + +2008-11-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Fix the foo.ps.type.ps bug + +2008-11-19 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Move splitlines even earlier, to avoid unwanted + changes. + +2008-11-11 DJ Delorie * dj AT delorie dot com * + + * src/create.c: Don't merge two lines if the join flag differs. + +2008-10-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-pinout-preview.c: Fix preview drawing broken by + resetting "Gathering" mode after painting. Seems that the pinout preview widget relied on the bug fixed in + commit 0647d028e6c755715d2fcdbd0b4f2be73d36ae7f. In the expose + handler of the preview, We should be calling the + hid_expose_callback() function rather than DrawElement() directly. + +2008-10-21 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: Remove logged warning when + pressing a key with no defined binding Poping up the log window in these cases is very intrusive, and it + triggered for keys such as capslock, Mod4/Windows etc. There is no + real need to log errant key-presses, so remove this feature. + +2008-10-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/autoroute.c, src/mtspace.c: Fix two assertion test to allow + compiling a debug build. Due to code-restructures, a couple of assert tests (not normally + compiled) had bit-rotted. Remove one, rejig the other. + +2008-10-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rtree.c: rtree.c: Fix typo in assertion test inside __r_search Caused false assertion failures when running a debugging build. + +2008-10-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/search.c: Fix IsPointInBox to work with wide as well as tall + boxes Actually implement the test, rather than trying to construct a pad + to test which has the right geometry. + +2008-10-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rtree.c: Change rtree penalty function in rtree.c to use + doubles, not long long. Since the exact integer result doesn't matter, this is ok. + Marginally faster on Core Duo machine. + +2008-10-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/rtree.c: Check all r-tree node children for fit before working + out penalties Working out the penalty involves multiplications which produce a + "long long" result, and is seen to be appear in profiling. Make a pass at testing all children for the fast case of the child + node containing the desired box, before working out size penalties + to expanding each child. + +2008-10-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/heap.c: Increase initial heap size from 32 to 256 In complex boards with lots of polygons, we were realloc'ing up to + this kind of number anyway, in x2 size steps. Save all the + memcpy'ing by making the heap larger to start with. My test board still has some requirement for heaps >256, <512, but + the frequency is low. + +2008-10-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: GTK HID: Raise already open command + window to top with ":" key Previously, ":" only opened the window, but would not raise it if + already open. + +2008-10-02 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/move.c: Fix array index out of bounds over layer groups Off by one error in for loop, picked out by a recent version of GCC + being better at spotting this kind of bug. The effects (if any) this + bug caused are unknown. + +2008-10-01 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c: GTK HID: Fix crash when + highlighting connectivity caused by net tree. Seems I caused a crash in the connectivity highlighting code which + assumed the net model of in the netlist window is a list structure + where each element represents a net. Adapted the code to hunt out + the right net / node with a tree model of nets. + +2008-10-01 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots, utils/cvs2cl.pl: add a local coyp of cvs2cl.pl + +2008-09-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/polygon.c, src/polygon.h: Don't bother unsubtracting complex + polygons when doing incremental updates Since the polygon is re-cleared against any objects existing within + the bounding box of the update region, there is no point wasting CPU + cycles intersecting a more complex polygon than necessary. Just take + the UNION of the polygon being unsubtracted, and the bounding box of + the hole to be filed in. + +2008-09-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c, src/polygon.c: Fix text bounds to include the area + cleared into a polygon Fixes re-clearing the polygon when a closely touching object is + incrementally updated. + +2008-09-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Ensure "Gathering" mode is set after painting the + screen. In between repaints initiated from the GUI, with this flag set, all + Draw{object_type} operations just update a dirtied bounds. A final + Draw() call then calls the HID to invalidate the bounds gathered. Setting "Gathering = True" after an expose event callback ensures + subsequent drawing is batched up for the next screen update. + +2008-09-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Add debugging code to visually check a pad's bounding + box Proved useful in fixing the pad bounding box update routine. + Disabled with #if 0 block. + +2008-09-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c, src/move.h: Fix bounding boxes for rotated square + ended pads. Bounding box compution only worked for round ended pads before. In + addition, the "move" code previously called SetLineBoundingBox for + pads, which computes the wrong result. + +2008-09-30 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-library-window.c: GTK HID: Make double clicking on + a footprint library expand / contract it Usability improvement. + +2008-09-30 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: Put exploded pads on the correct layer + +2008-09-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-netlist-window.c: Display nets as a hierarchical + tree in the netlist window. The view splits netnames at "/" characters, and builds the netlist + view as a tree accordingly. Allows more strutured viewing of nets + coming from hierarchical designs. + +2008-09-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidinit.c: Improve hashing algorithm for storing + color data Curosry testing showed the old algorithm encountered a lot of hash + collisions. Swap to a more sensible hashing algorithm. + +2008-09-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/common/hidinit.c: Fix lru heuristic to shortcut color + cache lookup Heuristic didn't work before as the lru element wasn't saved. + +2008-09-23 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/batch/batch.c: Fix batch HID by adding stub functions for + file watches and block hooks This was broken when these features were added to the HID interface. + +2008-09-11 DJ Delorie * dj AT delorie dot com * + + * src/polygon.c: Fix poly clearance around rotated pads - Robert + Fitzsimons and Ineiev + +2008-09-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Fix lesstif crosshair color - joel + silvestre + +2008-08-22 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs: update the anoncvs server name + +2008-08-19 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/Makefile.am: add a --enable-debug mode that does + not disable assert() + +2008-08-16 DJ Delorie * dj AT delorie dot com * + + * src/hid/batch/batch.c: Add missing parameter name + +2008-08-06 DJ Delorie * dj AT delorie dot com * + + * globalconst.h: Bump up font size and aperture count. + +2008-08-03 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Add --ben-flip-x and --ben-flip-y options to + produce "ben mode" images of the other side of the board. + +2008-07-10 Ben Jackson * ben AT ben dot com * + + * src/hid/png/png.c: Fix crash with 'ben-mode' if your layer stack + is the reverse of DJ's. + +2008-07-08 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Add "Ben Mode". Fix export of thindrawn + polygons. + +2008-07-05 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Oops, fix bug from previous patch. + +2008-07-05 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Do not require a second paramter for SaveTo(Layout) + +2008-06-02 DJ Delorie * dj AT delorie dot com * + + * src/print.c: Allow outline layers that have nothing but arcs. + +2008-05-28 DJ Delorie * dj AT delorie dot com * + + * src/macro.h: Add ELEMENTARC_LOOP + +2008-05-20 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/pcb.xml.in, doc/actions.texi, doc/pcbfile.texi: Add mime + magic "M48" for detection of excellon drill files. + +2008-05-07 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Don't say ,TZ as we're not omitting + trailing zeros. + +2008-04-28 Dan McMahill * dan AT mcmahill dot net * + + * src/mtspace.c, src/report.c: fix a few more code before + declarations bugs. Patch by der Mouse. + +2008-04-28 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: if the compiler takes it, turn on code before + declarations warnings + +2008-04-28 Dan McMahill * dan AT mcmahill dot net * + + * src/edif.y, src/puller.c: Fix some code before declarations bugs + noted by der Mouse. + +2008-04-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/action.c: Applied patch from Tomaz Solc fixing crosshair + issues with ActionNew() ActionNew() function didn't call a RestoreCrosshair() after a + HideCrosshair() in most cases. This causes symptoms similar to those described in #1900255 when + "Start new layout" is selected in the File menu in GTK HID (most + probably also in Lesstiff, but I haven't checked). This patch adds two missing RestoreCrosshair() calls and fixes that + issue. Minor re-indentation by Peter Clifton during application. + +2008-04-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/misc.c: Applied patch from Tomaz Solc fixing a bug in the + CenterDisplay function. This patch fixes a bug in the CenterDisplay function that doesn't do + a RestoreCrosshair() after HideCrosshair(). This causes the crosshair stack overflow when using the DRC checker + (which calls CenterDisplay a lot). Minor re-indentation by Peter Clifton during application. + +2008-04-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/crosshair.c, src/hid/gtk/gui-output-events.c: Applied patch + from Tomaz Solc fixing bug #1882970. This patch fixes a bug where objects attached to the cursor (line + segments during drawing, etc.) permanently disappear if mouse cursor + leaves a window during dragging. Minor re-indentation by Peter Clifton during application. + +2008-04-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: Apply patch from Igor to ensure when + flipping the board, the cursor remains on the same point on the + layout. Thanks! + +2008-04-13 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * doc/actions.texi, src/action.c, src/command.c, src/hid.h, + src/hid/batch/batch.c, src/hid/bom/bom.c, src/hid/common/extents.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui.h, src/hid/lesstif/dialogs.c, + src/hid/lesstif/main.c, src/hid/lpr/lpr.c, src/hid/nelma/nelma.c, + src/hid/png/png.c, src/hid/ps/eps.c, src/hid/ps/ps.c: Add HID hook, + close_confirm_dialog() for closing modified layouts. This allows GUI HIDs to present a more native looking "save before + closing" dialog. The HID is responsible for any save which the user + requests and the subsequent return codes from the HID are as before, + 0 for cancel and 1 for close. This checkin also fixes the GTK HID's Save() action to return 1 for + cancel if the user cancels from the "Save As" dialog. + +2008-04-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Fix off-by-one bug drawing pads. + +2008-03-31 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: When drawing zero-length lines, draw a square or + circle according to the end cap value. + +2008-03-30 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: If a layer selected through --layer-stack isn't found, + let the user know and print a list of available layers. + +2008-03-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Ignore zero-radius filled circles. + +2008-03-16 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c, src/parse_y.y: Only use the min annular ring parameter + for checking the annular rings on pins and vias. Previously the + minimum copper width setting was also used. Changed after a + discussion on geda-user. Also make sure we use the minimum copper + width as the default if the .pcb file doesn't specify the minimum + annulus. + +2008-03-15 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gerber/gerber.c: Add a missing terminating '*' on the + first G04 line of the output files. Noted on #geda. + +2008-02-27 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: revert most of the previous commit which + accidentally added some stuff that was not meant to be checked in. + +2008-02-26 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/pcbtest.sh.in: use absolute paths (set at + configure time) so this script may be called from other directories + as part of a test suite. + +2008-02-22 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: add 128 pin 14x14 mm LQFP with exposed padded. Add + 128 pin 14x14 mm TQFP with and without exposed paddle + +2008-02-10 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Allow negative bloats + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: add file size to checksum file + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * po/LINGUAS, po/fr.po, po/fr_FR.po: move fr_FR to fr as the latter + seems to be recommended these days + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: bump to 1.99x after branching 20080202 + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update for 20080202 + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: update to deal with the desktop integration and + 20080202 + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: add some news items for 20080202 + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: add 2008 to copyright year + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi: regen + +2008-02-01 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-utils.c: fix mark up rendering on status line + +2008-01-31 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: add a --with-tex and --with-etex to work around + some cygwin/tex bugs + +2008-01-31 Dan McMahill * dan AT mcmahill dot net * + + * win32/pcb.nsi.in: fix the start menu shortcut icon + +2008-01-31 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: use tr to kill the extra \r that end up in the + Makefiles courtesy of pkg-config. This builds all but the docs on + windows again. + +2008-01-31 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gtkhid.h, + src/hid/gtk/gui-top-window.c: avoid segfaults on loading certain + boards from the command line. This patch introduces a flag that + indicates when the gui is up and calls to ghid_shift_is_pressed() + and ghid_control_is_pressed() can proceed as normal. + +2008-01-30 Dan McMahill * dan AT mcmahill dot net * + + * win32/extract_gtk_win32: clean up a comment + +2008-01-30 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb, win32/extract_gtk_win32, win32/pcb.nsi.in: copy + over build_pcb improvements from gerbv. Add gdwin32 to the extract + script. + +2008-01-30 Dan McMahill * dan AT mcmahill dot net * + + * win32/Makefile.am, win32/extract_gtk_win32, win32/pcb.nsi.in: add + script to help setup build environmnet. Also add all the various + licenses to the installer. + +2008-01-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * icon-theme-installer: Fix bug in icon-theme-installer where the + -?? size was not stripped from the installed icon name. + +2008-01-28 Dan McMahill * dan AT mcmahill dot net * + + * src/flags.c, src/gpcb-menu.res, src/pcb-menu.res: Repair the + buffer # menus by changing CheckedWhen() to checked=. As part of + this, added a buffer flag. + +2008-01-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-misc.c, src/hid/gtk/gui-utils.c, + src/hid/gtk/gui.h: add a bunch of const fixups from Larry Doolittle. + +2008-01-22 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: After we run DRC, force a redraw since we've possibly + turned on and off layers (like silk). Should address SF bug + 1843181. + +2008-01-16 Dan McMahill * dan AT mcmahill dot net * + + * autogen.sh: drop the intltool required version to 0.35 as that + seems to work + +2008-01-16 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, configure.ac, data/Makefile.am, icon-theme-installer: + check for gtk-update-icon-cache and use it. For distcheck, disable + that via setting the GTK_UPDATE_ICON_CACHE_BIN to 'true' to avoid + creating a cache file which then is not removed. A better way would + probably be a uninstall hook which noticed that it was a distcheck + uninstall and simply removed the file. + +2008-01-16 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi: regen + +2008-01-16 Dan McMahill * dan AT mcmahill dot net * + + * win32/Makefile.am: remove deprecated icon generation code + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * : regen + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * data/regen_files: add some help output, add options to only do the + .png's or the .ico, check for imagemagick and netpbm. + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/Makefile.am, win32/Xdefaults.tgif, + win32/pcb_icon_big.obj, win32/pcb_icon_med.obj, + win32/pcb_icon_sml.obj: Use the same icons for windows as in the + kde/gnome desktop. Remove the old windows icons which were really + placeholders anyway. Remove the rules for regenerating these + obsolete icons. + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * doc/Xdefaults.tgif: this file is no longer used. The drawings for + the documentation are drawn with pcb. + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.man.in, doc/pcb.man.raw: remove some old and very obsolete + files. + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * data/Makefile.am, icon-theme-installer: Remove the use of + #!/bin/bash for the benefit of systems which don't install bash in + /bin. In the Makefile, call out the name of the shell to help + systems like solaris where it is more likely that SHELL will be + /bin/ksh instead of the broken solaris /bin/sh + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * autogen.sh: Check for the version of intltool. Also apply some + fixups to the po/Makefile.in.in file created by intltoolize. + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * src/autoroute.c: fix an unitialized variable + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * src/edif.y, src/hid/lesstif/menu.c: fix a few gcc4 warnings + +2008-01-15 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am: skip adding m4/ChangeLog to the distfile. It seems + to be installation dependent + +2008-01-14 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am: it helps if we build libintl before using it... + +2008-01-14 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add missing $INTLLIBS to LIBS. Fixes building with + lesstif and batch. + +2008-01-13 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/hid/gtk/gui-top-window.c: check for and include + locale.h. Should fix solaris build problems. + +2008-01-13 Dan McMahill * dan AT mcmahill dot net * + + * mkinstalldirs: remove generated file. This comes from the + ./autogen.sh bootstrap + +2008-01-12 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/regen_files: Fix script to regenerate icon files, including + mask channel for windows icons. + +2008-01-12 Dan McMahill * dan AT mcmahill dot net * + + * autogen.sh: put in a check for autopoint version + +2008-01-11 Dan McMahill * dan AT mcmahill dot net * + + * data/README: note the regen_files script + +2008-01-11 Dan McMahill * dan AT mcmahill dot net * + + * data/regen_files: hopefully fix a few minor bugs in inkscape + exporting + +2008-01-11 Dan McMahill * dan AT mcmahill dot net * + + * data/Makefile.am, data/regen_files: add windows icon and script to + generate it + +2008-01-11 DJ Delorie * dj AT delorie dot com * + + * src/polygon1.c: Strict aliasing patch from Larry Doolittle. + +2008-01-11 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: fix pincount for MSOP10 + +2008-01-11 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/batch/.cvsignore, src/hid/bom/.cvsignore, + src/hid/common/.cvsignore, src/hid/gerber/.cvsignore, + src/hid/gtk/.cvsignore, src/hid/lesstif/.cvsignore, + src/hid/lpr/.cvsignore, src/hid/nelma/.cvsignore, + src/hid/png/.cvsignore, src/hid/ps/.cvsignore: add .dirstamp + +2008-01-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * lib/gtag.m4: Fix typo in gtag.m4 file + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac, src/Makefile.am, src/hid/gtk/gui.h, src/main.c: + Activate gettext support in PCB by setting up the domain and locale + dir. + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/pcb.desktop.in: Add application/x-pcb-footprint to the + mime-types PCB will be launched for + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/pcb.xml.in: Add an attempt at a glob pattern to match PCB + footprints Searches for the string "Element[" starting between and offset of 0 + and 20 bytes into the file. + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/pcb.desktop.in: Fix mime type registered to open PCB to + application/x-pcb-layout + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/Makefile.am: Fix data/Makefile.am where xdgdir was used + instead of xdgdatadir + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * Makefile.am: Add intltool-XXX.in to EXTRA_DIST and add appropriate + cleaning rules. + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * Makefile.am, icon-theme-installer: Add missed icon-theme-installer + script required for installing icons + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * Makefile.am: Add make distcheck ./configure flag + --disable-desktop-database Ensures cache files won't be left during make distcheck which could + break the distcheck. + +2008-01-10 Dan McMahill * dan AT mcmahill dot net * + + * win32/.cvsignore: add missing cvsignore + +2008-01-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/.cvsignore: add hidlist.h + +2008-01-10 Dan McMahill * dan AT mcmahill dot net * + + * .cvsignore, src/.cvsignore, src/hid/.cvsignore, + src/hid/batch/.cvsignore, src/hid/bom/.cvsignore, + src/hid/common/.cvsignore, src/hid/gerber/.cvsignore, + src/hid/gtk/.cvsignore, src/hid/lesstif/.cvsignore, + src/hid/lpr/.cvsignore, src/hid/nelma/.cvsignore, + src/hid/png/.cvsignore, src/hid/ps/.cvsignore, src/icons/.cvsignore: + add a cvsignore file + +2008-01-10 Dan McMahill * dan AT mcmahill dot net * + + * data/README: fix a typo + +2008-01-10 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, configure.ac: wire in the desktop stuff + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/gpcb-menu.res, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-library-window.c, src/hid/gtk/gui-library-window.h, + src/hid/gtk/gui.h: Change library window to give a preview and + filterable list of components. Code for the libarary window is based on x_compselect.c from + gEDA/gaf's gschem schematic editor, and the libray window code + pre-existing in PCB. Also added a shortcut "i" to the library window, matching gschem's + insert component shortcut. + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac, po/LINGUAS, po/POTFILES.in: Ensure GETTEXT_PACKAGE + is set, and add missing LINGUAS and POTFILES.in files. + +2008-01-10 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, README.cvs, autogen.sh, configure.ac: add i18n + framework. + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/Makefile.am: Add Makefile.am for data dir, with rules to + install icons and desktop data + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/pcb.desktop.in: Commit pcb.desktop.in template menu file for + translation + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/pcb.xml.in, data/x-excellon.desktop.in, + data/x-gerber.desktop.in, data/x-pcb-footprint.desktop.in, + data/x-pcb-layout.desktop.in, data/x-pcb-netlist.desktop.in: Check + in KDE mimelnk .desktop.in and XDG pcb.xml.in MIME registrations Files are annotated suitably for translation with intltool + +2008-01-10 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * data/README, data/application-x-excellon-16.svg, + data/application-x-excellon-22.svg, + data/application-x-excellon-32.svg, + data/application-x-excellon-48.svg, + data/application-x-excellon.svg, data/application-x-gerber-16.svg, + data/application-x-gerber-22.svg, data/application-x-gerber-32.svg, + data/application-x-gerber-48.svg, data/application-x-gerber.svg, + data/application-x-pcb-footprint-16.svg, + data/application-x-pcb-footprint-22.svg, + data/application-x-pcb-footprint-32.svg, + data/application-x-pcb-footprint-48.svg, + data/application-x-pcb-footprint.svg, + data/application-x-pcb-layout-16.svg, + data/application-x-pcb-layout-22.svg, + data/application-x-pcb-layout-32.svg, + data/application-x-pcb-layout-48.svg, + data/application-x-pcb-layout.svg, + data/application-x-pcb-netlist-16.svg, + data/application-x-pcb-netlist-22.svg, + data/application-x-pcb-netlist-32.svg, + data/application-x-pcb-netlist-48.svg, + data/application-x-pcb-netlist.svg, data/pcb.svg: Check in MIME and + app icons along with a README with origins and copyright + +2008-01-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * configure.ac, src/dbus-pcbmain.c: Avoid using deprecated + dbus_watch_get_fd() in newer dbus versions. Use a configure test (copied from PulseAudio) for the newer API, + dbus_watch_get_unix_fd(), and use that if available. + +2008-01-08 Dan McMahill * dan AT mcmahill dot net * + + * src/polygon1.c: add a FIXME comment + +2008-01-08 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/Makefile.am, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-pinout-preview.c, src/hid/gtk/gui-pinout-preview.h, + src/hid/gtk/gui-pinout-window.c, src/hid/gtk/gui.h: Refactored + pinout preview code into a new widget, GhidPinoutPreview In the refactoring, fix a bug where if the pinout window was larger + than the PCB window's viewport, elements in the preview were clipped + to the viewport size. In a break from the old behaviour, the previewed element is centered + in the preview area. + +2008-01-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-misc.c, src/hid/gtk/gui-output-events.c: remove a + handful of strict aliasing rule violation warnings generate by gcc4 + +2008-01-07 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_l.l, src/res_lex.l: avoid some 'defined but not used' + gcc warnings. + +2008-01-07 Dan McMahill * dan AT mcmahill dot net * + + * src/autoroute.c, src/hid/nelma/nelma.c, src/misc.c: clear out a + few more compiler warnings + +2008-01-07 Dan McMahill * dan AT mcmahill dot net * + + * src/gpcb-menu.res: remove some duplicated entries and remove some + conflicting hot keys. + +2008-01-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: be a little smarter about parsing + the hotkey parts of the menu resource file. Now give a sane message + instead of segfaulting on a malformed input. Also check for + duplicate hot keys and drop the duplicates with a message. + +2008-01-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-output-events.c: correctly scale the step sizes + for scroll bar scrolling of the main drawing area. This addresses + the "scoll bars scroll by tiny tiny steps" part of sf bug [ 1796016 + ] Cursor/scrolling madness + +2008-01-06 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: add some extra dependencies to get this working + with parallel make jobs. + +2008-01-06 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add min version for automake + +2008-01-06 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: specify the minimum autoconf version + +2008-01-06 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README.cvs, README_FILES/Makefile.in, aclocal.m4, + config.h.in, configure, doc/Makefile.in, doc/actions.texi, + doc/pcbfile.texi, doc/version.texi, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/electro-optics/Makefile.in, newlib/headers/Makefile.in, + newlib/keystone/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, src/Makefile.in, src/icons/Makefile.in, + tools/Makefile.in, tutorial/Makefile.in, win32/Makefile.in: remove a + bunch of autotools generated files and update the instructions for + building from cvs accordingly. + +2008-01-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: before exporting png, clear out any existing + color or brush cache. They are stale. Should fix sf bug [ 1807726 ] png HID exports transparent copper + rectangles + +2008-01-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: apply the patch provided in sf bug [ 1792119 ] + Slanted squared pads: png output which corrects the drawing of non + 90-deg square cap lines in the png output. + +2008-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/action.c, src/edif.y, src/edif_parse.h, + src/file.c, src/file.h: add the ability to load edif netlists + provided in sf patch [ 1516885 ] added edif netlist import by Jeff + Bailey. + +2008-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c: remove some + unused variables + +2008-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui.h: fix a bug where sometimes the confirm dialog had + corrupt button names. + +2008-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: apply the patch provided in sf bug [ 1850097 ] Grid + off by 1 after setting to 1 + +2008-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: apply a patch provided in sf bug [ + 1840422 ] GTK HID panning bug. Keeps the scroll bars in sync when + the display is panned. + +2008-01-05 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/actions.c: Re-initialize num so that multiple + actions will be parsed properly. + +2008-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: remove some code from set_cursor() that + tries to limit the cursor position in a way which seems to cause + spurious scrolling in a number of situations. Should hopefully fix sf bug [ 1796016 ] Cursor/scrolling madness + +2008-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: update a comment + +2008-01-04 Dan McMahill * dan AT mcmahill dot net * + + * src/buffer.c: Allow the conversion of a selection which contains + only silk to an element instead of requiring a pad or pin. Useful + for logos. Requested in sf bug [ 1820380 ] convert-to-element requires at least + one pin or pad + +2008-01-04 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add missing check for texi2dvi. sf bug [ 1840645 ] texi2dvi: Missing configure check + +2008-01-04 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: Add an option that turns off the scale and file + name in the postscript output. This is useful when trying to do a + toner transfer or print transparencies that use the whole page. Requested in sf bug [ 1858547 ] unwanted text lines in printouts + makes these useless + +2008-01-04 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c, src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui.h: Apply sf patch [ 1848465 ] brief error info in + "DRC continue" dialog While here, add some missing bits of code in the dialog_confirm + function for the gtk hid that lets you specify the ok and cancel + messages. + +2008-01-04 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: fix a printf format string compiler + warning. + +2008-01-04 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/file.c, src/hid/gerber/gerber.c, src/misc.c: add + some casts to silence some gcc4 warnings + +2008-01-04 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/qfpdj.inc: apply sf patch 1784721 to move the + QFP footprints to 0.01 mil resolution. Also the remaining SO + packages have been moved to the hi-res format. Minor corrections to the patch by me. + +2008-01-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in, lib/png_diff.sh: add a utility + script for comparing .png's of an entire footprint library. + +2008-01-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh.in: add a -d|--dpi flag to use a fixed + scale factor for the exported png files + +2008-01-03 Ben Jackson * ben AT ben dot com * + + * src/parse_y.y: Temporarily change PCB=yyPCB while InitClip after + load (similar hacks exist in other load code) + +2008-01-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/qfpdj.inc: add missing PKG_QFP_40 + +2008-01-03 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c: apply + patch 1852864 GTK HID: scrolled layer preferences To enable a + scroll window for the layers. This is needed if the user uses more + than 8 or so layers. + +2008-01-02 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/bom/bom.c: Fix a problem with the X-Y output file where + the y values were mirrored and offset with respect to the RS274-X + output. Given that this bug has been here since the code was + written 3 years ago, I conclude that in fact no one has used this + feature. + +2007-12-26 Dan McMahill * dan AT mcmahill dot net * + + * src/lrealpath.c: change the preprocessor logic a bit to avoid + #ifdef-ing in code that will never be reached. + +2007-12-26 Dan McMahill * dan AT mcmahill dot net * + + * src/puller.c: add a couple of casts to make pointer compares work + on identical pointer types. + +2007-12-26 DJ Delorie * dj AT delorie dot com * + + * src/hid/png/png.c: Fix some off-by-one bugs. + +2007-12-26 Dan McMahill * dan AT mcmahill dot net * + + * src/puller.c: get rid of a handful of compiler warnings (printf + format strings, and unused variables). + +2007-12-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/netlist.c: Cast parameters to right type. + +2007-12-21 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: add 10x10mm TQFP64, 12x12mm TQFP80, and 12x12mm + TQFP100 footprints + +2007-12-19 Dan McMahill * dan AT mcmahill dot net * + + * lib/connector.inc: make sure both rows of CONNECTOR_DIL use 38 mil + pins. Noted on geda-user by Michael Stovenour + +2007-12-19 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: Resolve some const-cast issues. + +2007-12-18 DJ Delorie * dj AT delorie dot com * + + * lib/geda.inc: Add TSSOP14 and TSSOP16 + +2007-12-13 Dan McMahill * dan AT mcmahill dot net * + + * lib/plcc.inc: fix a few more cases of = being used where == was + called for + +2007-12-13 Dan McMahill * dan AT mcmahill dot net * + + * lib/plcc.inc: use == instead of = for testing equality. Gets rid + of a bunch of warnings. Verified that pcblib-newlib contents did + not change with this commit. + +2007-12-11 DJ Delorie * dj AT delorie dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Various changes from Kai; + cut-copy swap for lesstif. + +2007-12-11 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * : Forced checkin with no changes due to missed log entry from in + commit Initialise static variables x_prev and y_prev in + ghid_port_window_motion_cb() to -1, to avoid the (unlikely) case + they are used initialised. + +2007-12-10 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-output-events.c: Fix enter/leave logic to avoid + leaving obsolete crosshair-attached elements on the screen when the + cursor leaves the working area. + +2007-12-10 Ben Jackson * ben AT ben dot com * + + * src/hid/lesstif/main.c, src/pcb-menu.res: Add Zoom(Toggle) and + bind it to ` (backtick). Fix all warnings in hid/lesstif/main.c + +2007-12-10 Ben Jackson * ben AT ben dot com * + + * src/draw.c: Make 'outline' layer test case-insensitive to match + other instances. + +2007-12-10 Ben Jackson * ben AT ben dot com * + + * src/draw.c: Add gross hack to avoid using mask in DrawRats() for + othet than lesstif HID, as lesstif and gtk provide different + capabilities in CLEAR mode. + +2007-12-10 Ben Jackson * ben AT ben dot com * + + * src/draw.c, src/hid/lesstif/main.c, src/hid/lesstif/xincludes.h: + Add XRENDER support to the Lesstif HID. Rat lines and soldermasks + are now displayed at 50% intensity on top of other drawn elements. + +2007-12-09 Ben Jackson * ben AT ben dot com * + + * configure: Rebuild with 2.61 + +2007-12-09 DJ Delorie * dj AT delorie dot com * + + * config.h.in, configure, configure.ac: Check for Xrender library. + +2007-12-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon1.c: Sometimes single-point touching will leave no + starting point on the 'A' pline for an ISECTED contour. Check for + starting points on the 'B' pline (only at the point of intersection) + just in case it has a valid starting point. + +2007-12-04 Ben Jackson * ben AT ben dot com * + + * src/hid/png/png.c: ignore paste layers when exporting 'as shown': + Correct logic for silk display; Add logic for mask display ignore + soldermask layers for normal output add option to make + background/erasures transparent + +2007-12-03 Ben Jackson * ben AT ben dot com * + + * src/crosshair.c: Avoid moving elements snapping to their own pins + or pads. Don't snap moving vias to any kind of pins (they can't + overlap anyway). + +2007-12-03 Ben Jackson * ben AT ben dot com * + + * src/action.c: Don't create empty text objects. + +2007-12-02 Ben Jackson * ben AT ben dot com * + + * src/misc.c, src/polygon.c: Data->LayerN should generally be + avoided. It's not initialized for buffers. All buffers should have + max_layer available (which is a macro for PCB->Data->LayerN, the + number of layers in the current board). + +2007-12-02 Ben Jackson * ben AT ben dot com * + + * src/action.c: Partial fix? [ 1775101 ] Undo with the line tool + sometimes switches to wrong node If you auto-drc and you extend a + line (causing a delete and re-add of a longer line), then undo, this + patch ensures the restored short segment has FOUNDFLAG so you can + continue drawing. + +2007-12-02 Ben Jackson * ben AT ben dot com * + + * src/macro.h, src/move.c: Fix [ 1820398 ] Inconsistant vias with + move-to-layer Add explicit check for silk layer when making vias due + to movelinetolayer + +2007-12-02 Ben Jackson * ben AT ben dot com * + + * src/action.c: Fix [ 1836169 ] undo of shift-paste element + replacement broken Code was "stealing" the original name memory + before removing it, so the element went into the undo buffer + nameless. Copy string instead. + +2007-12-02 Ben Jackson * ben AT ben dot com * + + * src/action.c: Fix [ 1836172 ] shift-create two vias, undo twice, + internal error Caused by my addition of shift-click vias -- the new + via undo was happening before the new flag undo. + +2007-12-02 Ben Jackson * ben AT ben dot com * + + * src/buffer.c: Missed a spot when adding 'clearlineflag' support to + Text. Also Fixed a bug in movearctobuffer + +2007-11-30 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c, src/hid/lesstif/dialogs.c, + src/hid/ps/ps.c: Fix printer calibration. + +2007-11-30 DJ Delorie * dj AT delorie dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Add 50 mil grid setting. Add + global puller submenu. + +2007-11-30 Ben Jackson * ben AT ben dot com * + + * src/action.c: Fix a typo. Do not use grid size for + Connection(Find) search radius + +2007-11-30 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Attach the show soldermask layer button to + its flag. + +2007-11-30 Ben Jackson * ben AT ben dot com * + + * src/polygon.c: In case 'biggest()' polygon is 0 area, init size = + -1 instead of 0. + +2007-11-27 Ben Jackson * ben AT ben dot com * + + * src/rats.c: Fix two bugs in my via-rats patch: 1) Certain combinations of objects (presumably rare!) could lead to + a crash. 2) Fix a cut/paste error where the wrong coordinate was tested. + Add explanatory comment. + +2007-11-25 DJ Delorie * dj AT delorie dot com * + + * src/puller.c: Add global puller. + +2007-11-25 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Draw arc start/end radii in separate + colors, and dotted, if enabled. + +2007-11-25 DJ Delorie * dj AT delorie dot com * + + * src/draw.c, src/draw.h: Export DrawLayer() for the ps exporter. + +2007-11-25 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Draw outline layer on all other copper layers + when "draw outline" is selected. Make alignment marks less + obtrusive. Make drill helpers half the board's minimum drill size, + not twice PCB's minimum drill size. Include commented out helpers + for brass paste stencil etching. + +2007-11-25 DJ Delorie * dj AT delorie dot com * + + * src/undo.c: Don't just clean out RemoveList, free it, so it's + created properly later. + +2007-11-25 Ben Jackson * ben AT ben dot com * + + * src/polyarea.h: Add some prototypes for global functions returning + double that will confuse the heck out of you if treated as returning + int! + +2007-11-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/netlist.c: Don't crash if a scanned element has no + text. + +2007-11-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/library.c: lesstif_show_library: Don't reset the + dialog when we're just re-displaying it. + +2007-11-24 Ben Jackson * ben AT ben dot com * + + * src/action.c: Apply [ 1726201 ] SaveTo(PasteBuffer.file) for + footprint creation with cleanups (original patch from Christian + Riggenbach - gizmotron) + +2007-11-23 Ben Jackson * ben AT ben dot com * + + * src/actionlist.c: Remove obsolete (generated, even!) file + +2007-11-23 Ben Jackson * ben AT ben dot com * + + * src/gpcb-menu.res, src/pcb-menu.res: Change all the GetXY prompts + for greater clarity. + +2007-11-23 Ben Jackson * ben AT ben dot com * + + * src/hid/gtk/gui-config.c: Apply patch [ 1835365 ] Fix for [ + 1820385 ] Can't close the preference dialog from denis77 + +2007-11-23 Ben Jackson * ben AT ben dot com * + + * src/autoroute.c: LIST_LOOP works on circular lists, but at least + some code will produce a head pointer of NULL for an empty list, + causing LIST_LOOP to crash. Since I'm not sure which is the bug, I + changed LIST_LOOP to assert() on a NULL list head and skip the loop. + With assert disabled, the loop becomes a no-op, which seems + appropriate. + +2007-11-23 DJ Delorie * dj AT delorie dot com * + + * src/search.c, src/search.h: Additional slanted-pad patch for + missed DRC + +2007-11-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/styles.c: Update the status line even when we + don't have a styles dialog. + +2007-11-19 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Add annulus and mask gap to pin/via report. + +2007-11-19 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: add a missing AC_MSG_RESULT in the + makeinfo version test. Patch from Peter Brett. While here, remove + a bashism (== vs =). + +2007-11-18 DJ Delorie * dj AT delorie dot com * + + * globalconst.h: Expand min/max values. + +2007-11-14 DJ Delorie * dj AT delorie dot com * + + * src/misc.c, src/parse_y.y: Fix pin bound calculations based on new + thermal calculations. + +2007-11-12 DJ Delorie * dj AT delorie dot com * + + * src/crosshair.c: Snap to pin/pads whenever we're within the + pin/pad copper, unless shift is pressed, then snap to the nearest + grid point if it's closer. + +2007-11-12 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidnogui.c: Don't complain about checking the shift + key when exporting pngs from the command line. + +2007-11-12 Ben Jackson * ben AT ben dot com * + + * src/buffer.c: Fix bugs intoduced by revision 1.41 while preserving + the fixes in 1.41. + +2007-11-10 DJ Delorie * dj AT delorie dot com * + + * lib/connector.inc: Reduce silk width of connector/header/jumpers + (some fabs clip it to the pads) to be similar to other elements. + +2007-11-10 DJ Delorie * dj AT delorie dot com * + + * lib/qfpdj.inc, lib/smt.inc: Move silk away from pads. Fix notch + in SO footprints. + +2007-11-05 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: fix DIN41651_20 and DIN41651_20S. Those are 20 pin + footprints not 10 pin footprints. Reported by Marshall Jose. + +2007-11-04 Ben Jackson * ben AT ben dot com * + + * src/change.c, src/change.h, src/move.c, src/polygon.c, + src/rotate.c, src/strflags.c: Add support for clearing text from + polygons. Text with the clearline flag (set with the J key by + default, just like lines and arcs) will have a rounded rectangle + hole cut in any overlapping polygons. + +2007-11-04 Ben Jackson * ben AT ben dot com * + + * src/action.c: Shift-click with the via tool to connect it to the + current layer as you place it. + +2007-11-04 Ben Jackson * ben AT ben dot com * + + * src/hid/lesstif/netlist.c, src/netlist.c, src/rats.h: Plumb the + NetlistShow() hid action in lesstif. Takes a pin name or a net name + and sets the current selection in the netlist window. Does not pop + up the netlist. + +2007-11-02 Dan McMahill * dan AT mcmahill dot net * + + * configure, doc/actions.texi, doc/version.texi: regen + +2007-11-02 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Properly thin-draw slanted pads. + +2007-11-02 DJ Delorie * dj AT delorie dot com * + + * src/find.c, src/polygon.c, src/search.c, src/search.h: Fixes for + DRC of slanted pads. Tracker bug 1791388 and patch 1791392. + +2007-11-02 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Don't draw pins/holes on the outline layer. Include + commented-out code to put board outline on mask layers, for fabs + that need that. + +2007-11-02 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/buffer.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-top-window.c, src/select.c: fix some compiler + warnings + +2007-11-02 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: Improve the robustness of the makeinfo version test. + Patch provided by Peter Brett. + +2007-11-02 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: Don't segfault when clicking the top + right button which toggles units. Problem noted by and solution + provided by Christian Riggenbach. + +2007-10-24 Ben Jackson * ben AT ben dot com * + + * src/search.c: Treat VIAFLAG rats (displayed as donuts) as round + targets, not as the invisible lines they are internally. + +2007-10-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: Make sure the leftmost end of the line + is visible in the log window. + +2007-10-21 Ben Jackson * ben AT ben dot com * + + * src/action.c: Fix printf format warnings. + +2007-10-21 Ben Jackson * ben AT ben dot com * + + * src/crosshair.c, src/draw.c, src/rats.c: Ratlist generation is + changed to favor connecting to polygons when they are available and + surround the pin needing a connection. Such rats are still + internally lines to the corner of the polygon, but have the VIAFLAG + set. The drawing code is modified to show them as little donuts + (suggesting the via that would be used to connect the pin, vs a + line). The crosshair rubberband code is modified to NOT draw these + lines while dragging. These changes are forward and backward + compatible. + +2007-10-21 Ben Jackson * ben AT ben dot com * + + * src/action.c, src/find.c, src/find.h: Make DRC dialog + next/continue to clarify its function. When DRC is over, message + now indicates if it was cancelled (so log can distinguish lack of + DRC errors from aborted DRC). + +2007-10-20 Ben Jackson * ben AT ben dot com * + + * src/rubberband.c: The correct point on a line now moves with a + polygon (fix cut'n'paste typo) When you move a line endpoint, only + *exactly* connected lines go with you. When you move a line, if + another segment fully overlaps the end of the moving line, the + entire segment (not an arbitrary end of it) will move. When you + move an element, any segments completely covered by a pad move with + the pad. (there are still some bugs in the drawing code as you + drag??) + +2007-10-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: Fix some really broken spurious panning + of the drawing area which happens when the board is viewed from the + back. Reported by Peter Clifton who also helped getting this patch + working right. + +2007-10-04 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gui-output-events.c: Reject double and triple clicks + in ghid_port_button_press_cb() Fixes a bug where a component would be rotated by more steps than + desired if clicking quickly with the rotate tool. + +2007-09-24 Ben Jackson * ben AT ben dot com * + + * src/polygon.c: Change rendering of rounded corners used when + clearing polygons. This fixes a slight rotation in rounded + rectangles and asymmetry of all rounded clearances besides complete + circles (which were not affected by the bug). For an illustration, + see: http://ad7gd.net/geda/roundrectanim.gif (include error code + print in returns from the polygon code -- not much use) + +2007-09-24 Ben Jackson * ben AT ben dot com * + + * src/autoroute.c: Add (under ifdef) code I used to debug a report + of "The rats nest is stale! Aborting autoroute...". It leaves the + rat in question selected after the error. + +2007-09-19 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: add missing 2007 in copyright year (for all the gtk + usermenu stuff) + +2007-09-17 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: avoid free()-ing some memory a bit + too soon. Patch from Ineiev. + +2007-09-14 Dan McMahill * dan AT mcmahill dot net * + + * src/gpcb-menu.res, src/pcb-menu.res: use mil and mm instead of + mils and mms for grid units + +2007-09-13 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: a few minor corrections + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: welcome to 1.99w + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: news for 20070912 + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update for 20070912 snapshot + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: set date for 20070912 + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, aclocal.m4, configure, + doc/Makefile.in, doc/actions.texi, doc/pcbfile.texi, + doc/version.texi, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/electro-optics/Makefile.in, newlib/headers/Makefile.in, + newlib/keystone/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, src/Makefile.in, src/icons/Makefile.in, + tools/Makefile.in, tutorial/Makefile.in, win32/Makefile.in: regen + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: fill in the missing code to get the gtk + HID set_crosshair() function working as well as the Cursor() action + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c: fix a typo in a help string + +2007-09-12 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-output-events.c: remove unused variable + +2007-09-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Add missing else. + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/buffer.c: Fix bugs with undoing "group" moves of objects over + a polygon. The group code uses the buffer code (sort of an + automatic cut/paste) so it applies to inserting elements and using + the buffers as well. + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/action.c: Fix [ 1751568 ] shorted nets stay orange after + fixing and re-opt netlist + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/rotate.c: Fix [ 1751574 ] undoing rotation that put an elt + inside a poly didn't fix plow Properly restores/clears rubber band + lines during rotate and undo. Still shockingly slow if you try this + on an even moderately full board! + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/find.c, src/rtree.h: Search for rat-end connections using 3x3 + "fat" ends to avoid having rat-to-polygon-corner connections missed. + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/select.c: Fix [ 1751566 ] When 'far side' hidden, hidden + elements don't deselect Change the SelectBlock to ignore visibility + for deselect while looping over all elements. The diffs are large + because the original code used VISIBLE_*_LOOP so all of the looping + was replaced. + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/search.c: Apply [ 1751580 ] polys should not be + selectable/considered when thin-drawn + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/search.c: Fix the crash from [ 1724453 ] PCB Crashes with bad + footprint (in its library) Does not fix whatever bug in the input + allowed a bogus element in (see bug for description of the problem + with the element and the source of the bad element). + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/remove.c: Fix bugs 1751572 and 1743534 by allowing a remove on + an endpoint to delete the segment if it's not just deleting a kink + from a longer line. + +2007-09-08 Ben Jackson * ben AT ben dot com * + + * src/move.c: Fix [ 1751578 ] 'move to current layer' doesn't + re-plow polygon correctly by clearing the NEW line pointer instead + of the old (destroyed) one. + +2007-09-06 Dan McMahill * dan AT mcmahill dot net * + + * lib/dil.inc: Patch [ 1784693 ] Fix Mark position for SDIP packages + +2007-09-06 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: Add newlib/keystone/Makefile. Forgot to + check this in earlier. + +2007-09-05 Dan McMahill * dan AT mcmahill dot net * + + * newlib/Makefile.am, newlib/Makefile.in, + newlib/keystone/KEYSTONE_1062.fp, newlib/keystone/Makefile.am, + newlib/keystone/Makefile.in: add Keystone SMT battery holder for + CR2032 coin cells. + +2007-09-05 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in, lib/candk.inc, lib/common.m4, + lib/nichicon.inc, lib/optek.inc: Add C&K ES series switches, Optek + white LED, and Nichicon WT series of SMT electrolytics. + +2007-09-04 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-dialog-print.c, src/hid/gtk/gui.h: gut + ghid_print_dialog() and use the attribute editor to do all of the + dialog box work. Removes a bunch of duplicated code. + +2007-09-04 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/file.c, src/gpcb-menu.res, src/hid.h, + src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui-dialog.c, src/hid/gtk/gui.h, + src/hid/lesstif/dialogs.c, src/hid/lesstif/lesstif.h, + src/hid/lesstif/main.c, src/hid/lpr/lpr.c, src/hid/nelma/nelma.c, + src/hid/png/png.c, src/hid/ps/ps.c, src/vendor.c: Add a + ->fileselect() function to the HID interface. Now actions can use + gui->fileselect() instead of gui->prompt_for() when they are + specifically looking for a file. Currently the gtk HID implements + this and the lesstif one has the old behaviour. While messing around with dialogs, add a "descr" argument to the + attribute editor. That can be used as a tooltip, help string, or + ignored. + +2007-08-26 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/ps/ps.c: Fixed .ps output with "drill-copper" and + "drill-helper" options. Holes in the soldermask (and other non-copper layers) are now drawn + correctly when the "drill-copper" option is set to false. With the + "drill-helper" option on, only holes on copper layers are affected. + +2007-08-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid.h, src/hid/batch/batch.c, src/hid/common/hidnogui.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui.h, src/hid/lesstif/dialogs.c, + src/hid/lesstif/main.c, src/hid/ps/ps.c: add a title argument to the + HID attribute_dialog function so the dialogs can have appropriate + titles + +2007-08-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui.h: Implement the missing attribute editor dialog for + the GTK HID and now that it is available, add the PrintCalibrate() + action to match the lesstif one. + +2007-08-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: indent + +2007-08-19 Dan McMahill * dan AT mcmahill dot net * + + * src/draw.c: when drawing the mark for an element also put an "L" + on it if the element is locked. Patch from Bert Timmerman + +2007-08-17 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: clean up the regexp appendix a bit + +2007-08-17 Dan McMahill * dan AT mcmahill dot net * + + * lib/qfn.inc: use a default pad clearance of 20 mils instead of + zero. Bug [ 1742394 ] Zero clearance QFN footprints. + +2007-08-17 Dan McMahill * dan AT mcmahill dot net * + + * src/gpcb-menu.res, src/hid/gtk/gui-top-window.c: Teach the GTK HID + about active= in the menu resource file. Now that this works, + sync up the active=have_regex menus with the lesstif menu resource + file. + +2007-08-06 DJ Delorie * dj AT delorie dot com * + + * src/global.h: Give data->pcb a real type. + +2007-08-06 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: Make sure loaded buffers have a proper pcb + backpointer. + +2007-08-06 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: [ 1751567 ] with thin-draw-poly on, soldermask display + is messed up + +2007-08-06 DJ Delorie * dj AT delorie dot com * + + * src/select.c: Match whole names with regex's, when possible. + [1741650] + +2007-08-06 DJ Delorie * dj AT delorie dot com * + + * src/flags.c, src/pcb-menu.res: Fix the have_regex flag in + pcb-menu.res [1751564] + +2007-08-06 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/actions.c, src/hid/lesstif/menu.c: More empty + :command checks [1751582] + +2007-08-06 DJ Delorie * dj AT delorie dot com * + + * src/polygon.c: Ben: Avoid duplicate passes through pad clearances. + +2007-08-05 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/const.h, src/draw.c, src/flags.c, src/global.h, + src/gpcb-menu.res, src/main.c, src/pcb-menu.res, src/polygon.c, + src/strflags.c: patch 1738364: flag for non-clipped polygons. + +2007-08-05 DJ Delorie * dj AT delorie dot com * + + * src/hid/bom/bom.c: Adds an export in mm to the BOM export dialog + [1742399] + +2007-08-05 DJ Delorie * dj AT delorie dot com * + + * src/set.c: Don't limit keepaway size to minimum *line* size. + +2007-08-04 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Apply bloat to drawn rectangles. + +2007-08-04 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Tracker 1753084 - fixes 1751589, merged soldermask has + larger than expected outline. + +2007-08-03 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-top-window.c: More paranoid check for "gui is up" + +2007-08-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-top-window.c: Don't allow LayersChanged if there's + no GUI yet. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Protect against empty :command string. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/strflags.c: Fixed a bug in flags_to_string where the LOCALREF + pcb flag would be discarded. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Fix references to RouteStyleChanged (should be + RouteStylesChanged). Call it when :RouteStyle is called. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/file.c: When a board is loaded, the first route style is + automatically selected if the old style doesn't happen to match any + styles. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Add missing variable. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: When the layer stack is reset, bring the component + layer to the front and make it active. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: When swapping board sides, swap top/bot + layers even if both layers are visible. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Swap the direction of scroll bars when the + board is swapped. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c, src/hid/ps/eps.c, src/hid/ps/ps.c: + Gerber, PS, and EPS ignore the thindraw settings. Fix bug in PS + when first item on the board is an arc. PS "drill helper" changed + to a simple hole reduction so that copper extends to drilled hole + even when hole is off-center. + +2007-08-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Don't free home_pcbmenu until after it's + used. + +2007-06-28 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-config.c: Don't forget to fclose() the config file + after we're done reading it. CID 27, scan #1. + +2007-06-28 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/bom/bom.c: Fix a few memory leaks. Coverity ID 22, 23, + and 24, scan #1. + +2007-06-13 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: add missing save_syntax and save_help. + Also allow Save(PasteBuffer) so we have more compatibility with the + lesstif HID. This also fixes the regression about saving buffer + elements which appeared after the user menu branch was merged. + +2007-06-13 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/dialogs.c: add Save(PasteBuffer) to save_syntax. + +2007-06-09 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/pcb-menu.res: Add Delete() action. No + arguments: delete selected ELSE object, but options for selected + only, object only, selected rats, all rats. + +2007-05-29 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Revert patch to hide far-side (invisible) pads when + near-side pins / pads are switched off. Old behaviour was + intentional. + +2007-05-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/ps/ps.c: Add option "drill-copper" to draw / not draw + drill holes in the copper layers of the ps exporter. This is useful + for plated through processes which require the etch mask to protect + the vias / pins during etching. + +2007-05-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Change drawing code for square pads to use + gui->fill_rect (...) as on Win32, the current method of drawing a + zero length line doesn't work. + +2007-05-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/draw.c: Stop far side 'invisible' pads being drawn when pins / + pads are switched off. + +2007-05-25 Peter Clifton * pcjc2 AT cam dot ac dot uk * + + * src/hid/gtk/gtkhid-main.c: Fix drawing of filled rectangles when + the board is flipped. Makes soldermask view on the back of the + board work. + +2007-05-24 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2007-05-24 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: check for buggy versions of m4 which seem to think + eval(-2/2) should be 2147483647. Noted by Peter Clifton. + +2007-05-23 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: Avoid a stack corruption. Noted by + Peter Clifton. Should probably replace all instances of sprintf in + pcb with snprintf, but that will take some work. + +2007-05-17 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c, src/hid/lesstif/menu.c, src/main.c: + check for getenv() return codes everywhere and deal if getenv + returns NULL. Noted by Peter Clifton. + +2007-05-16 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: if the popen fails for the ListLibraryContents.sh + shell script, continue on with the newlib processing instead of + failing. This helps on systems where either the user has disabled + m4 libraries or on systems like windows where the popen will not + work. + +2007-05-04 Dan McMahill * dan AT mcmahill dot net * + + * src/todo: remove a few fixed things + +2007-05-04 Dan McMahill * dan AT mcmahill dot net * + + * lib/connector.inc: Increase the hole size on the DIN* connectors + (are these named wrong btw?) from 28 mils to 40 mils. This is more + in line with what is recommended for Tyco *-1634689-* box headers in + Tyco drawing 1634689. Noted by Seb James. + +2007-05-02 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c: When refusing to create overlapping vias spit out a + warning. We should probably allow overlapping vias but turn it into + a DRC violation. But until then, at least give a warning instead of + silently dropping them. More details are in bug reports: [ 1687692 + ] Large Pads (150 mils) silently dropped [ 1266154 ] lost vias + +2007-05-02 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c, src/file.h, src/misc.c: avoid triggering an emergency + save on normal exit. Reported and patch provided in bug [ 1705607 ] + Emergency save on normal exit. + +2007-05-01 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-pinout-window.c: - make arcs show up in the pinout window - don't rotate the element in the pinout window. Rather display + with the same rotation as in the layout. Besides being more useful + this avoid a segfault in some cases. + +2007-05-01 Dan McMahill * dan AT mcmahill dot net * + + * src/gpcb-menu.res: remove the menu mneumonics as some conflict + with hotkeys + +2007-05-01 Dan McMahill * dan AT mcmahill dot net * + + * src/gpcb-menu.res, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: Teach the GTK HID how to trap certain hotkeys + which gtk usually captures for its own use. This lets PCB use the + arrow keys and the tab key again. So restore the hotkey for + flipping sides in the menu resource file. Start on the Cursor() + action which is needed for the arrow keys. That part is not done + yet. + +2007-04-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Don't double the pad thickness when restoring to + the polygon. For speedup, just add back a simple rectangle since it + only has 4 points. Should do that for lines too, but didn't. + + ---------------------------------------------------------------------- + +2007-04-27 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: fix bloating of region to search for re-clearance + after a portion of polyogn is restored. + + ---------------------------------------------------------------------- + +2007-04-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Draw non-circular arcs manually. + +2007-04-21 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/buffer.c, src/copy.c, src/create.c, + src/create.h, src/parse_y.y: Preserve width *and* height when + manipulating arcs. + +2007-04-21 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: Add FreeRotatebuffer(Angle) (angle can be any angle) + +2007-04-21 DJ Delorie * dj AT delorie dot com * + + * src/crosshair.c, src/search.c: Allow for diagonal pads. + +2007-04-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: Use ".fp" when loading element data. + +2007-04-21 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c, src/create.c: Permit the existence of diagonal pads. + +2007-04-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Use polygons to draw square ended + diagonal lines. + +2007-04-21 Dan McMahill * dan AT mcmahill dot net * + + * src/autoplace.c: add a check to make sure malloc() succeeds. + Noted in bug [ 1657886 ] Check return codes everywhere + +2007-04-21 Dan McMahill * dan AT mcmahill dot net * + + * src/gpcb-menu.res, src/pcb-menu.res: Change "Select all" to + "Select all visible" to match up with what actually happens. + Reported in bug [ 1013719 ] Select all objects: misleading name? + +2007-04-20 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/main.c, src/hid/ps/ps.c, src/hid/ps/ps.h: fix a + handful of compiler warnings + +2007-04-20 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi: regen after usermenu merge + +2007-04-20 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac, src/Makefile.am, + src/Makefile.in, src/action.c, src/gpcb-menu.res, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h, src/misc.c, src/pcbtest.sh.in, src/set.c, + src/todo: Merge the usermenu branch. This reworks how the menus + and hotkeys are defined and handled for the gtk HID. In particular, + instead of being hard coded, the menus are loaded from a menu + resource file like in the lesstif gui. All hotkeys are defined via + the menu hotkeys and thus are visually presented in the menus. The + gtk HID looks for "gpcb-menu.res" instead of "pcb-menu.res" so that + the menu organization may be different between the lesstif and gtk + HID's. + +2007-04-19 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/change.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-pinout-window.c, src/hid/gtk/gui-top-window.c, + src/misc.c, src/output.c, src/output.h, src/set.c, src/thermal.c: + Remove references to the now unused output.h. To help keep it that + way, go ahead and remove the unused output.c and output.h files. + +2007-04-12 DJ Delorie * dj AT delorie dot com * + + * src/command.c: Allow :s without filename to use current filename. + +2007-04-11 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, src/Makefile.am, src/Makefile.in, + src/hid/nelma/hid.conf, src/hid/nelma/nelma.c, + src/hid/nelma/nelma.h: add the nelma export HID provided in patch: [ + 1601099 ] Nelma export HID by Tomaz Solc with a few minor updates by + me. + +2007-04-11 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-output-events.c: Apply patch supplied in patch [ + 1692014 ] Patch for "click on focus for zoom" bug from Tomaz Solc. + +2007-04-10 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/pcbfile.texi, doc/version.texi, + src/Makefile.in: regen + +2007-04-08 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-config.c: Initialize command history size to 5 if + no config setting is given. Patch [ 1608559 ] Patch for command + entry history bug. + +2007-04-08 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-misc.c: add distance and angle to the relative + readout. Mostly provided in patch submission [ 1621913 ] Euclidian + distance from mark. Minor update (fix the fact that in PCB units, + positive Y is down) from me. + +2007-04-08 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c, src/file.h, src/parse_y.y: turn on the code which + marks the file format version in the file. pcb has had the code in + place for a bit now that parses that and gives a warning if the + version of pcb is not new enough to read the current file version. + +2007-04-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-misc.c, src/hid/gtk/gui-top-window.c: prevent the + cursor position labels from growing/shrinking as you move the cursor + around. + +2007-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/flags.c: Change the stringflag in the settings from showdrc to + showdrcmode since we already used showdrc for the flag. This fixes + the menu choice for "crosshairs show drc clearance". + +2007-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/rats.c: avoid using an unitialized variable (even though we + ignore the result of that access) + +2007-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/move.c: document MoveLayer() action + +2007-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/file.h: add missing prototypes + +2007-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: add missing header, remove unused variable + +2007-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c, src/find.c: remove unused variable + +2007-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: format string fix + +2007-03-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rats.c: find rats regardless of how far the coordinates are + out of bounds + + ---------------------------------------------------------------------- + +2007-03-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rats.c: Handle case for connections are close to or beyond + MAX_COORD + + ---------------------------------------------------------------------- + +2007-03-09 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Makefile.in, src/clip.c, src/clip.h, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: Add basic line + clipping to both GUIs. + +2007-03-04 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: Fix a bug when looking for square pad to square pad + clearance. The old way simply grew one of the pads by Bloat on all + 4 sides and looked for overlap. This is not the correct test in the + case where the closest line between the two pads is a unique line + from two of the corners. The new way should correctly handle all + cases. + +2007-03-04 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Makefile.in, src/action.c, src/action.h, + src/autoroute.c, src/change.c, src/const.h, src/crosshair.c, + src/data.c, src/data.h, src/djopt.c, src/draw.c, src/file.c, + src/find.c, src/flags.c, src/global.h, src/hid.h, + src/hid/batch/batch.c, src/hid/common/hidinit.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/lesstif/netlist.c, + src/hid/png/png.c, src/hid/ps/eps.c, src/hid/ps/ps.c, src/macro.h, + src/main.c, src/misc.c, src/misc.h, src/parse_y.y, + src/pcb-menu.res, src/report.c, src/search.c, src/set.c, + src/strflags.c, src/strflags.h, src/todo: Made flags type big enough + to hold PCB flags. Added hash table for parameter lookup in action.c Moved thindraw code out of lesstif hid. Added "thin draw polygons" flag to toplevel stuff. Fixed "check polygons" so it works again. Added "lock names" setting: When set, the arrow tool ignores element + names and text objects, so you can select the elements or objects + beneath them. Added "only names" setting: When set, the arrow tool can only select + element names and text objects; you won't accidentally move an + element. Made the crosshair-move code draw the outline of pads, not the + centerline. It does still draw round-end pads as rectangles, + though. Allow "--no-foo" to shut off boolean "--foo" options that default to + on. Added "--layer-stack" option that takes a string and sets the layer + stack to it (for eps/png export, mostly). Layers are numbers + 0..N-1, the names of the layers from the loaded PCB file, or the + special names "rats", "invisible", "pins", "vias", or "elements". + Layers may be separated by spaces, commas, semicolons, or colons. Added Display(Step,[1..9]) to move the cursor in steps. Implemented + in lesstif HID, attached to arrow keys. Added "what to do with the + pointer" parameter - warp pointer to match crosshair, or pan window + to put crosshair under cursor. Make autorouter show live trials. Clean up various FIXMEs. Add support for string encoded PCB flags. thindraw exports: off by default? Follow current setting? Or put + it in their own attribute list? Added Report(NetLength) which reports on the total length of + segments (lines and arcs) for the net under the cursor. It will + also tell you the name of the net. Remove obsolete UseLogWindow and RaiseLogWindow. + +2007-03-02 Dan McMahill * dan AT mcmahill dot net * + + * win32/pcb.nsi.in: remove some left over links on uninstall + +2007-02-27 DJ Delorie * dj AT delorie dot com * + + * src/file.c: Avoid segfaults when loading elements, fixes bug in + load-element-as-pcb patch. + +2007-02-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Add calibration values to the attribute list so + they can be stored in the settings file, or given on the command + line. + +2007-02-24 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/parse_y.y: Allow the user to load a footprint as a + pcb; create a suitably-sized pcb around it. + +2007-02-23 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Report on pads as rectangles, not lines, so we get + width and height for the pad and mask. Also, report the gap between + the pad and the mask. + +2007-02-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Silence some compiler warnings. + +2007-02-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Don't print the scale if we're filling the page. + Don't print (null) if there's no board name. + +2007-02-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Attach status bar widgets to the correct + siblings. + +2007-02-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Don't use the crosshair's position to keep + track of whether it's in the window or not; have a separate variable + so we can avoid panning when we're just resetting the crosshair + after a tool change. + +2007-02-15 DJ Delorie * dj AT delorie dot com * + + * src/autoroute.c, src/hid/ps/ps.c, src/parse_l.l, src/polygon.c: + Move declarations before statements (patch by Ben Jackson * ben AT + ben dot com *) + +2007-02-13 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/change.c, src/draw.c, src/draw.h, src/move.c, + src/print.c, src/remove.c, src/rotate.c, src/undo.c: Keep track of + the minimum line size for text depending on which layer the text is + on (copper, silk, pin numbers). + +2007-02-12 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in, src/Pcb.ad.in, src/Pcb.ad.raw, + src/Pcb.ad.small: Remove the appdefaults files. These were leftover + from Xaw days and weren't really doing anything other than providing + a filename conflict on case insensitive file systems (pcb vs Pcb). + +2007-02-12 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Compensate for rotated boards. Allow the print + step to be skipped. + +2007-02-10 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/hid/lesstif/dialogs.c, src/hid/lpr/lpr.c, + src/hid/ps/ps.c, src/pcb-menu.res: Add printer calibration code for + lesstif HID. + +2007-02-10 DJ Delorie * dj AT delorie dot com * + + * src/vendor.c: Cache vendor lookups. Use binary search instead of + linear. + +2007-02-10 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/parse_l.l: Properly deal with quoted characters in + both string reading and string writing. + +2007-02-10 DJ Delorie * dj AT delorie dot com * + + * src/vendor.c: Call busy before applying the vendor map. + +2007-02-10 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/djopt.c, src/hid.h, src/hid/batch/batch.c, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: Change the way + the busy cursor is done. Called for autoplace, autoroute, and + optimize. + +2007-02-10 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c, src/pcb-menu.res: Add "C" for Center() + action. Provide examples for zooming-with-centering in + pcb-menu.res. + +2007-02-10 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs_branches: note the usermenu branch + +2007-02-09 DJ Delorie * dj AT delorie dot com * + + * src/create.c: Always initialize default layer names. + +2007-02-09 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c: use MAX_LAYER in a spot instead of max_layer. + Prevents a segfault with the lesstif hid. + +2007-02-09 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: bump rev after 20070208 branch + +2007-02-09 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog, NEWS: update for 20070208 snapshot + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, src/main.c: if we somehow fail to find + the install path from lrealpath(argv[0]) or by searching through the + PATH environment variable, fall back to the configure time path + which is compiled into the binary. + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/version.texi: regen + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in: Modify how the dependencies work + a bit for the newlib library that is generated from the m4 + libraries. In particular, make sure that a normal user building + from a tarball doesn't have to build the libraries since they take + quite a bit of time. Also make sure things work with a read-only + source tree. + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: When pcb is called with no path, i.e. "pcb" instead of + "../src/pcb" or /usr/local/bin/pcb, then we can't just look at + argv[0] to figure out the installation path. In this situation, + search through PATH to find which pcb was called. Should fix + problems noted on geda-dev by Tomaz Solc. + +2007-02-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/pcbtest.sh.in: fix pcbtest script to use the new binary name + ('pcb') even when not using gdb + + ---------------------------------------------------------------------- + +2007-02-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon1.c: Prevent degenrate holes with no points from being + added to polygon. This is a stop-gap measure until I figure out why + coincident edges in opposite directions are being created in some + polygons. + + ---------------------------------------------------------------------- + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, aclocal.m4, configure, + doc/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/electro-optics/Makefile.in, newlib/headers/Makefile.in, + newlib/msp430/Makefile.in, newlib/not_vetted_ingo/Makefile.in, + newlib/sockets/Makefile.in, newlib/tests/Makefile.in, + src/Makefile.in, src/icons/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in, win32/Makefile.in: regen + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * : remove these generated files + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: update for 20070208 snapshot + +2007-02-08 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add a GIF AM_CONDITIONAL to go along with the PNG + one + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/Makefile.in: s/pcb-bin/pcb + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: fix botched commit + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: add a --enable-maintainer-mode flag + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * win32/pcb.nsi.in: Include in the installer the licenses for the + included libraries (gd, png, jpeg, freetype, zlib). + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb, win32/pcb.nsi.in: Add in the png HID to the + non-cygwin windows build. + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh.in: When generating the previews of the + footprints, run pcb on an input and output file in the current + directory instead of a different directory. This becomes important + on filesystems that use \ instead of / for the directory separator. + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac: On non-cygwin windows, look + for -lbgd instead of -lgd since the former is what is available as a + pre-build dll. + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: remove some illegal casts to unions. + +2007-02-06 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh.in: the executible is now pcb not pcb-bin + +2007-02-05 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_l.l: If the passed path to Parse is "" then don't use + it. + +2007-02-05 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_l.l: make sure r is always initialized + +2007-02-04 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, acinclude.m4, aclocal.m4, + config.h.in, configure, configure.ac, doc/Makefile.in, + example/Makefile.in, example/libraries/Makefile.in, + lib/Makefile.in, newlib/2_pin_thru-hole_packages/Makefile.in, + newlib/Makefile.in, newlib/analog-devices/Makefile.in, + newlib/burr-brown/Makefile.in, newlib/connectors/Makefile.in, + newlib/crystal/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, src/Makefile.in, src/file.c, + src/icons/Makefile.in, src/main.c, src/parse_l.l, + tools/Makefile.in, tutorial/Makefile.in, win32/Makefile.in: More + work on the relocatability stuff to handle the annoying "\" instead + of "/" for directory separators in windows. Use ";" instead of ":" + for path seperators under windows since it is common to have + "c:\some\path". With this change things seem to work under + non-cygwin windows. + +2007-02-04 Dan McMahill * dan AT mcmahill dot net * + + * win32/pcb.nsi.in: install pcb.exe instead of pcb-bin.exe + +2007-02-04 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, lib/Makefile.am, lib/Makefile.in, + newlib/Makefile.am, newlib/Makefile.in, newlib/cypress/Makefile.am, + newlib/cypress/Makefile.in, + newlib/generic_SMD_packages/0805_reflow_solder, + newlib/generic_SMD_packages/0805_wave_solder, + newlib/generic_SMD_packages/1206_reflow_solder, + newlib/generic_SMD_packages/Makefile.am, + newlib/generic_SMD_packages/Makefile.in, + newlib/generic_SMD_packages/SOT-23_Transistor, + newlib/toko/Makefile.am, newlib/toko/Makefile.in: Remove some empty + newlib directories, some poorly maintained footprints, and some + "heavy" footprints. There is still much more to be done but this is + a start. + +2007-02-04 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac, doc/actions.texi, + doc/pcb.texi, doc/version.texi, src/Makefile.am, src/Makefile.in, + src/file.c, src/global.h, src/hid/common/hidinit.c, + src/hid/gtk/gui-config.c, src/main.c, src/pcbtest.sh.in, + src/script/Makefile.am, src/script/Makefile.in, src/script/pcb.in: + Take a stab at making pcb installations be relocatable. By this I + mean you can configure and install with ./configure --prefix=/original/path make make install mv + /original/path /new/path and still have pcb work. To make this work, the binary path is determined at runtime and all + of the other paths are computed relative to this. As part of this, go ahead and kill off the pcb wrapper script around + pcb-bin. That was leftover from Xaw days and wasn't really needed + anymore. As a side effect, the --program-prefix and + --program-suffix configure options will probably work now. + +2007-02-03 Dan McMahill * dan AT mcmahill dot net * + + * acinclude.m4, config.h.in, configure, configure.ac: add autoconf + macros from + http://autoconf-archive.cryp.to/adl_compute_relative_paths.html and + http://autoconf-archive.cryp.to/adl_normalize_path.html and use them + to find relative paths from $bindir (where the pcb binary gets + installed) to the directories with the default font and the + footprints. This is the first step in making an installation be + relocatable. + +2007-02-03 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c, src/file.h, src/hid/gtk/gui-config.c, src/main.c: - Instead of tying the saving of preferences to the autobackup + timer, save them when we press ok on the preferences form. - Move the autosave code out of the gtk hid and put it in the core. + Now autosave should work on all gui's instead of just the gtk one. + +2007-02-03 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-netlist-window.c: Add a "find" and "rip-up" button + to the netlist window. Patch from Hans Nieuwenhuis on the geda-user + mailing list. + +2007-02-03 Dan McMahill * dan AT mcmahill dot net * + + * globalconst.h, src/file.c: Instead of writing the backup file + always to /tmp/something derive a backup name from the pcb file name + (if the pcb file name exists, otherwise use PCB.%i.save in the + current directory). This should make it easier to find the backup + file for a particular layout and also helps in cases where /tmp is + not appropriate (windows). + +2007-02-02 DJ Delorie * dj AT delorie dot com * + + * src/main.c: Add command line option for setting DrawGrid + +2007-02-02 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Round drill sizes before reporting them. + +2007-02-02 DJ Delorie * dj AT delorie dot com * + + * src/netlist.c, src/rats.c, src/rats.h: Fix logic for adding new + rat lines to the netlist. + +2007-02-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gtkhid-main.c: Fix gtk grid when board flipped. + +2007-02-02 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, configure, + doc/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in, win32/Makefile.in: regen + +2007-02-01 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Draw plated holes when exporting. + +2007-01-29 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c, src/hid/lesstif/netlist.c: Add more + functionality to netlist dialog. + +2007-01-27 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Make sure we have a current mark when we convert + selection to an element. + +2007-01-22 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in: Fix a problem where we ended up + with "//" in a full path name. This caused problems on cygwin. + +2007-01-20 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: add some code showing how to get the + installation path on windows. Right now, just print out the + directory to show it works until I figure out how to get it to the + rest of the program. + +2007-01-20 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: clean out the old install directory prior to + installing to avoid leftover cruft showing up in the installer. + +2007-01-20 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: add a number of flags to this script to help when + using it with cvs sources or in debug mode. See "build_pcb --help" + for details on the flags. + +2007-01-20 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, src/main.c: Break apart PCBTREEDIR into + the original plus PCBTREEPATH where the latter is a : seperated path + and the former is just the base installation directory for newlib. + +2007-01-19 Dan McMahill * dan AT mcmahill dot net * + + * src/compat.c, src/compat.h, src/hid/common/hidinit.c: Add + replacement wrappers for dlopen() and friends on windows. Update + the hid initialization code to pull in the compat header. Also work + around windows limitations with stat(). No change on real operating + systems. + +2007-01-19 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac: - check for dlfcn.h and windows.h - fix the test for if the PNG hid is being compiled in or not + +2007-01-17 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: exclude .html and .png files from newlib directories + +2007-01-17 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, src/pcbtest.sh.in: add the generated + (from m4lib) newlib to the library search path + +2007-01-16 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in, lib/footprint.pcb: - Add missing template .pcb file for generating previews of all the + footprints. Building the previews also verifies that there are no + syntax errors in the m4lib footprints. - fix the logic for rebuilding the newlib library from m4 libs. + +2007-01-16 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Use a global aperture list instead of + per-layer lists. + +2007-01-16 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/macro.h, src/main.c, src/parse_l.l: Don't call + popen() if we don't need to. + +2007-01-15 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in: Build and install a newlib + library from the m4lib library. Currently nothing else has changed, + the default for pcb is still the old behaviour. This is just the + first step. + +2007-01-15 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh.in: Make building the png preview optional. + Other minor cleanups. + +2007-01-15 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: add an AM_CONDITIONAL indicating if the + png HID was compiled in + +2007-01-15 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, doc/pcbfile.texi, doc/version.texi: Update from + ../src + +2007-01-15 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Keep canonicalizing lines until they're all + canonicalized. Don't delete vias that aren't tented (assume they're + test points). Add an option to just canonicalize lines. + +2007-01-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/mtspace.c, src/mtspace.h: More thurough via + site searching, cost function changes and some better handling of + certain search conditions. Much improved smoothing pass + implementation. Doesn't gratuitously pack traces at minimum + clearance during smoothing pass. Overall much better routing is + acheived. + + ---------------------------------------------------------------------- + +2007-01-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: From: Hans Nieuwenhuis * vzzbx AT xs4all dot nl + * Check for failed opens. + +2007-01-09 DJ Delorie * dj AT delorie dot com * + + * src/draw.c, src/hid/gerber/gerber.c: Don't draw plated holes on + outline gerber. + +2007-01-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/move.c: fix segfault when moving polygon to layer. Also user + r_search to find the pin/via thermals. + + ---------------------------------------------------------------------- + +2006-12-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: Cast away const-ness. + +2006-12-22 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c: don't indicate line end-point if the line is + selected since move will move the whole selection. + + ---------------------------------------------------------------------- + +2006-12-22 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui.h, src/hid/lesstif/main.c, + src/search.h, src/todo: provide a cursor shape change when the arrow + mode crosshair is over a line end-point. + + ---------------------------------------------------------------------- + +2006-12-22 DJ Delorie * dj AT delorie dot com * + + * src/todo: Take "enums" off the lesstif list. + +2006-12-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: Add support for HID_Enum in attribute + dialogs. + +2006-12-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Increase default size of main window. + +2006-12-21 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: if the configure or build fails, then abort the + whole process. + +2006-12-21 Dan McMahill * dan AT mcmahill dot net * + + * win32/pcb.nsi.in: the link should be to pcb-bin.exe not pcb + +2006-12-21 DJ Delorie * dj AT delorie dot com * + + * src/todo: Remove completed projects, add some new ones. + +2006-12-18 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidnogui.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c: ... and return 0 for progress hooks. + +2006-12-18 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidnogui.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c: Fix progress prototypes. + +2006-12-17 DJ Delorie * dj AT delorie dot com * + + * src/hid.h, src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/hidnogui.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/hid/lpr/lpr.c, src/hid/png/png.c, + src/hid/ps/ps.c: Add progress() hook to HID structure. No actual + implementation yet. + +2006-12-17 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Add automatic busy cursor. Add (disabled) + debug code for arc thindraw. + +2006-12-17 DJ Delorie * dj AT delorie dot com * + + * lib/geda.inc: Add SDIP20. Fix comment. + +2006-12-15 DJ Delorie * dj AT delorie dot com * + + * src/parse_y.y: Allow attributes in old-style elements too. + +2006-12-14 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-output-events.c, src/hid/gtk/gui.h: Fix a bug in + GTK hid that causes the view port to scroll in a random direction on + a mouse click inside the view port (for example when drawing a + line). This bug only shows itself when an X application that steals window + focus is running together with PCB on the same X server. At least + GNOME and XFCE window managers are known to do that. See the following and related GNOME bugs for some discussion about + this: http://bugzilla.gnome.org/show_bug.cgi?id=102209 See also GTK documentation for GtkWidget and GdkEvent. Analysis and patch provided in patch [ 1610717 ] Patch for auto-pan + bug by Tomaz Solc. This should also address bug report [ 1593578 ] Display sometimes + auto-scrolls when drawing lines by Garth Webb. + +2006-12-14 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.in: regen + +2006-12-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon1.c: avoid duplicate removal of duplicate points + + ---------------------------------------------------------------------- + +2006-12-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/hid/gtk/gui-config.c: beginnings of support for isleArea as a + dialog changeable item + + ---------------------------------------------------------------------- + +2006-12-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: fix arc drawing on the flipped board + + ---------------------------------------------------------------------- + +2006-12-14 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: add missing lrealpath.h + +2006-12-14 Dan McMahill * dan AT mcmahill dot net * + + * src/dbus.c: change a libiberty.h include to lrealpath.h since + thats what we have now + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * src/buffer.c, src/mymem.c, src/undo.c: Avoid some null pointer + dereferences. Also make sure UndoList and RemoveList are + initialized at startup to avoid any strange behavior there. This + fixes a bug reported on geda-user where starting pcb with an empty + board and then doing 'load layout to buffer' and pasting and then + doing it again causes a segfault. Thanks to Peter Clifton for + pointing this out. + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * src/dbus-pcbmain.c, src/dbus-pcbmain.h, src/dbus.c, src/dbus.h: + indent new code -- no other changes + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, src/Makefile.in: regen + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * acinclude.m4: fix underquoting of libiberty_NEED_DECLARATION + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: when building dbus, compile in lrealpath.c + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * acinclude.m4, configure.ac: add configure checks needed for + lrealpath.c + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * src/lrealpath.c: remove ansidecls.h + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * src/lrealpath.c, src/lrealpath.h: add a header with the lrealpath + prototype and point to that instead of libiberty.h + +2006-12-13 Dan McMahill * dan AT mcmahill dot net * + + * src/lrealpath.c: Add lrealpath.c from gcc's libiberty. For now + lets just add this one libiberty function. This is the unmodified + version from gcc current as of 2006-12-12. Last modified on + 2005-05-24. + +2006-12-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/misc.c: scan all layers for match because buffers don't always + have LayerN set properly + + ---------------------------------------------------------------------- + +2006-12-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: break loops when exploring new paths, plus some + other enhancements. + + ---------------------------------------------------------------------- + +2006-12-07 Dan McMahill * dan AT mcmahill dot net * + + * src/dbus.c: put back the unused variable but protect it with + #ifdef DEBUG. From Peter Clifton + +2006-12-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: fix broken dereference from last commit + +2006-12-07 Dan McMahill * dan AT mcmahill dot net * + + * src/dbus.c: remove an unused variable + +2006-12-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c: Don't try to + cast to a union. This practice does not work with all compilers. + Fixes compilation with sun compilers. + +2006-12-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: add --scale for postscript scaling. Patch from + Hans Nieuwenhuis on geda-user. + +2006-12-07 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, config.h.in, configure, + configure.ac, doc/Makefile.in, doc/pcbfile.texi, + example/Makefile.in, example/libraries/Makefile.in, + lib/Makefile.in, newlib/2_pin_thru-hole_packages/Makefile.in, + newlib/Makefile.in, newlib/analog-devices/Makefile.in, + newlib/burr-brown/Makefile.in, newlib/connectors/Makefile.in, + newlib/crystal/Makefile.in, newlib/cypress/Makefile.in, + newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.am, + src/Makefile.in, src/dbus-pcbmain.c, src/dbus-pcbmain.h, + src/dbus.c, src/dbus.h, src/dbus.xml, src/hid.h, + src/hid/common/extents.c, src/hid/common/hidnogui.c, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/main.c, + src/icons/Makefile.in, src/main.c, src/script/Makefile.in, + tools/Makefile.in, tutorial/Makefile.in, win32/Makefile.in: Initial + checkin of Peter Cliftons DBus work. Currently dbus is disabled by + default but can be enabled with --enable-dbus + +2006-12-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: Intercept window manager delete + events. This prevents data loss when the window manager closes or + deletes a window. Reported as [ 1458340 ] data loss with closing + main PCB windows and also on geda-dev by Peter Clifton. + +2006-12-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-library-window.c, src/hid/gtk/gui-log-window.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-pinout-window.c: + Stop preventing the library, log, netlist, and pinout windows from + accepting focus. Preventing them from accepting focus had the side + effect of breaking those dialogs on some systems with certain window + managers. + +2006-12-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: allow searching across expansion boxes in each + direction which can find lower cost routes. + + ---------------------------------------------------------------------- + +2006-12-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: Various changes to improve the ability to find + routes and make better looking, more efficient routes. + +2006-12-03 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/misc.c, src/polygon.c: use Data->LayerN instead of max_layer + where Data may not be PCB->Data + + ---------------------------------------------------------------------- + +2006-12-02 DJ Delorie * dj AT delorie dot com * + + * src/move.c: Handle edge conditions when adding/removing layers wrt + thermals. + +2006-12-02 DJ Delorie * dj AT delorie dot com * + + * src/move.c: Move thermals when we move layers. + +2006-12-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Move layer ID text outside of the "board" area. + Print board name, layer name, and mirror flag in lower left near + margin. When both mirror and auto-mirror are checked, xor them. + +2006-12-01 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lpr/lpr.c, src/hid/ps/ps.c, src/hid/ps/ps.h: make sure the + postscript header line makes it in the lpr HID. Should fix problems + where the postscript text was being printed instead of executed. + +2006-11-16 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c, src/polygon.h, src/thermal.c: make clearances + around rectangular pins and pads have rounded rectangle features + + ---------------------------------------------------------------------- + +2006-11-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: remove dead code accidentally left behind + + ---------------------------------------------------------------------- + +2006-11-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c, src/polygon.c, src/polygon.h: always draw with dicing + so that polygons can reside in holes of other polygons + + ---------------------------------------------------------------------- + +2006-11-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui.h: Make the invalidate + rectangle only include the drawn region. This vastly speeds up + drawing when zoomed in on a complex deisgn. + + ---------------------------------------------------------------------- + +2006-11-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c: Warn if non-manhattan lines are trying to become + pads. + +2006-11-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: preven silk clipping copper polygons and copper + clipping silk polygons + +2006-11-11 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_y.y: minor fix to the FileVersion documentation + +2006-11-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: add us and international business card media + +2006-11-10 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c, src/file.h, src/parse_l.l, src/parse_y.y: Add code to + the parser which looks for a line like FileVersion[20061101] at the top of the .pcb file. If this line is seen and indicates a + version which is too new for this version of pcb then issue a + complaint and explain why. This should help out when the file + format changes and someone tries to load a new design with an older + version of pcb. Of course the real benefit won't happen until + versions of pcb prior to this check all go away... For now, the actual output file from pcb hasn't changed. There is a + two line change to include the FileVersion[] line that can be + included the next time the file format is changed. However, there + is no reason to not have the program start watching for it. + +2006-11-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c, src/undo.c: fix some segfaults due to clearing pcb + pointer in data structure. + +2006-11-09 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: Add several more media types and for the existing + metric ones, recompute the bounding box size since pcb has more + internal resolution that the old values. + +2006-11-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/remove.c: prevent segfault when removing polyogn points + resulting in fewer than 3 points in the poly + + ---------------------------------------------------------------------- + +2006-11-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c, src/polygon1.c: fix some bugs for unusual clipping + conditions. Specifically holes touching at one point (or edge) as an + acceptable self-intersection and snap-rounding expanding the + bounding box by 1. + + ---------------------------------------------------------------------- + +2006-11-05 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/pcbfile.texi: regen + +2006-11-05 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/change.c, src/change.h, src/const.h, + src/draw.c, src/find.c, src/strflags.c: Add a 'nopaste' flag for + pads. This lets you produce fiducial marks that should not have + solder paste. In addition you can use it for things like card edge + connectors where you may not want solder paste. Since this is + moderately dangerous (how do you make sure you don't set this flag + somewhere where you _need_ solder paste), the drc check reports a + count of how many times this flag is used. + +2006-11-04 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/intersect.c: fix crash due to not checking for no overlaps. + Thanks to Anread Kemnade for the patch. + + ---------------------------------------------------------------------- + +2006-11-03 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: use *FILEVERSION* instead of *VERSION* for the + annotation file to help avoid possible confusion between file + version and program version. + +2006-10-29 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Report units in mm or mils as selected by user. + +2006-10-29 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/parse_y.y: fix polyarea ordering to correspond to file saving + + ---------------------------------------------------------------------- + +2006-10-25 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c: fix setsame layer changing + + ---------------------------------------------------------------------- + +2006-10-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: allow pins/vias with zero clearance to touch polygons + + ---------------------------------------------------------------------- + +2006-10-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon1.c: speed improvement by avoiding r_search call + overhead when there is no overlap + + ---------------------------------------------------------------------- + +2006-10-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/file.c, src/global.h, src/main.c, src/parse_l.l: support for + polygon island size in the pcb file + + ---------------------------------------------------------------------- + +2006-10-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/parse_y.y, src/polygon.c: Avoid use of 'max_layer' macro - it + assumes the Data is the loaded PCB which doesn't work during file + reading. + + ---------------------------------------------------------------------- + +2006-10-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c, src/thermal.c, src/thermal.h: use the saved thermal + scale when loading a design + + ---------------------------------------------------------------------- + +2006-10-20 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/hidinit.c, src/hid/gerber/gerber.c: Cast the char's + which are being fed to isspace() and friends to ints which is what + they want. + +2006-10-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: check the coming regions, not the one we're at over + and over + + ---------------------------------------------------------------------- + +2006-10-20 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-misc.c, src/hid/gtk/gui-output-events.c: add a + couple of casts and kill the rest of the remaining gcc and SunPRO + warnings! + +2006-10-19 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/dialogs.c, src/hid/lesstif/menu.c, + src/hid/lesstif/styles.c: cast to a size_t before converting + callback data between ints and pointers + +2006-10-19 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-icons-misc.data, src/hid/gtk/gui-top-window.c: + remove some SunPRO compiler complaints about initilizers being out + of range + +2006-10-19 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/hidinit.c: catch HID_Mixed in a switch + +2006-10-19 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/bom/bom.c, src/hid/gerber/gerber.c, src/print.c: avoid gcc + warnings when using %c with strftime + +2006-10-19 Dan McMahill * dan AT mcmahill dot net * + + * src/polygon1.c: #ifdef DEBUG out a couple of things which are + defined but not used unless DEBUG is defined + +2006-10-19 Dan McMahill * dan AT mcmahill dot net * + + * src/draw.c: cast to a size_t before an int when passing an int to + a callback function. + +2006-10-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: even faster tree searching and insertion + + ---------------------------------------------------------------------- + +2006-10-19 Dan McMahill * dan AT mcmahill dot net * + + * src/polygon1.c: modify the DEBUGP macro slightly so it can be + legally called with only one argument like DEBUGP("\n"); + +2006-10-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon1.c: oops, don't manage the contour bounding boxes in + the tree + + ---------------------------------------------------------------------- + +2006-10-18 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: {T,}QFN16_3{,_EP} needs to use PKG_QFN_50S not + PKG_QFN_50. Noted by Matthias Wenzel. + +2006-10-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon1.c: speed up hole insertion further. Trees everywhere! + + ---------------------------------------------------------------------- + +2006-10-18 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: Only apply the -rdynamic check if we're + using gcc. This probably isn't the correct long term fix but for + now it lets users with SunPRO build again. The problem is -rdynamic + with SunPRO's cc doesn't have the same behaviour as with gcc but it + returns 0 so configure thinks it is ok to add -rdynamic. + +2006-10-17 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: Use the media option to set the page size and + margins. Selecting different paper size should work now. + +2006-10-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/create.c, src/polyarea.h, src/polygon.c, src/polygon1.c, + src/rtree.c: bug fixes for hole insertion and fast point inside + polygon checking + + ---------------------------------------------------------------------- + +2006-10-17 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac: check for dlopen in -ldl. + Needed on solaris 9 + +2006-10-17 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: be verbose about the rdynamic test + +2006-10-16 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: add some 16 pin 3x3 mm QFN packages + +2006-10-15 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: If we're converting a buffer to an element and the + buffer has no associated PCB; assign the current one so we have *a* + group table to use. + +2006-10-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-top-window.c, src/hid/lesstif/menu.c: Keep + ElemenOn and *SILK->On in sync. + +2006-10-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: handle polygon clearance for unplated holes + + ---------------------------------------------------------------------- + +2006-10-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: fix rat<->polygon connection test + + ---------------------------------------------------------------------- + +2006-10-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c, src/polygon.c: fix undo handling for all change + operations that affect polygon clip + + ---------------------------------------------------------------------- + +2006-10-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: fix rat to polygon connection test + + ---------------------------------------------------------------------- + +2006-10-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: fix the sense of set/clear for line join + + ---------------------------------------------------------------------- + +2006-10-12 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/macro.h: Fix GROUP_LOOP macro + +2006-10-12 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/create.c, src/global.h, src/heap.h, src/polygon.c, + src/polygon1.c: fix GROUP_LOOP macro, optimize hole insertion and + fix some small bugs + + ---------------------------------------------------------------------- + +2006-10-12 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c: Morph selections + + ---------------------------------------------------------------------- + +2006-10-11 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/dialogs.c, src/hid/lesstif/menu.c: fix a few + pointer bugs. + +2006-10-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/dialogs.c: remove some unused variables + +2006-10-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/menu.c: - include resource.h before lesstif.h to make sure we get a + prototype for lesstif_note_mouse_resource(). - remove some unused variables. + +2006-10-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c: remove some unused variables and add a + missing return value + +2006-10-10 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/lesstif.h: - Be consistent with underscores in __RESOURCE_INCLUDED__. Now this + file matches what resource.h has! - Add a couple of missing prototypes. + +2006-10-10 Dan McMahill * dan AT mcmahill dot net * + + * src/resource.h: be consistent with underscores in + __RESOURCE_INCLUDED__ + +2006-10-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c, src/polyarea.h, src/polygon.c, src/polygon.h, + src/polygon1.c: fix polygon search bug introduced with + optimizations. fix a layer group bug in polygon clearing. speed up + gerber generation a little + + ---------------------------------------------------------------------- + +2006-10-09 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: regen + +2006-10-09 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: bump rev to 1.99u in light of the clipper + code going in + +2006-10-09 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/ideas/database.txt, doc/pcbfile.texi, + src/Makefile.am, src/Makefile.in, src/action.c, src/autoplace.c, + src/autoroute.c, src/buffer.c, src/buffer.h, src/change.c, + src/change.h, src/command.c, src/compat.c, src/const.h, src/copy.c, + src/copy.h, src/create.c, src/crosshair.c, src/dev_ps.c, + src/dev_ps.h, src/dev_rs274x.c, src/dev_rs274x.h, src/djopt.c, + src/draw.c, src/drill.c, src/file.c, src/find.c, src/fontmode.c, + src/global.h, src/hid.h, src/hid/batch/batch.c, src/hid/bom/bom.c, + src/hid/common/extents.c, src/hid/common/hidnogui.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-pinout-window.c, src/hid/lesstif/main.c, + src/hid/lpr/lpr.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c, src/insert.c, src/line.c, src/macro.h, src/main.c, + src/mirror.c, src/misc.c, src/misc.h, src/move.c, src/mtspace.c, + src/mymem.c, src/netlist.c, src/parse_y.y, src/polyarea.h, + src/polygon.c, src/polygon.h, src/polygon1.c, src/print.c, + src/puller.c, src/rats.c, src/remove.c, src/report.c, src/rotate.c, + src/rtree.c, src/rubberband.c, src/search.c, src/search.h, + src/select.c, src/strflags.c, src/thermal.c, src/thermal.h, + src/undo.c, src/undo.h, src/vendor.c: Merge clipper branch back to + the trunk. + +2006-10-08 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidinit.c: Add RTLD_GLOBAL. + +2006-10-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/hidinit.c: add missing header for Message() + prototype and remove an unused variable + +2006-10-07 Dan McMahill * dan AT mcmahill dot net * + + * src/hid.h: add missing hid_{load,save}_settings prototypes + +2006-10-06 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-dialog-print.c: Minor bug fix for exporter. + +2006-10-05 DJ Delorie * dj AT delorie dot com * + + * src/hid.h, src/hid/common/hidinit.c, + src/hid/gtk/gui-dialog-print.c, src/hid/lesstif/dialogs.c, + src/main.c: Add global "exporter" option. + +2006-10-02 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, src/action.c, src/hid.h, + src/hid/common/hidinit.c, src/main.c: Load settings from + ./pcb.settings and ~/.pcb/settings. Add :savesettings action. + +2006-10-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidinit.c: Remove debugging printf. + +2006-10-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/hidinit.c: Fix plugin init function logic. + +2006-10-02 DJ Delorie * dj AT delorie dot com * + + * configure, configure.ac, src/hid.h, src/hid/batch/batch.c, + src/hid/bom/bom.c, src/hid/common/extents.c, + src/hid/common/hidinit.c, src/hid/common/hidnogui.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/hid/lpr/lpr.c, src/hid/png/png.c, + src/hid/ps/eps.c, src/hid/ps/ps.c: Dynamically load plug-ins (hids, + actions, etc) at runtime. + +2006-10-01 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: extract the version from configure.ac instead of + hard coding it. + +2006-10-01 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Fix off-by-one error. + +2006-10-01 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac: Check for popen(). So far + nothing is done as a result of this. + +2006-10-01 Dan McMahill * dan AT mcmahill dot net * + + * win32/build_pcb: It should be "-mms-bitfields" instead of + "--mms-bitfields". Noted by Cesar Strauss. + +2006-09-30 DJ Delorie * dj AT delorie dot com * + + * configure, configure.ac: Add -rdynamic when it's available. + +2006-09-30 DJ Delorie * dj AT delorie dot com * + + * src/todo: I did the one about separate ps files. + +2006-09-29 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, win32/build_pcb: Move the gcc flags that + cause builds under cygwin to produce non-cygwin binaries out of the + configure script and into the script which is used to build a + non-cygwin binary under cygwin. The end result should be the same for users who use + ./win32/build_pcb but users who wish to compile a cygwin binary + should be able to now. Adapated from patch #1567401 "Re-enabling the Cygwin port" by Cesar + Strauss. + +2006-09-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/extract-docs: make this script more robust with respect to the + formatting of the help and syntax strings. In particular, variable + whitespace is now allowed in the "static const char" declaration and + the string may not begin on the same line as the declaration. This + allows the source code to run through indent(1) and still have the + docs extracted properly. + +2006-09-26 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: We don't directly need X stuff anymore + unless we're using the lesstif HID so don't look for X except in + that case. This avoids some issues seen on some systems which have + older libraries in /usr/X11R6/lib (like freetype or fontconfig). + +2006-09-25 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/dialogs.c: use the unified "about" string. + +2006-09-25 Dan McMahill * dan AT mcmahill dot net * + + * src/mymem.c, src/mymem.h: const-ify DSAddString + +2006-09-25 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-dialog.c, src/misc.c, src/misc.h: Improve the gtk + "about" dialog box output to explicitly list the HID's which have + been compiled in. As part of this, pull out the code which + generates the text of the dialog box into its own function so that + it can be used by other HID's. + +2006-09-25 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: A layer group that only contains a layer named + "outline" or "route" will not have pins, pads, or vias drawn. + +2006-09-25 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/flags.c: A layer group containing only an "outline" + or "route" layer will be named "outline" when exported. + +2006-09-25 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Add a --verbose option to list gerber + files as they're printed. + +2006-09-24 Dan McMahill * dan AT mcmahill dot net * + + * src/rats.c: When optimizing rats, if there are > 0 of them, + display a message indicating how many. This way when the layout is + not complete you see how far you have to go and also you see that + the operation has done something. + +2006-09-24 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: If Settings.RatThickness is less than 20, it's a pixel + size, not a pcb size. + +2006-09-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Display remaining rats in status bar. + +2006-09-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c, + src/search.h: Note pixel slop in gtk hid. Increase slop to 10 + pixels. + +2006-09-22 Dan McMahill * dan AT mcmahill dot net * + + * src/fontmode.c: add missing return value + +2006-09-22 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c, src/file.c: remove some unused variables + +2006-09-22 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: Fix bug in poly point sorting for element + conversion. + +2006-09-22 DJ Delorie * dj AT delorie dot com * + + * src/buffer.c: Allow rectangular polygons when converting a buffer + to an element. + +2006-09-21 DJ Delorie * dj AT delorie dot com * + + * src/drill.c, src/mymem.c: Avoid attempts to realloc to zero bytes. + +2006-09-21 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Fix undo for MinMaskGap() + +2006-09-21 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, src/action.c: Add MinMaskGap() action. + +2006-09-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Add multi-file output option. + +2006-09-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/flags.c, src/hid/gerber/gerber.c, src/hid/hidint.h: + Break out layer->filename code. Fix bug in top/bottom detection. + +2006-09-14 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gerber/gerber.c: If the output file(s) can't be opened for + writing then spit out an error message instead of segfaulting. The + segfaults were noted in bug [ 1556894 ] "segfault when exporting to + non-existing directory" reported by Stephan Boettcher. + +2006-09-13 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Draw the elements' marks. + +2006-09-13 Dan McMahill * dan AT mcmahill dot net * + + * lib/amp.inc, lib/amphenol.inc, lib/bga.inc, lib/bourns.inc, + lib/connector.inc, lib/dil.inc, lib/gtag.m4, lib/johnstech.inc, + lib/lsi.m4, lib/misc.inc, lib/pci.inc, lib/plcc.inc, lib/qfn.inc, + lib/qfp.inc, lib/qfp2.inc, lib/qfpdj.inc, lib/resistor_adjust.inc, + lib/to.inc, lib/zif.inc: quote the refdes parameter (name on board + parameter) on the PKG_* macros. This prevents problems when a + refdes matches the name of a macro and m4 expands it. Patch + provided by Peter Clifton. + +2006-09-13 Dan McMahill * dan AT mcmahill dot net * + + * lib/to.inc: remove a ' in an output comment line which threw off a + closing ) that broke the TO3_90 element. + +2006-09-13 DJ Delorie * dj AT delorie dot com * + + * src/hid/common/actions.c: * pcjc2 AT cam dot ac dot uk * Patch to + fix bug #1553558, Stack corruption PCB bug in hid_parse_actions + +2006-09-04 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, lib/Makefile.am, lib/Makefile.in, + lib/m4lib_to_newlib.sh.in: Go ahead and let configure process the + m4lib_to_newlib script. Also remove a couple of hardcoded paths in + the same script. + +2006-09-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/to.inc: Fix up the pads and drill sizes on the TO* packages. + Based on patch [ 1108881 ] "Fix to m4 library hole sizes" by Walter + Fetter Lages. I added comments containing the actual dimensions + from the JEDEC drawings and comments about how the pad size and + drill size were selected. Based on the JEDEC drawings I adjusted + some of the drill and pad sizes. I did not change or examine pin + locations, numbering, or silk. + +2006-09-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/misc.inc: improve the drill sizes for several footprints. + Changes provided by patch [ 1108881 ] "Fix to m4 library hole sizes" + by Walter Fetter Lages. + +2006-09-02 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/hidinit.c: ensure that derive_default_filename() + always comes back with a valid string even when we don't have a name + for the pcbfile. This fixes bug [ 1548756 ] "Segfault when + exporting png files" reported by Werner Hoch. + +2006-09-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/connector.inc: increase PKG_CONNECTOR and PKG_CONNECTOR_DIL + hole size to something more reasonable. + +2006-09-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: - remove the BRE* footprints. The required PKG_RECTANGULAR macro + doesn't exist anywhere and I can't figure out what the correct + behaviour should be anyway so rather than propagate a very + questionable footprint name, kill it right here. - Fix the problem where the ACY*P and RCY*P packages called + non-existant macros. They now produce the same footprints as the + ACY* and RCY* packages but with a polarity marking. The suitability + for any particular part number should be still verified before using + them on a board. + +2006-09-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/misc.inc: expand the PKG_AXIAL_LAY and PKG_RADIAL_CAN macros + to take an extra optional argument. When set to 1, this optional + argument causes the part to have a "+" by pin 1 and "-" by pin 2. + This was done instead of implementing the missing + PKG_AXIAL_LAY_POLAR and PKG_RADIAL_CAN_POLAR macros. + +2006-09-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh.in: Rather than putting a huge list of + footprints into one index file, break it out by library. + +2006-09-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: remove unused PKG_DUMMY which did not do anything + anyway + +2006-09-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh.in: - put both the index.html file and the broken.html file in the + output directory. - run pcb-bin from the src build directory to avoid complaints about + not being able to find the font - use .fp as the file extension for footprints - fix up the .png output file names - fix up the links in the index file + +2006-09-01 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Shift-click replaces element on pcb with element in + buffer. + +2006-08-30 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, doc/pcbfile.texi, doc/version.texi: Regenerate + to add attributes + +2006-08-30 DJ Delorie * dj AT delorie dot com * + + * src/parse_y.y: Doc typo. + +2006-08-30 DJ Delorie * dj AT delorie dot com * + + * src/copy.c: Copy attributes when copying element data. + +2006-08-30 DJ Delorie * dj AT delorie dot com * + + * src/create.c, src/create.h, src/file.c, src/global.h, + src/parse_l.l, src/parse_y.y: Add arbitrary attributes to PCB and + Element types. Ignore optional flags on Layer types. + +2006-08-30 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Remove obsolete comment. + +2006-08-29 Dan McMahill * dan AT mcmahill dot net * + + * lib/m4lib_to_newlib.sh.in: add the start of a m4lib to newlib + conversion script + +2006-08-28 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, doc/Makefile.in, + example/Makefile.in, example/libraries/Makefile.in, + lib/Makefile.in, newlib/2_pin_thru-hole_packages/Makefile.in, + newlib/Makefile.in, newlib/analog-devices/Makefile.in, + newlib/burr-brown/Makefile.in, newlib/connectors/Makefile.in, + newlib/crystal/Makefile.in, newlib/cypress/Makefile.in, + newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in, win32/Makefile.in: regen after the lex/yacc + configure.ac change + +2006-08-27 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Constrain arc start angles to -180..180 + degrees. + +2006-08-24 DJ Delorie * dj AT delorie dot com * + + * src/netlist.c: Fix rats enable/disable code. + +2006-08-23 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: error out if yacc or lex could not be + found + +2006-08-23 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: bump rev after 20060822 branch + +2006-08-23 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: bump rev after 20060822 branch + +2006-08-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/eps.c: When printing as-shown, mirror the image if + we're viewing the solder side. + +2006-08-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/eps.c: Don't consider off layers for fast mask. Don't + print paste. + +2006-08-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/eps.c: Don't print invisible silk. + +2006-08-22 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: add a note about how I test the distcheck target + for the lesstif HID + +2006-08-22 DJ Delorie * dj AT delorie dot com * + + * src/find.c: Special case: allow vias with exactly zero clearance. + +2006-08-22 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog, NEWS, README.snapshots: update for 20060822 snapshot + +2006-08-22 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: fill_rect needs the same point-ordering as + draw_rect + +2006-08-22 DJ Delorie * dj AT delorie dot com * + + * src/change.c: Allow via clearance to be reduced to zero. + +2006-08-22 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: If a pad/via's clearance is zero, don't attempt to + draw a zero-width clear. + +2006-08-21 DJ Delorie * dj AT delorie dot com * + + * src/main.c: Add default colors for layers 9..16 + +2006-08-21 DJ Delorie * dj AT delorie dot com * + + * src/file.c: post-initialize PCBs loaded from files. + +2006-08-21 DJ Delorie * dj AT delorie dot com * + + * src/pcb-menu.res: Let right-mouse do "rotate" for now. + +2006-08-21 DJ Delorie * dj AT delorie dot com * + + * src/search.c, src/todo: Don't let search find backsilk if + invisible objects are off. + +2006-08-21 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Draw via annulii on assembly drawings. + +2006-08-18 Dan McMahill * dan AT mcmahill dot net * + + * configure, doc/actions.texi, doc/pcbfile.texi: regen + +2006-08-18 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/global.h, src/undo.c, src/undo.h: add a + Renumber() action which renumbers reference designators and outputs + a "was/is" sort of file which can be used for back annotation if + someone writes a utility to apply those changes to a schematic. For now Renumber() is still considered somewhat experimental and + hence is not hooked up to the menus yet. + +2006-08-15 DJ Delorie * dj AT delorie dot com * + + * configure, configure.ac: Add X_CFLAGS to CFLAGS so that Xm/Xm.h + can be found. + +2006-08-15 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/ps.c: add header for a missing prototype + +2006-08-15 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: Apply patch from patch [ 1496742 ] Make 'a' key + (SetSame) work again submitted by Wim Lewis. + +2006-08-14 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: when pkg-config fails to find the desired + version of gtk or glib, then print the pkg-config output as part of + the error message. Suggested in bug # 1507555 by Mark Whitis. + +2006-08-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: use 0.01 mm instead of 0.05 mm for the roundoff for + 01005 footprints because they are so small. This bumps the gap + between pads just over 6 mils instead of just under 6 mils. + +2006-08-11 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lpr/lpr.c: Fix bug [ 1534098 ] Segmentation fault in GTK + print dialog reported by Mark Rages. Symptom was changing the lpr + command in the gtk dialog box gave an instant crash. + +2006-08-10 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: add hid/batch/batch_lists.h to + DISTCLEANFILES + +2006-08-10 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: Add 01005 footprints. + +2006-08-04 Dan McMahill * dan AT mcmahill dot net * + + * src/copy.c: Remove extra calls to Draw(). This greatly speeds up + copy operations. This is especially true when pasting large blocks + of layout. Patch supplied by Tibor Palinkas as patch #1532922. + +2006-08-03 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Makefile.in, src/fontmode.c: Add font editing + mode (FontEdit() and FontSave()) + +2006-08-03 DJ Delorie * dj AT delorie dot com * + + * src/file.c: Use the old style (mil) syntax when saving font info, + if the values permit it, for readability and to save file space. + +2006-08-03 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Allow New() to take a name, so that the user need + not be prompted during scripts and such. + +2006-08-03 DJ Delorie * dj AT delorie dot com * + + * src/move.c: When deleting a layer, make sure to zero out the + now-unused slot at the end. + +2006-08-02 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: After running PATH_XTRA and checking for + various X libs (Xpm, etc), we need to use $X_LIBS as part of LIBS in + subsequent library tests or we'll have a failure. This should + address some issues noted recently on one of the gEDA lists and + configuring with lesstif and the png HID's. + +2006-08-02 DJ Delorie * dj AT delorie dot com * + + * src/Pcb.ad.in, src/Pcb.ad.raw, src/Pcb.ad.small, src/action.c, + src/autoroute.c, src/buffer.c, src/create.c, src/crosshair.c, + src/data.c, src/dev_rs274x.c, src/djopt.c, src/draw.c, src/error.c, + src/file.c, src/find.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/line.c, src/misc.c, src/mtspace.h, + src/mymem.c, src/netlist.c, src/output.c, src/parse_l.l, + src/parse_y.y, src/polygon.c, src/puller.c, src/rats.c, + src/rtree.c, src/rubberband.c, src/search.c, src/select.c, + src/undo.c: spelling fixes from Larry. + +2006-08-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c, src/print.c, src/print.h: When the drill list + fits "naturally", no offset is added, which makes me happy. When + the drill list would otherwise fall off the left edge of the paper, + just enough offset is added to keep that from happening. + +2006-08-02 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/netlist.c: Allow extended selections. + +2006-08-01 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs: - Note that automake-1.7 and older are too old. - Add a pointer to the README.snapshot document + +2006-07-26 DJ Delorie * dj AT delorie dot com * + + * src/file.c: Enable loaded netlists by default. + +2006-07-26 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/lesstif.h, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/pcb-menu.res: Add the ability to + user-configure mouse actions. + +2006-07-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/lesstif.h, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c: Add support for multi-key hotkeys, via + abc syntax for a/b/c sequence. + +2006-07-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/dialogs.c: use "top" and "bottom" to describe the + side flags, instead of using "solder" and "component", which are + common layer names. + +2006-07-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/mtspace.c, src/rtree.c: Various improvements + to speed up auto-routing. Mainly optimizing the r-tree searching + even more. + + ---------------------------------------------------------------------- + +2006-07-14 DJ Delorie * dj AT delorie dot com * + + * src/crosshair.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c: Fix draw_rect vs board flipping bug. + +2006-07-14 DJ Delorie * dj AT delorie dot com * + + * src/autoplace.c, src/djopt.c, src/main.c, src/move.c, src/mymem.c: + Minor patches from Larry. + +2006-07-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/rats.c: fix some autorouter bugs and improve + ability to round around non-manhattan lines + + ---------------------------------------------------------------------- + +2006-07-10 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: remove circular dependency which + breaks non-GNU make programs. + +2006-07-08 Dan McMahill * dan AT mcmahill dot net * + + * INSTALL: summarize the dependencies for building pcb + +2006-07-08 Dan McMahill * dan AT mcmahill dot net * + + * INSTALL: fix a typo + +2006-07-08 Dan McMahill * dan AT mcmahill dot net * + + * README: remove some redundant and out of date notes + +2006-07-07 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Fix minor rounding bug in c_dtostr(). + +2006-07-07 DJ Delorie * dj AT delorie dot com * + + * src/misc.c: Fix layer group parsing code. Avoid trailing colon in + group string. + +2006-07-04 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/bom/bom.c, src/hid/common/hidinit.c, + src/hid/gerber/gerber.c, src/hid/gtk/gui-top-window.c, + src/hid/hidint.h, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: Apply a patch from Larry Doolittle. Refactors filename handling code common to the file export HIDs + (bom, gerber, png, eps, ps). The png code had one feature (last_made_filename) that was missing + in the others. This refactoring brings all the HIDs to feature + parity, which lets pcb -x bom --bomfile=foo.txt bar.pcb work as expected. The old code ignores the command line flag, and + writes the bom to bar.bom. Likewise for .xy, .gbr, .eps, and .ps + files. Also fixes a couple of spelling errors. + +2006-07-04 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/pcbfile.texi, src/Makefile.in, + src/action.c, src/create.c, src/dev_rs274x.c, src/drill.c, + src/file.c, src/find.c, src/mymem.c, src/mymem.h, src/parse_l.l, + src/rats.c, src/remove.c, src/undo.c: Apply a patch from Larry + Doolittle that switches from the MyFree() function to the new + MYFREE() macro to avoid strict aliasing violations. + +2006-06-26 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Don't delete vias with thermals. Try to split + diagonal lines at pins and vias. + +2006-06-25 DJ Delorie * dj AT delorie dot com * + + * src/todo: MoveLayers cleanup tasks - thermals, pips, undo + +2006-06-22 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs: add a comment about needing the png HID for building + docs from cvs + +2006-06-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Account for board flipping when zooming. + +2006-06-11 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Remove obsolete ActionSwapSides() + +2006-06-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c, src/pcb-menu.res: Merge lesstif's Flip() + action into SwapSides to avoid conflict with core Flip(). + +2006-06-11 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Print actions called when verbose. + +2006-06-10 DJ Delorie * dj AT delorie dot com * + + * globalconst.h, src/action.c, src/autoplace.c, src/autoroute.c, + src/buffer.c, src/copy.c, src/create.c, src/create.h, + src/crosshair.c, src/data.h, src/djopt.c, src/draw.c, src/file.c, + src/find.c, src/global.h, src/hid/common/extents.c, + src/hid/common/flags.c, src/hid/gerber/gerber.c, + src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-config.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h, + src/hid/lesstif/dialogs.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c, src/line.c, src/macro.h, src/main.c, src/misc.c, + src/misc.h, src/move.c, src/move.h, src/parse_y.y, + src/pcb-menu.res, src/polygon.c, src/print.c, src/rats.c, + src/rtree.c, src/rubberband.c, src/search.c, src/undo.c, src/undo.h: + flexible max_layers + +2006-06-09 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Compensate for board flipping in the + panning code. + +2006-06-06 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Fix rounding bug when collecting drill + sizes. + +2006-06-03 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Fix logic a bit, don't try to move pins. + +2006-06-03 DJ Delorie * dj AT delorie dot com * + + * src/pcb-menu.res: Syntax fix for DisperseElements() + +2006-05-29 DJ Delorie * dj AT delorie dot com * + + * src/todo: Remove lesstif board flipping item. + +2006-05-29 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c, src/pcb-menu.res: Add Flip action, both + vertical and horizontal. + +2006-05-29 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Adjust endpoints of lines that don't quite reach + adjoining lines. + +2006-05-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c, src/report.c: Report on locked objects if + there are no unlocked objects under the cursor. Save the cursor + position even if we don't initially need it, in case we call an + action that does. + +2006-05-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Reset layer value cache before we + shortcut for counting apertures. + +2006-05-20 DJ Delorie * dj AT delorie dot com * + + * src/draw.c, src/hid.h, src/hid/common/extents.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/lesstif/main.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c: Split plated and unplated drills in gerber output. + +2006-05-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Name internal gerber layers sanely. + +2006-05-20 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c: Change gerber names to be compatible with + pre-hid. + +2006-05-20 DJ Delorie * dj AT delorie dot com * + + * src/const.h, src/search.c: Ignore locked objects unless + LOCKED_TYPE is passed (lock tool). + +2006-05-16 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: add the batch HID to the list of gui HIDs + in the help output + +2006-05-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/gtk/gui-top-window.c: Fig typo in ChangeDrillSize menu. + +2006-05-15 DJ Delorie * dj AT delorie dot com * + + * src/hid/batch/batch.c: Fix test for interactiveness. + +2006-05-15 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Makefile.in, src/hid/batch/batch.c, + src/hid/batch/hid.conf: Add batch-mode HID. + +2006-05-14 DJ Delorie * dj AT delorie dot com * + + * src/crosshair.c: Fix drawing of box around text items in buffer. + +2006-05-14 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Add support for drawing/exporting paste layers. + +2006-05-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/version.texi, src/action.c: add Message() + action + +2006-04-30 Dan McMahill * dan AT mcmahill dot net * + + * src/buffer.c: fix a bug where mirroring a buffer with only traces + triggered the "can't mirror a buffer with text" if there was text + anywhere in the layout. Patch provided as part of bug #1467446. + +2006-04-29 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui-top-window.c: Update status line after menu Save + layout and Save layout as. Change file select response button from "Open" to "Ok". Don't try to Save layout when user hits Cancel and file name is + NULL. + +2006-04-29 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-utils.c: Couple + of small typo bugs: a missing "break" and needed -1 arg to size + request. + +2006-04-28 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: prevent segfaults when trying to undo in the middle + of drawing ratlines. Problem noted by Daniel Wisehart, fixed by me. + +2006-04-28 DJ Delorie * dj AT delorie dot com * + + * src/file.c, src/misc.c, src/misc.h, src/parse_l.l: Use + locale-independent strtod and dtostr functions. + +2006-04-27 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in: regen + +2006-04-27 Dan McMahill * dan AT mcmahill dot net * + + * INSTALL: minor updates, mention gd-2.0.23 as having been used + +2006-04-27 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: if gdlib-config is not found, try and + just check for -lgd on the off chance that it works. The user in + this case may have to add things like -lpng to their LDFLAGS + variable in the environment or just update to a newer version of + gdlib. gdlib-config has been around for at least a few years + anyway. + +2006-04-26 Dan McMahill * dan AT mcmahill dot net * + + * README_FILES/Makefile.in: remove obsolete README file + +2006-04-26 Dan McMahill * dan AT mcmahill dot net * + + * INSTALL: bring the install docs up to date + +2006-04-26 Dan McMahill * dan AT mcmahill dot net * + + * README-GTK_PORT, README_FILES/INSTALL, README_FILES/Makefile.am, + README_FILES/Makefile.in: remove obsolete README file + +2006-04-25 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: complain if the png HID has been + requested but all formats disabled + +2006-04-24 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: do not crash if the input pipe + terminates when using --listen + +2006-04-24 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c: remove a debugging printf + +2006-04-24 DJ Delorie * dj AT delorie dot com * + + * src/global.h: Comment tweak. + +2006-04-24 DJ Delorie * dj AT delorie dot com * + + * src/global.h: Define on-board objects in terms of base types. + +2006-04-23 Dan McMahill * dan AT mcmahill dot net * + + * src/buffer.c: when smashing the pastebuffer, don't set the pure + hole flag on the vias unless is was set on the original pin. + Reported by Levente Kovacs on geda-user. + +2006-04-23 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: update newlib creation section for the latest GTK + HID. Addresses bug 1473640. + +2006-04-22 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: add 20060422 release notes + +2006-04-22 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: update for 20060422 + +2006-04-22 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update for 20060422 snapshot + +2006-04-21 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-config.c: Comment out locale.h since the code + which needed that was also commented out. Fixes builds on solaris + with sunpro and the gtk HID. + +2006-04-21 Dan McMahill * dan AT mcmahill dot net * + + * src/macro.h: protect some GNU C specific stuff with #ifdef + __GNUC__ + +2006-04-21 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-utils.c: change from NONE_PRESSED to + NO_BUTTON_PRESSED since the former is not part of the ButtonState + enum while the latter is. + +2006-04-21 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c: comment out with #if 0 the code related to + lesstif_options and lesstif_resources since those were both empty. + With this change pcb should build correctly on 64-bit Irix-6.5 with + version 7.41 of the MIPSpro compilers. There are still a handful of + compiler warnings to investigate. + +2006-04-20 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, src/hid.h, src/hid/common/actions.c, + src/hid/lesstif/dialogs.c, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/netlist.c, src/hid/lesstif/styles.c: Document + lesstif hid actions. + +2006-04-20 Dan McMahill * dan AT mcmahill dot net * + + * src/box.h, src/find.c: rely on the autoconf test for inline + instead of using our own based on __GNUC__. This will let other + non-gcc C99 compilers take advantage of inline. + +2006-04-19 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac, doc/actions.texi, + doc/version.texi, src/Makefile.am, src/Makefile.in, src/djopt.c, + src/draw.c, src/file.c, src/flags.c, src/hid/lesstif/dialogs.c, + src/hid/lesstif/library.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/lesstif/netlist.c, + src/hid/lesstif/styles.c, src/hid/lesstif/xincludes.h, src/misc.c, + src/parse_y.y, src/strflags.c, src/strflags.h: - add checks for sys/param.h and compiler understanding of 'inline'. - remove a handful of warnings which showed up with the sunpro + compiler. - move the X11 includes into a header where they can be included in + a way which keeps them from messing up the namespace of the rest of pcb. Fixes some obscure warnings from sunpro. + +2006-04-19 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: get this to properly link when --disable-gif is + used and the installed libgd does not have gif support. + +2006-04-19 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/ps.c: Add --psfade option. + +2006-04-18 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/command.c, src/data.c: Clean out Gtk HID related FIXMEs + +2006-04-18 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/draw.c: Clean out Gtk HID related FIXMEs. Also old background + image code which is now done in the Gtk and Lesstif HIDs. + +2006-04-18 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/main.c, src/set.c: Clean out FIXMEs specific to the Gtk HID. + +2006-04-18 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/misc.c, src/misc.h: Remove Gtk HID specific + build_route_string() function. + +2006-04-18 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-dialog-size.c, + src/hid/gtk/gui-top-window.c: Fix route style "Save as default" bug. Update status line when new route style selected. + +2006-04-17 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, doc/extract-docs, doc/pcb.texi, + doc/pcbfile.texi, doc/version.texi, src/const.h, src/hid.h, + src/hid/common/actions.c, src/hid/lesstif/main.c, src/parse_y.y: + Remove all the prev-next-up links, reformat all the texi files to + allow for automatic structure generation. Add helper hooks in the + extractor to let the source files control what types of nodes and + document structure is used for each category. Auto-create nodes and + document substructure for each key within each category. + +2006-04-17 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h: For the Gtk HID, add an + alternate vertical window layout mode to help on lower vertical + resolution displays. + +2006-04-17 DJ Delorie * dj AT delorie dot com * + + * src/netlist.c: Include sys/types.h so that regex compiles on more + hosts. + +2006-04-16 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-command-window.c, + src/hid/gtk/gui-keyref-window.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-netlist-window.c, + src/hid/gtk/gui-top-window.c, src/hid/gtk/gui.h: Fix soldermask draw + while TAB view from solder side. Don't raise windows if already shown so library & netlist window + won't grab focus. Focus can still be grabbed if an already shown + window is reshown via the top menu bar. Not sure yet how to handle + this case. + +2006-04-16 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: switch the output scaling to default to 100 dpi + instead of fixing the max dimension at 800 pixels. This at makes + the default be a sort of to scale (for 100 dpi monitors it will be + to scale) image. + +2006-04-15 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, src/report.c: Document actions in report.c. + +2006-04-15 DJ Delorie * dj AT delorie dot com * + + * doc/Makefile.am, doc/Makefile.in, doc/actions.texi, + doc/puller.pcb, doc/version.texi, src/puller.c: Document the puller + action. + +2006-04-15 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-output-events.c: Make ghid_get_coords() x value + account for side viewed (when using TAB). + +2006-04-14 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui.h: Support --bg-image in the Gtk HID. Images can be + jpg, png, gif, etc. as supported by the Gdk installation. Zooming gets slow at higher zoom levels, but I can address that + later when I have more time. + +2006-04-14 DJ Delorie * dj AT delorie dot com * + + * src/global.h: Make sure the Message and return are in the same + scope. + +2006-04-14 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: make sure we set the default icon + _before_ any windows are created + +2006-04-14 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: bump rev after 20060414 branch + +2006-04-14 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: 20060414 release notes + +2006-04-14 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update for 20060414 snapshot + +2006-04-14 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: update the instructions a bit + +2006-04-14 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi: regen + +2006-04-14 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, Makefile.in: fix a distcheck problem + +2006-04-14 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Add --bg-image option to lesstif hid to + load a background image. + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: on win32, figure out the path to the + installed package so we can set the newlib directory. + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: add missing return value + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/compat.c: add a (lame) random() replacement + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/autoplace.c, src/strflags.c: add compat.h header for missing + system functions + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, Makefile.in, README.win32: add a win32 README file + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: add a dependency on the icon + resource object if this is win32 + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * win32/Makefile.in: add missing file + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * : add generated icon file + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, Makefile.in: add win32 to SUBDIRS + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * win32/Makefile.am, win32/Xdefaults.tgif: add a tgif Xdefaults file + needed for generating the correct XPM version + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * win32/pcb_icon_big.obj, win32/pcb_icon_med.obj, + win32/pcb_icon_sml.obj: replace wcalc icons with placeholder pcb + icons + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, configure, configure.ac, + doc/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.am, + src/Makefile.in, src/icons/Makefile.in, src/script/Makefile.in, + tools/Makefile.in, tutorial/Makefile.in: check for a few tools used + to regenerate windows icons + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/error.c, src/file.c, src/find.c, src/main.c, src/misc.c, + win32/build_pcb: add a few more checks to let this build under + cygwin/mingw + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac: check for getcwd() + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/pcb.rc: add windres file for win32 icons + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac: one more header check + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * config.guess, config.sub: add some new configure related files + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: add stuff to compile in icons + for win32 + +2006-04-13 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, config.h.in, configure, + configure.ac, doc/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/compat.c, src/compat.h, src/file.c, src/hid/gerber/gerber.c, + src/icons/Makefile.in, src/misc.c, src/print.c, + src/script/Makefile.in, tools/Makefile.in, tutorial/Makefile.in: Add + a couple more configure checks for functions (gethostname and + password related functions) and headers which may not be present on + win32. This should be enough to get things to compile under cygwin + although there are still runtime issues. + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * win32/Makefile.am, win32/Readme.txt, win32/build_pcb, + win32/pcb.nsi.in, win32/pcb_icon_big.obj, win32/pcb_icon_med.obj, + win32/pcb_icon_sml.obj: Add some stuff to help build under win32. + Note: win32 support is not finished and PCB does not yet work + correctly under win32, but this is some progress. + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * src/error.c, src/error.h, src/hid.h, src/hid/common/hidnogui.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui.h, + src/hid/lesstif/dialogs.c, src/hid/lesstif/main.c: const-ify the log + message functions + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: add the About action + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/global.h, src/vendor.c: use AFAIL() when an + action fails due to a syntax error + +2006-04-12 DJ Delorie * dj AT delorie dot com * + + * src/hid/ps/eps.c: Determine when we need to use the complex erase + logic, and when we can just paint white. Painting white is a LOT + faster, and avoids rasterization in ps2pdf. + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: add thermals to glossary + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add thermal to the glossary + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/Makefile.in: increase resolution of PDFs of + drawings. 72dpi looked pretty bad on the thermal drawing + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/Makefile.in: add thermal drawing + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/thermal.pcb: change name of board + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/thermal.pcb: add a drawing showing what a thermal relief looks + like + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/database.txt, doc/ideas/database.txt, doc/ideas/keepouts.txt, + doc/keepouts.txt: move these to an ideas subdirectory to hopefully + more clearly indicate that not only are these not implemented yet + but that they are not even certain to ever be implemented. + +2006-04-12 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, doc/version.texi, src/netlist.c: Document + actions in netlist.c + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, src/action.c: add support for units and relative + coordinates in PasteBuffer(ToLayout) + +2006-04-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/database.txt, doc/keepouts.txt: add two documents in progress + describing a plan for future work + +2006-04-11 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, src/action.c, src/global.h: Add a "ToLayout" + option for the PasteBuffer() action. You can now do things like + PasteBuffer(ToLayout, 10000, 200000) to paste from the current + buffer Also add a DumpLibrary() action. + +2006-04-11 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, doc/extract-docs, src/action.c, src/command.c: + Document actions in command.c. + +2006-04-11 Dan McMahill * dan AT mcmahill dot net * + + * lib/crystal.list, lib/crystal.m4, lib/generic.list, + lib/generic.m4, lib/resistor_0.25W.list, lib/resistor_array.list, + lib/texas_inst_voltage_reg.m4, lib/transistor.m4: - add a missing description and move some footprints from the wrong + .list file to the correct .list file to be able to pick up the + descriptions and parameters from the corresponding .m4 file. - all of the m4 libs should at least be correct in the sense that + the pieces are all there and they all run through m4 correctly. + They have not been verified any more for correctness, but this is + progress. + +2006-04-11 DJ Delorie * dj AT delorie dot com * + + * src/print.c, src/report.c: Print correct symbol counts for drills + on fab drawing. + +2006-04-11 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in: regen (after checking for perl) + +2006-04-11 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/version.texi, src/action.c, src/select.c, + src/select.h: - for the Select(*ByName) action, allow a 2nd argument to specify + the name and only use the gui dialog box if the 2nd argument is not + specified. - Add *ByName options for the Unselect() action. + +2006-04-11 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: garbage collect some printf output + +2006-04-11 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: Fix bug [ 1462380 ] saving buffer + elemnts to file does nothing. + +2006-04-11 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, doc/Makefile.am, doc/Makefile.in: When + building docs, look for a perl to use. This will let the user set + PERL in their environment and have configure pick it up. + +2006-04-11 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: turn off debugging by default + + ---------------------------------------------------------------------- + +2006-04-11 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: fixed list overflow with element connection listing + + ---------------------------------------------------------------------- + +2006-04-11 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: added overflow error reporting to the ADD* routines + + ---------------------------------------------------------------------- + +2006-04-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: fixed bug using in LookupLOConnectionsToPVList where + the wrong variable was used. + + ---------------------------------------------------------------------- + +2006-04-10 DJ Delorie * dj AT delorie dot com * + + * doc/actions.texi, doc/version.texi, src/action.c: Document the + rest of the registered actions in action.c. + +2006-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: move some initializations inside a loop so they don't + get missed + +2006-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: declare dump_actions() right before using it + +2006-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: add some missing const-s + +2006-04-06 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-top-window.c: mode_button_toggled_cb() didn't sync + ghidgui->settings_mode with current mode button state. + +2006-04-06 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: revert the previous, this part + wasn't quite right. + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/mymem.c, src/mymem.h: add a MyMalloc to go along with MyCalloc + +2006-04-05 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui.h: Fix netlist + window to scroll to net/node when user lookups connection. + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-output-events.c, src/hid/gtk/gui-top-window.c: - make the ESC key return you to the arrow tool if you are in via, + thermal, lock, etc modes. - in line, poly, arc, etc. modes, the first ESC press returns you to + "tool neutral" and the 2nd ESC press returns you to the arrow tool. + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-dialog.c, src/hid/lesstif/dialogs.c: add pointer + to the geda homepage and wiki as well as the pcb home page in the + about dialog + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-dialog-print.c: fix a bug which prevented the + File->Export menu from working more than once in a pcb session. + +2006-04-05 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/djopt.c, src/hid.h, src/hid/common/actions.c, + src/hid/gtk/gtkhid-main.c, src/hid/lesstif/dialogs.c, + src/hid/lesstif/main.c, src/main.c, src/vendor.c: Initial chunk of + inline action docs. + +2006-04-05 DJ Delorie * dj AT delorie dot com * + + * doc/Makefile.am, doc/Makefile.in, doc/actions.texi, + doc/extract-docs, doc/pcb.texi, doc/version.texi: Fix eps->pdf rule + to set size and resolution right. Limit pcb->* to visible areas. + Add support for action extraction from sources. + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/script/Makefile.am, src/script/Makefile.in, + src/script/pcb-print: remove the pcb-print script. It is obsolete + now that the HID version directly supports command line export/print + through the export HID's. + +2006-04-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: Expand search box during bloat in case the box overlap + would otherwise prevent checking. This repairs a really bad bug + introduced when the rtrees were used to speed the find tracing. + + ---------------------------------------------------------------------- + +2006-04-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/misc.c: fixed pin/via bounding box to include thermal tails; + bug reported by CL + + ---------------------------------------------------------------------- + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui.h: Be a bit more careful with rouding issues when + converting to pcb units. Hopefully this will help with the issue + reported on the geda lists about 23.99 mil vias when the user + thought they picked 24 mil ones. Patch posted on geda-user by DJ. + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure, configure.ac, src/hid/png/png.c: check to + see which graphic file formats are supported by the installed GD. + If the desired list is not found, abort and tell the user they can + explicitly disable certain file types. Should deal with installed + versions of gd which do not support GIF output. + +2006-04-05 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/styles.c: fix a prototype + +2006-03-28 Dan McMahill * dan AT mcmahill dot net * + + * src/dolists.h, src/hid/lesstif/dialogs.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/lesstif/styles.c: move variable + declarations to the beginning of blocks to make gcc-2.95 and + probably other non-gcc compilers happy. + +2006-03-28 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: Check to make sure a pointer is not NULL before + dereferencing it. This seems to be the place where the segfault was + happening sometimes when saving connection data. For now, spit out + a warning message because I think there may be some other underlying + bug which causes the NULL pointer in the first place. + +2006-03-28 Dan McMahill * dan AT mcmahill dot net * + + * src/mymem.h: do not redefine malloc if we are using dmalloc + +2006-03-28 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/autoplace.c, src/autoroute.c, src/buffer.c, + src/change.c, src/command.c, src/crosshair.c, src/djopt.c, + src/draw.c, src/error.c, src/file.c, src/insert.c, src/intersect.c, + src/line.c, src/main.c, src/misc.c, src/mtspace.c, src/netlist.c, + src/output.c, src/print.c, src/puller.c, src/report.c, + src/res_parse.y, src/rtree.c, src/select.c, src/set.c, + src/strflags.c, src/vector.c, src/vendor.c: put back dmalloc support + +2006-03-28 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/find.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-misc.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-top-window.c, src/hid/lesstif/dialogs.c, + src/hid/lesstif/library.c, src/hid/lesstif/main.c, + src/hid/lesstif/netlist.c, src/main.c, src/set.c: hid_actionl must + be terminated with NULL, not a plain 0, in case integers and + pointers are different sizes. + +2006-03-28 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: access djopt_set_auto_only() via the + associated action instead of directly + +2006-03-28 Dan McMahill * dan AT mcmahill dot net * + + * src/djopt.c, src/djopt.h: fix prototype for an action. kills one + more compiler warning + +2006-03-28 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c: add a missing typecase + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/hid/gtk/gui-top-window.c, src/pcb-menu.res: - When changing selected line size from the menu, do it to the + selected arcs to. - Add a SelectedArcs option to the ChangeSize action. + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/select.c: Fix a bug where actions using SelectedPads did + nothing and SelectedPins picked up both pins and pads. Noted by bug + #1438523 + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/strflags.c: avoid allocating 0 bytes + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: fix a typo in a comment + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: No need to use strdup(Concat()) as Concat already + gives us allocated memory that is not referenced anywhere else. + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: Fix an off by one overflow of a malloc'ed buffer. + Found with ElectricFence. + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: in a function which is supposed to return a string but + is commented out with #ifdef FIXME, return a big warning string + rather than no return value until I/we figure out how to fix it. + Hopefully if this triggers bugs it will be easier to locate. + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: add back in the --enable-efence and + --enable-dmalloc configure flags to turn on ElectricFence and + dmalloc debugging respectively. + +2006-03-27 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/command.c, src/djopt.c, src/hid.h, + src/hid/common/actions.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/dialogs.c, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/netlist.c, src/hid/lesstif/styles.c, src/netlist.c, + src/puller.c, src/report.c, src/vendor.c: hid actions: remove + needs_coords, use need_coord_msg to determine when to get coords. + +2006-03-27 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c, src/hid/gtk/gui-utils.c: Make sure + we initialize some variables before using them. Fixes a segfault on + startup problem noted on NetBSD/alpha when displaying to an 8-bit + Xvnc. + +2006-03-27 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c: I wrapped too much into the verbose + option so the file load stopped working - fixed. + +2006-03-26 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: bump the rev letter to help identify this + as the HID version if someone pulls up the "about" dialog box. + +2006-03-26 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gtkhid-main.c: - Remove a debug printf which tried to print a NULL string. Avoids + a segfault bug. - When chosing "Save Layout" on a new layout (that hadn't already + been given a name or filename), correctly use the new file name that + is selected with the dialog box. + +2006-03-26 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/dialogs.c: - remove a debug printf which was trying to print a NULL string. + Fixes a segfault bug on solaris-2.9/sparc. - Fix the behaviour when doing "Save Layout" on a new board that didn't have a file name yet. + +2006-03-26 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c: add a --listen option for the lesstif HID + too (works the same as the gtk one -- listens on stdin for actions). + +2006-03-26 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-output-events.c: + Pan to a netlist window selected node if it's not visible. Fix a pan bug. + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-netlist-window.c: add cast to avoid compiler + warnings + +2006-03-25 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/hid/gtk/gui-top-window.c, src/pcb-menu.res: Add + File->Revert. + +2006-03-25 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-netlist-window.c: Turn + of Disable all nets button when NetlistChanged. Make some diagnostic output only if verbose. + +2006-03-25 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-netlist-window.c: Set netlist nodes enabled by + default when NetlistChanged. + +2006-03-25 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c: Fix draw arc when flipped. + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * doc/actions.texi, doc/fractional_size.texi, doc/letter_size.texi, + doc/metric_size.texi, doc/overview.texi, doc/pcbfile.texi, + doc/wire_size.texi: add some of the generated files to see if that + will help with those who don't read the README.cvs file... + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: use fileno(stdin) instead of 1 to + get the stdin file descriptor. + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * src/drill.c: fix a segfault when printing a board with no drill + holes at all. + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-top-window.c: add a --listen option which causes + pcb to listen on the standard input for actions. This should open + the door to experimenting with having gschem interact directly with + pcb. + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-config.c: Fix a bug in options parsing where the + loop looking for matches continued after a match had already been + found. + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: add a help string for --lib-newlib + +2006-03-25 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/Makefile.in: minor cleanup of status output + +2006-03-24 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/menu.c: Also look for ~/.pcb/pcb-menu.res. + Actually use the path we found ;-) + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: List the available HID's in the + ./configure --help output. Ideally, we'd have the help text be + automatic, but until we figure out how, just statically list the HID + (in the help text) + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, config.h.in, configure, + doc/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in: regen + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.am, README.cvs, configure.ac, doc/Makefile.am: - when not in maintainer mode, do not list all the dependencies for + the manual. This allows regular users who are building from a + tarball to avoid having to rebuild the manual and lets them simply + take the preformatted one. This avoids recently noted issues with + incompatibility with older versions of texinfo which seem somewhat + common. It also removes the requirement for having latex installed + and for building the png HID for pcb. - add a --disable-doc flag which allows users who are building from + CVS to skip the doc directory and thus avoid the need for the + documentation formatting tools. + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: note the DISTCHECK_CONFIGURE_FLAGS variable + +2006-03-24 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-top-window.c: Fix gtk magenta color messages -> + initialize all new hidGC colornames to Settings.BackgroundColor + because bgGC color outside of ghid_expose_callback() is never + initialized and it's used to draw outside of the callback when an + object is selected. + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/Makefile.in: Fix the calculation of the names + of the generated .texi tables for the drill sizes. + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, aclocal.m4, + doc/Makefile.in, doc/pad.eps, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in: regen after configure.ac changes + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * autogen.sh: don't automatically run ./configure. Simplifies + things a bit when trying to have several different builds share the + same source tree. + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * doc/extract-docs: put the generated files in the build directory + instead of the source directory (in case they are not the same) + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/Makefile.in: Rework the dependencies on the + various generated files a bit to hopefully correctly include all + dependencies. Also allow this to continue and just use the shipped + preformatted docs in the case where latex and friends are not + available. + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in: correctly find the font file correctly find the + m4lib stuff when building outside the source tree. + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, lib/ListLibraryContents.sh, + lib/ListLibraryContents.sh.in: move ListLibraryContents.sh to being + something created at configure time like the rest of the m4lib + stuff. This puts it in the build directory and allows you to run + pcb out of the build directory prior to installation even in the + case of a read only source tree. While here add some + AM_CONDITIONALS to indicate when latex and friends are not available + for formating the reference card. + +2006-03-24 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: add missing lesstif header to + get it in the distfile + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: fix a bug when specifying the output file name + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in: also set the font path + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in: fix a couple of paths when building outside the + source directory + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c, src/hid/lesstif/menu.c: avoid some + compiler warnings on solaris-2.9/sparc (gcc-3.3.5) + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/main.c: check the result of the --install options + _after_ the options have been processed. Thanks to DJ for finding + this one. + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Makefile.in: Change the way we handle built + include files. + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/strflags.c: avoid some compiler warnings on solaris-2.9/sparc + (gcc-3.3.5) + +2006-03-23 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gui-config.c, src/hid/gtk/gui-top-window.c: + ghid_invalidate_all() when colors change. + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/actions.c, src/misc.c, src/mymem.c: avoid some + compiler warnings on solaris-2.9/sparc (gcc-3.3.5) + +2006-03-23 Bill Wilson * billw2 AT users dot sourceforge dot net * + + * src/hid/gtk/gtkhid-main.c, src/hid/gtk/gui-output-events.c: Fix a + zoom glitch. + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: avoid some complier warnings on solaris-2.9/sparc + (gcc-3.3.5) + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/drill.c, src/drill.h, src/hid/gerber/gerber.c, src/print.c: + Round drill sizes to nearest mil for fab drawings and gerber drill + file. + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/mtspace.c: Avoid aliasing problems. + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in: add some missing arguments when running in gdb + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: don't include the full path for the default value of + the LibraryCommand and LibraryContentsCommand. That prevented + --lib-command-dir from working. + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/undo.c: Use void * pointers to avoid aliasing problems. + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/search.c: Use explicit void** pointers to avoid aliasing + problems. + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/gerber/gerber.c, src/hid/lesstif/dialogs.c, + src/hid/lesstif/lesstif.h, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/lesstif/netlist.c, + src/hid/lesstif/styles.c: Misc bugfixes and changes, to silence + compiler warnings. + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/mymem.c, src/mymem.h: MyCalloc, MyRealloc, MyStrdup: make + final parameter (tag string) const, to avoid compiler warnings. + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: fix the ChangeFlag action (compiler warning pointed + to the bug) + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: quote the regexp passed to grep + to keep solaris-2.9 happy + +2006-03-23 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/main.c: Don't try to run the idle proc until the + GUI is created. + +2006-03-23 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/command.c, src/djopt.c, src/dolists.h, + src/flags.c, src/hid.h, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/dialogs.c, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/netlist.c, + src/hid/lesstif/styles.c, src/hid/png/png.c, src/hid/ps/eps.c, + src/hid/ps/ps.c, src/main.c, src/netlist.c, src/puller.c, + src/report.c, src/vendor.c: Revert the ";" thing. The REGISTER_* + macros now contain ";" where needed and a ";" should not be used + when the macros are called. This is because the REGISTER_* macros + in hid.h expand to a function like int foo(){/* code here */} where + no ";" should be used at the end. gcc ignores the resulting empty + declaration but the sunpro c compiler doesn't like it. + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/action.h, src/actionlist.c, src/autoplace.c, + src/autoplace.h, src/autoroute.c, src/autoroute.h, src/box.h, + src/buffer.c, src/buffer.h, src/change.c, src/change.h, src/clip.c, + src/clip.h, src/command.c, src/command.h, src/compat.c, + src/compat.h, src/const.h, src/copy.c, src/copy.h, src/create.c, + src/create.h, src/crosshair.c, src/crosshair.h, src/data.c, + src/data.h, src/dev_ps.c, src/dev_ps.h, src/dev_rs274x.c, + src/dev_rs274x.h, src/djopt.c, src/djopt.h, src/dolists.h, + src/draw.c, src/draw.h, src/drill.c, src/drill.h, src/error.c, + src/error.h, src/file.c, src/file.h, src/find.c, src/find.h, + src/flags.c, src/global.h, src/heap.c, src/heap.h, src/hid.h, + src/hid/hidint.h, src/hid/lesstif/dialogs.c, + src/hid/lesstif/lesstif.h, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/netlist.c, src/hid/lesstif/styles.c, + src/hid/lpr/lpr.c, src/hid/png/png.c, src/hid/png/png.h, + src/hid/ps/eps.c, src/hid/ps/ps.c, src/hid/ps/ps.h, + src/icons/Makefile.in, src/insert.c, src/insert.h, src/intersect.c, + src/intersect.h, src/line.c, src/macro.h, src/main.c, src/mirror.c, + src/mirror.h, src/misc.c, src/misc.h, src/move.c, src/move.h, + src/mtspace.c, src/mtspace.h, src/mymem.c, src/mymem.h, + src/netlist.c, src/output.c, src/output.h, src/parse_l.h, + src/polygon.c, src/polygon.h, src/print.c, src/print.h, + src/puller.c, src/rats.c, src/rats.h, src/remove.h, src/report.c, + src/resource.h, src/rotate.c, src/rotate.h, src/rtree.c, + src/rtree.h, src/rubberband.c, src/rubberband.h, + src/script/Makefile.in, src/search.c, src/search.h, src/select.c, + src/select.h, src/set.c, src/set.h, src/strflags.c, src/strflags.h, + src/undo.c, src/undo.h, src/vector.c, src/vector.h, src/vendor.c, + src/vendor.h: indent + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/bom/bom.c, src/hid/common/actions.c, + src/hid/common/extents.c, src/hid/common/flags.c, + src/hid/common/hidinit.c, src/hid/common/hidnogui.c, + src/hid/gerber/gerber.c, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gtkhid.h, src/hid/gtk/gui-command-window.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui-dialog-size.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui-keyref-window.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-pinout-window.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h: indent + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/command.c, src/djopt.c, src/dolists.h, + src/flags.c, src/hid.h, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-top-window.c, + src/hid/lesstif/dialogs.c, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/netlist.c, src/hid/lesstif/styles.c, + src/hid/png/png.c, src/hid/ps/eps.c, src/hid/ps/ps.c, src/main.c, + src/netlist.c, src/puller.c, src/report.c, src/vendor.c: Take the + ";" out of the REGISTER_* defines and put them in the invocations. + This will let indent work correctly. + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/command.c, src/crosshair.c, src/draw.c, + src/main.c, src/misc.c, src/polygon.c, src/polygon.h, src/puller.c, + src/rats.c: fix several more compiler warnings by removing some + unused variables and adding/removing return values where + appropriate. + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-icons-mode-buttons.data, + src/hid/gtk/gui-top-window.c, src/hid/ps/eps.c: remove more compiler + warnings + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lpr/lpr.c: add missing member to the lpr_hid + initialization + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: remove unused variable + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: add missing header + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/flags.c: remove an unused variable + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/hidnogui.c: remove compiler warning + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/vendor.c: remove unused variable + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/report.c: format string fix + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/puller.c: add a couple of missing headers + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/print.c: add missing draw.h header + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/flags.c: add RCSID and dmalloc.h + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/flags.c: remove a spurious ";" + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gerber/gerber.c: remove unused variable + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-misc.c: remove a duplicate header and Id + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/lesstif.h: add RCS Id + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/lesstif/dialogs.c, src/hid/lesstif/library.c, + src/hid/lesstif/main.c, src/hid/lesstif/menu.c, + src/hid/lesstif/netlist.c, src/hid/lesstif/styles.c: add config.h, + dmalloc.h, and RCS Id + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/gtk/gui-misc.c: add dmalloc.h + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/hidint.h: add RCS Id + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/common/actions.c, src/hid/common/extents.c, + src/hid/common/flags.c, src/hid/common/hidinit.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/lpr/lpr.c: add config.h, dmalloc.h, and RCS Id + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/ps/eps.c, src/hid/ps/ps.c, src/hid/ps/ps.h: remove + compiler warning and add config.h, dmalloc.h, and RCS Id + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/png/png.c: remove a compiler warning + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/bom/bom.c: add missing header + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: add the generated headers to + DISTCLEANFILES and add a bunch of missing headers to the various + *_SOURCES. With this change, the 'distcheck' target works again. + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add $srcdir in a couple of places for configuring + outside of the source directory. + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * src/dolists.h: add missing RCS Id + +2006-03-22 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac, doc/Makefile.am, doc/Makefile.in, + doc/pad.eps, doc/pad.obj: Replace the tgif drawing with a pcb + drawing. The suffix rules are now in place to use .pcb files as + part of the manual. + +2006-03-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/lpr/lpr.c: Call lpr, don't just save the file. + +2006-03-21 DJ Delorie * dj AT delorie dot com * + + * src/hid/lesstif/library.c: Remove a debug printf. + +2006-03-21 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/file.c, src/main.c, src/misc.h, src/set.c, + src/set.h: Remove UpdateSettingsOnScreen. Call InitCrosshair only + for GUIs. + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/pad.pcb: correctly identify the poly clearance + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * configure, doc/Makefile.in: regen + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, doc/Makefile.am: detect when tgif is not found and + give a warning instead of crashing the build + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/pad.eps: include the tgif output here until I replace the + drawings with pcb drawings + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/pad.pcb: improve this drawing a bit + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * src/hid/bom/bom.c: Use log() instead of logv(). Fixes compilation + on NetBSD/alpha, gcc-3.3.3 + +2006-03-21 DJ Delorie * dj AT delorie dot com * + + * compile: New file, from automake 1.9. + +2006-03-21 DJ Delorie * dj AT delorie dot com * + + * depcomp, doc/mdate-sh, doc/texinfo.tex, install-sh, missing, + mkinstalldirs, ylwrap: Update to automake 1.9 files. + +2006-03-21 DJ Delorie * dj AT delorie dot com * + + * Makefile.in, README_FILES/Makefile.in, aclocal.m4, config.h.in, + configure, doc/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in: regenerate everything with FC4. + +2006-03-21 DJ Delorie * dj AT delorie dot com * + + * config.h.in, configure, configure.ac, src/Makefile.am, + src/Makefile.in, src/Pcb.ad.in, src/action.c, src/action.h, + src/autoplace.c, src/autoroute.c, src/buffer.c, src/change.c, + src/command.c, src/command.h, src/const.h, src/control.c, + src/control.h, src/copy.c, src/create.c, src/create.h, + src/crosshair.c, src/data.c, src/data.h, src/dialog.c, + src/dialog.h, src/djopt.c, src/djopt.h, src/dolists.h, src/draw.c, + src/draw.h, src/error.c, src/file.c, src/fileselect.c, + src/fileselect.h, src/find.c, src/find.h, src/flags.c, + src/global.h, src/gui-command-window.c, src/gui-config.c, + src/gui-dialog-print.c, src/gui-dialog-size.c, src/gui-dialog.c, + src/gui-icons-misc.data, src/gui-icons-mode-buttons.data, + src/gui-keyref-window.c, src/gui-library-window.c, + src/gui-log-window.c, src/gui-misc.c, src/gui-netlist-window.c, + src/gui-output-events.c, src/gui-pinout-window.c, + src/gui-top-window.c, src/gui-utils.c, src/gui.c, src/gui.h, + src/heap.c, src/hid.h, src/hid/bom/bom.c, src/hid/bom/hid.conf, + src/hid/common/actions.c, src/hid/common/extents.c, + src/hid/common/flags.c, src/hid/common/hidinit.c, + src/hid/common/hidnogui.c, src/hid/gerber/gerber.c, + src/hid/gerber/hid.conf, src/hid/gtk/gtkhid-main.c, + src/hid/gtk/gtkhid.h, src/hid/gtk/gui-command-window.c, + src/hid/gtk/gui-config.c, src/hid/gtk/gui-dialog-print.c, + src/hid/gtk/gui-dialog-size.c, src/hid/gtk/gui-dialog.c, + src/hid/gtk/gui-icons-misc.data, + src/hid/gtk/gui-icons-mode-buttons.data, + src/hid/gtk/gui-keyref-window.c, src/hid/gtk/gui-library-window.c, + src/hid/gtk/gui-log-window.c, src/hid/gtk/gui-misc.c, + src/hid/gtk/gui-netlist-window.c, src/hid/gtk/gui-output-events.c, + src/hid/gtk/gui-pinout-window.c, src/hid/gtk/gui-top-window.c, + src/hid/gtk/gui-utils.c, src/hid/gtk/gui.h, src/hid/gtk/hid.conf, + src/hid/hidint.h, src/hid/lesstif/dialogs.c, + src/hid/lesstif/hid.conf, src/hid/lesstif/lesstif.h, + src/hid/lesstif/library.c, src/hid/lesstif/main.c, + src/hid/lesstif/menu.c, src/hid/lesstif/netlist.c, + src/hid/lesstif/styles.c, src/hid/lpr/hid.conf, src/hid/lpr/lpr.c, + src/hid/png/hid.conf, src/hid/png/png.c, src/hid/png/png.h, + src/hid/ps/eps.c, src/hid/ps/hid.conf, src/hid/ps/ps.c, + src/hid/ps/ps.h, src/insert.c, src/intersect.c, src/lgdialog.c, + src/lgdialog.h, src/library.c, src/library.h, src/line.c, + src/log.c, src/log.h, src/macro.h, src/main.c, src/menu.c, + src/menu.h, src/misc.c, src/misc.h, src/move.c, src/mtspace.c, + src/mymem.c, src/mymem.h, src/netlist.c, src/netlist.h, + src/output.c, src/output.h, src/parse_l.l, src/parse_y.y, + src/pcb-menu.res, src/pcbtest.sh.in, src/pinout.c, src/pinout.h, + src/polygon.c, src/print.c, src/print.h, src/printdialog.c, + src/printdialog.h, src/printpanner.c, src/printpanner.h, + src/puller.c, src/rats.c, src/report.c, src/report.h, + src/res_lex.l, src/res_parse.y, src/resmenu.c, src/resmenu.h, + src/rotate.c, src/rtree.c, src/search.c, src/select.c, + src/selector.c, src/selector.h, src/set.c, src/set.h, + src/sizedialog.c, src/sizedialog.h, src/strflags.c, src/todo, + src/undo.c, src/undo.h, src/vector.c, src/vendor.c, src/vendor.h: + HID merge. + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs_branches: note what some of the branches are + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: add a few more notes about the snapshot process + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * README_FILES/Makefile.in, aclocal.m4, example/Makefile.in, + example/libraries/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in: + regen + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: add news for the 20060321 snapshot + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * AUTHORS: update authors a bit + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update with changes for 20060321 snapshot. + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, tutorial/Makefile.in: regen + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: bump updated date + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen + +2006-03-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: Minor updates to fix the 'distcheck' target. + Adds a missing file (extract-docs) to the list of distributed files, uses $(srcdir) in a + couple of spots, etc. + +2006-03-20 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2006-03-20 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: - replace obsolete AC_ERROR with AC_MSG_ERROR - store the path to the m4 executible + +2006-03-16 DJ Delorie * dj AT delorie dot com * + + * src/parse_y.y: Document ElementArc, ElementLine, and Mark. + +2006-03-14 Dan McMahill * dan AT mcmahill dot net * + + * doc/pad.pcb: add drawing of soldermask opening around a pad + +2006-03-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/QueryLibrary.sh.in, lib/common.m4: add an optional -fp + argument which will display the correct footprint= attribute for + gschem. Generally useful for debugging. + +2006-03-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/pci.inc, lib/pci.list, lib/pci.m4: Remove the abuse of the + value field as a way of passing in multiple extra parameters. While + here fix the pinlists. These footprints still have diagonal pads + which are not allowed. + +2006-03-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.in, src/Makefile.in: regen + +2006-03-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/genericsmt.inc, lib/genericsmt.list, + lib/genericsmt.m4: garbage collect some footprints that were not at + all conforming to the correct usage of the m4 library system. In + addition, they were rather redundant. + +2006-03-13 Dan McMahill * dan AT mcmahill dot net * + + * lib/CreateLibraryContents.sh.in: put the correct gschem footprint= + attribute in [] at the end of each description + +2006-03-12 DJ Delorie * dj AT delorie dot com * + + * doc/extract-docs: New file, for extracting inline documentation. + +2006-03-12 Dan McMahill * dan AT mcmahill dot net * + + * lib/QueryLibrary.sh.in: eat blank lines of which there can be many + in the element output + +2006-03-11 DJ Delorie * dj AT delorie dot com * + + * doc/Makefile.am, doc/Makefile.in, doc/pcb.css, doc/pcb.texi, + src/const.h, src/parse_y.y: Inline documentation. + +2006-03-11 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/change.c, src/change.h: Add "join" to SetFlag, + ClrFlag, and ChangeFlag actions. + +2006-03-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: Fixed bug where pads were assumed to be on the + same side as the element + + ---------------------------------------------------------------------- + +2006-03-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c: Crosshair snap to pad ends instead of center - + necessary for rat drawing because rats only connect at pad end + points. + + ---------------------------------------------------------------------- + +2006-03-06 DJ Delorie * dj AT delorie dot com * + + * src/print.c: Fab author fixes from Karel. + +2006-03-06 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_y.y: Fix reduce-reduce conflicts (patch from DJ). + +2006-03-03 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: - clarify that the manual does not currently document the very + latest pcb output file format. - add a (sparsely populated) glossary appendix - add a note about how to change layers + +2006-03-03 Dan McMahill * dan AT mcmahill dot net * + + * globalconst.h, src/action.c, src/create.c, src/file.c, + src/find.c, src/global.h, src/gui-config.c, src/parse_y.y, + src/rotate.c, src/sizedialog.c, src/vendor.c: add DRC checks for + minimum drill diameter and minimum annular ring. + +2006-03-02 Dan McMahill * dan AT mcmahill dot net * + + * src/rats.c: When manually adding nets, make sure we actually pick + a unique name when a new net is created. Fixes a bug noted by + Vanessa Dannenberg. + +2006-02-28 Dan McMahill * dan AT mcmahill dot net * + + * doc/pad.pcb: Add a pad drawing showing how a pad is drawn with a + rectangular aperture. Not used yet, but this will replace the tgif + drawing at some point. + +2006-01-29 Dan McMahill * dan AT mcmahill dot net * + + * src/error.c: apply some fixes for DragonFly BSD + +2006-01-18 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: format cleanup of ActionChangePinName() to bring + more in line with GNU coding standards for C code formatting. + +2006-01-17 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: document ChangePinName action + +2006-01-17 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/action.h, src/command.c: add a ChangePinName() + action which lets you change the name of a pin/pad on an element. + For example, ChangePinName(U1, 7, VCC) changes the name of pin #7 on + U1 to "VCC". This makes it simple to propagate pin names from a + schematic capture tool to the layout without requiring the layout + library to know the pin names for every component. + +2006-01-16 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c, src/copy.c, src/create.c, src/draw.c, src/find.c, + src/insert.c, src/move.c, src/mymem.c, src/parse_y.y, + src/polygon.c, src/print.c, src/remove.c, src/report.c, + src/search.c, src/select.c: Updated to use rtrees for polygon + handling + +2006-01-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Fixed bugs in GetClosestPolygonPoint where (a) the + passed point was not used, and (b) projection of the segment past + the end was being used when it shouldn't. + + ---------------------------------------------------------------------- + +2006-01-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: Speed computation of route costs, it uses about + 13% of the CPU time during routing. + + ---------------------------------------------------------------------- + +2006-01-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: Speed up the rtree searches by establishing better + balance of the cluster size during a node split. This reduces the + tree depth resulting in faster searching. + + ---------------------------------------------------------------------- + +2006-01-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/strflags.c: Fixed clearline flag so that arcs also have this + property + + ---------------------------------------------------------------------- + +2006-01-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Fixed bug where clearances could be set 1 below the + spacing setting + + ---------------------------------------------------------------------- + +2005-12-09 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen [put the html manual in 1 file] + +2005-12-09 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: put the html manual in 1 file. Its a bit easier + to manage + +2005-12-09 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: bump date last touched + +2005-12-07 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: cause the missing ) in PKG_SMT_2PAD_MM100 to appear + +2005-12-06 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: - added the pad drawing showing how a pad is drawn with an aperture. - added some comments about the evolving file format for layout + files. + +2005-12-02 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c, src/global.h, src/gui-netlist-window.c, src/rats.c: + fix a bug which prevented disabling nets from the ratsnest + +2005-12-02 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: fix duplicate PKG_SME4 which should have been called + SME5. Reported by Wojciech Kazubski in bug #1353304 + +2005-12-02 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in: Be sure to set the library path to the source + tree. Patch supplied by Wim Lewis in patch #1362564 + +2005-10-11 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: redo the 0201, 0402, etc passives to bring them in + line with the IPC recommendations. The pads on, for example 0603, + were too small before. They worked, were really not adequate. + +2005-10-11 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: add a PKG_SMT_2PAD_MM100 which directly takes + dimensions for the pads, silk, and placement courtyard from IPC-7351 + for things like 0402 capacitor and resistor footprints. + +2005-09-06 Dan McMahill * dan AT mcmahill dot net * + + * autogen.sh: make this script a bit more verbose and also abort on + errors + +2005-09-06 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2005-09-06 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: make sure to check for -lX11 even when we're using + the gtk gui. action.c still has a direct call to an X function + (XWarpPointer) so on some systems (Solaris) you need to explicitly + link -lX11 instead of getting it through the gtk dependencies + +2005-08-05 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2005-08-05 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: check for gtk+ >= 2.4.0 instead of 2.2.0 since we + need >= 2.4.0 + +2005-08-05 Dan McMahill * dan AT mcmahill dot net * + + * src/script/Makefile.am, src/script/Makefile.in: add pcb-print to + the list of distributed files + +2005-08-05 Dan McMahill * dan AT mcmahill dot net * + + * src/script/pcb-print: fix a bug in the --add-alignment option. + Noted by David Baird + +2005-08-04 Dan McMahill * dan AT mcmahill dot net * + + * src/gui-library-window.c: fix -auto-place for the library window + +2005-08-04 Dan McMahill * dan AT mcmahill dot net * + + * src/script/pcb-print: add script to make it easier to print from + the command line + +2005-08-04 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/action.h, src/command.c, src/global.h, + src/gui-library-window.c, src/gui-log-window.c, + src/gui-netlist-window.c, src/gui-pinout-window.c, + src/gui-top-window.c, src/main.c, src/misc.c, + src/script/Makefile.am, src/script/Makefile.in: - change the Print action to PrintDialog since it causes the print dialog box to come up - add a new Print action provided by David Baird as patch # 1212168. Modified by me to work with the gtk version of pcb. - to let this action work, allow actions to take a parameter list + and # of parameters to allow large numbers of arguments. - modify the Quit action and some of the initialization code so that you can use Quit() in a startup script. - add a -auto-place command line option which causes the windows to + be automatically placed rather than letting the window manager do + it. This is useful when printing via the command line. - add a command line shell script for printing. This is a wrapper + which takes a whole bunch of flags relating to the print parameters + and translates that to the correct invocation of the Print() action. Shell script provided by David Baird as part of patch #1212168 and modified by me to make it portable. + +2005-07-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: add 1008 package + +2005-07-27 Dan McMahill * dan AT mcmahill dot net * + + * lib/bourns.inc: fix the pin order. + +2005-07-24 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/gui-config.c: Fix initial layer groups so that layer named + 'component' is actually on the component side and layer named + 'solder' is actually on the solder side. Unfortunately the GTK + version saves the old bug in the .pcb/preferences file so no + patching will fix existing installations. They must manually edit + the layer groups and check the "use as default" to fix their + installation. + + ---------------------------------------------------------------------- + +2005-07-23 Dan McMahill * dan AT mcmahill dot net * + + * aclocal.m4, configure: regen (fix botched commit which was missing + some M4 paths) + +2005-07-22 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi, doc/version.texi: add appendix describing the + centroid file format along with the algorithm for finding the + centroid and rotation. + +2005-07-22 Dan McMahill * dan AT mcmahill dot net * + + * src/print.c: remove some redundant code to make things more clear + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * lib/genericsmt.m4: fix typo + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * aclocal.m4, configure: regen + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/vendor.c: add a check for sys/types.h and then + if found, include in vendor.c. Needed to build on FreeBSD. Problem + and fix reported in bug #1220963. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * lib/qfn.inc: hires-ify the QFN packages. While here make sure we + use an appropriate soldermask relief for this style of package. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * src/gui-dialog-print.c, src/gui-dialog-size.c, src/set.c: remove + the inclusion of menu.h. We don't use that file anymore and the + only reason we could still build on some systems is we'd find the + /usr/include/menu.h from curses! Problem noted in bug #1226924 by + Axeloide. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * doc/refcard.tex, src/gui-keyref-window.c, src/gui-output-events.c: + apply patches provided by Bill Wilson in patch #1230708. Fix key bindings for drill size changes to be s and s to agree with the Xaw default key bindings. Add missing key bindings '/' and '.' for cycle multiline mode and toggle 45 degree enforcement respectively. Fix refcard.tex to reflect the current set of key binding. Now the gtk key bindings and the refcard should be in sync and be the same as the default Xaw PCB bindings with one exception: the buffer selection binding is 1...5 instead of 1...5. This can be fixed but at the cost of removing the shortcuts from the menus because gtk can't use digits as menu shortcuts. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * INSTALL, NEWS, README-GTK_PORT: apply patches provided by Bill + Wilson in patch #1230704 This patch adds a note to the README-GTK_PORT about runtime warnings if using early gtk+2.4.0 versions. It also makes small adjustments to the INSTALL file to remove an outdated Xt reference and a non-existent 68HC11 file reference. In addition, note that gtk+2.4 is needed, not gtk+2.2. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * src/global.h, src/gui-config.c, src/gui-top-window.c, src/gui.h: + apply patches provided by Bill Wilson in patch #1227292 As per a request on the gEDA list, this patch adds a Preferences option to make the layout name appear on the window title bar instead of on the main menu bar area. This is convenient when the layout name might be somewhat long and takes up too much horizontal space on the menu bar area. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c, src/gui-config.c, src/gui-top-window.c: apply patches + provided by Bill Wilson in patch #1227205 When loading layouts using + alternating mil/mm units, the PCB units displaying can get out of + sync. This patch fixes it. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c, src/gui-utils.c: apply patches provided by Bill Wilson + in patch #1215935 1) The DRC user interface issue has been reported on the gEDA + mailing list and is listed on the PCB bugs page as request id + 1206762 dated 2005-05-23 01:29 and is fixed in this patch. 3) Fix a dangling DRC check g_message() which I overlooked fixing + during the final Gtk port cleanup. 4) Adjust the DRC draw centering to be 1/4 screen offset since the + continue dialog is centered (under window manager control) and that + was covering up the DRC highlighted portion of the draw which was + previously also centered. 2) The log window was not scrolling to keep most recent log items + added in view and this patch fixes that. + +2005-07-18 Dan McMahill * dan AT mcmahill dot net * + + * src/const.h, src/global.h, src/gui-netlist-window.c: apply the + patches provided by Wim Lewis in patch #1189989 to allow pcb to + compile more cleanly on OpenBSD. + +2005-06-11 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/autoroute.c, src/buffer.c, src/change.c, + src/const.h, src/copy.c, src/create.c, src/create.h, + src/crosshair.c, src/data.h, src/dev_rs274x.c, src/djopt.c, + src/draw.c, src/file.c, src/find.c, src/global.h, + src/gui-top-window.c, src/insert.c, src/line.c, src/macro.h, + src/misc.c, src/misc.h, src/move.c, src/mymem.c, src/parse_l.l, + src/parse_y.y, src/polygon.c, src/print.c, src/rats.c, + src/report.c, src/rotate.c, src/search.c, src/strflags.c, + src/strflags.h, src/undo.c, src/undo.h: Update sources to support + more than 8 layers. + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: add news for 20050609 + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: add changes for 20050609 + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * globalconst.h: reduce minimum board size from 1.2 to 0.6 inches + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.in: regen [add missing strflags.h] + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: add missing strflags.h + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: make sure we still set the X library rpath (yes, it + is still possible to use --disable-rpath debian folks). + +2005-06-08 Dan McMahill * dan AT mcmahill dot net * + + * src/draw.c, src/global.h, src/gui-config.c, + src/gui-output-events.c, src/gui-top-window.c, src/gui-utils.c, + src/main.c: convert several c++ style comments to c comments. not + all compilers like // + +2005-06-03 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Avoid name conflict with abs/min/max + +2005-05-26 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen [error out if m4 not found] + +2005-05-26 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: error out if m4 is not found + +2005-03-28 DJ Delorie * dj AT delorie dot com * + + * src/strflags.c: Fix the "all pads are ovals" bug. + +2005-03-21 DJ Delorie * dj AT delorie dot com * + + * src/strflags.c: Minor bugfixes related to error handling + +2005-03-21 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Makefile.in, src/file.c, src/parse_y.y, + src/strflags.c, src/strflags.h: When saving files, convert flags to + symbolic format instead of storing the actual internal bitfield. + +2005-03-21 DJ Delorie * dj AT delorie dot com * + + * src/const.h: Put parens around computed macros. Use ~0 instead of + -1 for "all bits". + +2005-03-14 Dan McMahill * dan AT mcmahill dot net * + + * src/gui-icons-misc.data, src/gui-icons-mode-buttons.data: add some + files missed in the gtk-pcb checkin + +2005-03-13 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcbrc-sample, src/file.c, src/global.h, src/gui-config.c, + src/gui-top-window.c, src/gui.h, src/main.c, src/misc.c, + src/misc.h, src/pcbtest.sh.in: add some config file patches from + Bill Wilson + +2005-03-12 Dan McMahill * dan AT mcmahill dot net * + + * README-GTK_PORT, configure, configure.ac, doc/version.texi, + globalconst.h, po/fr_FR.po, po/pcb.pot, src/Makefile.am, + src/Makefile.in, src/Pcb.ad.in, src/action.c, src/action.h, + src/autoplace.c, src/autoroute.c, src/buffer.c, src/change.c, + src/clip.c, src/clip.h, src/command.c, src/command.h, src/const.h, + src/create.c, src/crosshair.c, src/data.c, src/data.h, + src/dev_ps.c, src/dev_rs274x.c, src/djopt.c, src/djopt.h, + src/draw.c, src/draw.h, src/drill.c, src/error.c, src/error.h, + src/file.c, src/file.h, src/find.c, src/global.h, + src/gui-command-window.c, src/gui-config.c, src/gui-dialog-print.c, + src/gui-dialog-size.c, src/gui-dialog.c, src/gui-keyref-window.c, + src/gui-library-window.c, src/gui-log-window.c, src/gui-misc.c, + src/gui-netlist-window.c, src/gui-output-events.c, + src/gui-pinout-window.c, src/gui-top-window.c, src/gui-utils.c, + src/gui.h, src/heap.c, src/insert.c, src/intersect.c, src/line.c, + src/macro.h, src/main.c, src/menu.h, src/misc.c, src/misc.h, + src/move.c, src/mtspace.c, src/mymem.c, src/output.c, src/output.h, + src/parse_l.l, src/parse_y.y, src/pcb-menu.res, src/pcbtest.sh.in, + src/polygon.c, src/print.c, src/rats.c, src/rats.h, src/report.c, + src/res_parse.y, src/rotate.c, src/rtree.c, src/script/pcb.in, + src/select.c, src/select.h, src/set.c, src/set.h, src/undo.c, + src/vector.c, src/vendor.c, src/vendor.h: Import Bill Wilson's port + of pcb to gtk. Things seem to compile and run but this should be + considered a work in progress at the moment. + +2005-03-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen + +2005-03-12 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: add a few missing files to EXTRA_DIST + +2005-03-10 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update with latest changes + +2005-03-10 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, doc/Makefile.in, + doc/version.texi, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in: regen after adding gtk configure.ac stuff + +2005-03-10 Dan McMahill * dan AT mcmahill dot net * + + * autogen.sh: use ACLOCAL_FLAGS if set + +2005-03-10 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/misc.inc, lib/to.inc: add MULTIWATT and friends. + Fix drill size for several versions of the TO-220 package, fix some + bugs which caused several TO-220 packages and others to generate + syntax errors, and fix the drill size on several TO-* packages. You + can't fit a 40 mil pin in a 20 mil hole! + +2005-02-23 DJ Delorie * dj AT delorie dot com * + + * src/global.h, src/main.c, src/print.c: Allow FAB author name to be + set by .Xdefaults + +2005-02-10 Dan McMahill * dan AT mcmahill dot net * + + * src/action.h: add a few missing prototypes + +2005-02-09 Dan McMahill * dan AT mcmahill dot net * + + * aclocal.m4: regen + +2005-02-09 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen after adding --enable-gtk and --disable-xaw + +2005-02-09 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add --enable-gtk and --disable-xaw configure flags + which will turn on the configuring of gtk+ and turn off the + configuring of Athena widgets respectively. At this time the effect + is that you will not be able to compile if you use these options. + They are added to help support some gtk+ development work. + +2005-02-02 Dan McMahill * dan AT mcmahill dot net * + + * src/data.c, src/dialog.c, src/printdialog.c: fix a segfault bug + when using Up and Down to try and adjust the scale slider + in the print dialog box. While here fix up the print dialog box so + that you can use the arrow keys to fine adjust the scale slider. + Noted in bug #1111847 filed by Bob Paddock. + +2005-02-02 Dan McMahill * dan AT mcmahill dot net * + + * src/rotate.c: fix a segfault bug on the pinout window (no null + pointer dereference) + +2005-02-02 Dan McMahill * dan AT mcmahill dot net * + + * src/Pcb.ad.in: change units to 1/100 mil for pinout window offset + +2005-01-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: Add DIP44. Provided by Walter Fetter Lages in patch + #1108881 + +2005-01-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/misc.inc: fix drill size for ZIP packages. Noted by Walter + Fetter Lages in patch #1108881 + +2005-01-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/connector.inc: fix drill size for PKG_BNC_LAY. Provided by + Walter Fetter Lages in patch #1108881 + +2005-01-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: add TQFP packages provided by Walter Fetter Lages in + patch #1108881. More to come from that patch... + +2005-01-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen + +2005-01-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: add flag to keep building the html manual as a + single page. Having multiple pages seems to do strange things to + the install. + +2005-01-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen + +2005-01-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: fix typo in target for creating texi files from + the ascii list of drills + +2005-01-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen + +2005-01-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/ascii2texi.awk, doc/fractional_size.tab, + doc/letter_size.tab, doc/metric_size.tab, doc/pcb.texi, + doc/wire_size.tab: add an appendix with a big list of drill sizes. + Included are American Standard wire size, letter size, fractional + size, and metric. + +2005-01-27 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: add news for snapshot 20050127 + +2005-01-27 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update to get ready for next snapshot + +2005-01-27 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, aclocal.m4, + doc/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in: update to latest automake + +2005-01-27 Dan McMahill * dan AT mcmahill dot net * + + * src/Pcb.ad.in, src/main.c: change pcb to Pcb in a commented out + resource + +2005-01-27 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/misc.inc: fix the LED3 and LED5 footprints for + T-1 and T-1 3/4 (3mm and 5mm) standard LED's. The old footprint had + drill holes which were too small and also there was silk on the pad. + +2005-01-26 Dan McMahill * dan AT mcmahill dot net * + + * lib/plcc.inc: increase the padsize and drill size for through-hole + PLCC sockets + +2005-01-25 DJ Delorie * dj AT delorie dot com * + + * src/pcb-menu.res: Oops, take out test entry + +2005-01-25 DJ Delorie * dj AT delorie dot com * + + * src/menu.c, src/pcb-menu.res, src/resmenu.c, src/resmenu.h: Move + right-click popup menu to pcb-menu.res also. + +2005-01-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: bump date + +2005-01-21 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c: do not complain about MIN_PINORVIACOPPER on a + mounting hole + +2005-01-21 Dan McMahill * dan AT mcmahill dot net * + + * src/Pcb.ad.in, src/main.c, src/set.c: - break the status line into 2 lines as it was getting rather long - put the cursor position line below the menu as it is also getting rather long with the metric display. Besides keeping the 2 lines from being cutoff on smaller displays, + it seems to avoid some of the strange Xaw issues seen in bug + #1099862 and patch #1042731 where the porthole for the main drawing + area is not properly sized and where the status line is sometimes + covered by the porthole. + +2005-01-21 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.in: regen + +2005-01-21 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: for the .test/Pcb apps-default file (the one used + when running before installation), use the pcb-menu.res file in the + source directory. + +2005-01-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi, src/action.c, src/pcb-menu.res: Add "Selected" and + "All" arguments to DisperseElements so you have the option to not + disperse all of them. Add corresponding menu choices. + +2005-01-18 Dan McMahill * dan AT mcmahill dot net * + + * src/print.c: avoid having text overlaying text in the fab drawing + when a very small number of drill sizes are used. Problem reported + in bug #1100163. Patch provided by Mick. + +2005-01-18 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2005-01-18 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add a --disable-rpath flag to disable hardcoding of + the X11 library path. Default behaviour is unchanged. + +2005-01-17 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: use LAYOUT_BOTTOM to always put the status line at the + bottom of the main window. Gets rid of some annoying bugs on + solaris and some other systems where the status line would sometimes + get covered up when the window was resized. + +2005-01-17 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c: in the message log produced when a via size has to + be increased to meet the minimum copper, add a location to make it + easier to locate the via. + +2005-01-17 Dan McMahill * dan AT mcmahill dot net * + + * src/Pcb.ad.in, src/main.c: Increase + Pcb.masterForm*cursorPosition.width to make room for metric display. + Provided by Mark Whitis in patch #1042731 + +2005-01-17 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add docs for ChangeClearSize() action. Also apply + some other fixes provided in patch #1068842 + +2005-01-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/misc.inc: increase quoting of $2 in PKG_CRYSTAL to avoid the + refdes from being expanded by m4. Lets you use "X1" as the refdes + in gschem and gsch2pcb + +2005-01-13 Dan McMahill * dan AT mcmahill dot net * + + * README_FILES/Makefile.in: regen + +2005-01-13 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: udate data + +2005-01-13 Dan McMahill * dan AT mcmahill dot net * + + * src/pcb-menu.res: add DisperseElements() to menu + +2005-01-13 Dan McMahill * dan AT mcmahill dot net * + + * src/control.c, src/main.c, src/resmenu.c: remove some more + compiler warnings + +2005-01-13 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi, src/action.c, src/action.h, src/main.c: add a + DisperseElements() action which will disperse all elemnents in a + layout. The purpose is to spread out elements which are all on top + of each other at the very beginning of a design. + +2005-01-06 Dan McMahill * dan AT mcmahill dot net * + + * README, README_FILES/LICENSE, README_FILES/MAILING, + README_FILES/Makefile.am, README_FILES/README: remove duplicated + files and fix some out of date info + +2005-01-06 Dan McMahill * dan AT mcmahill dot net * + + * src/djopt.c, src/rtree.c: cast some pointers to (void *) when + printing debug output. reduces the # of compiler warnings + +2005-01-03 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/autoplace.c, src/autoroute.c, src/box.h, + src/buffer.c, src/buffer.h, src/change.c, src/change.h, src/clip.c, + src/clip.h, src/copy.c, src/copy.h, src/create.c, src/create.h, + src/crosshair.c, src/crosshair.h, src/data.c, src/data.h, + src/dev_ps.c, src/dev_rs274x.c, src/draw.c, src/file.c, src/find.c, + src/find.h, src/global.h, src/insert.c, src/insert.h, + src/intersect.c, src/line.c, src/macro.h, src/mirror.c, + src/mirror.h, src/misc.c, src/misc.h, src/move.c, src/move.h, + src/mtspace.c, src/output.c, src/output.h, src/pinout.c, + src/polygon.c, src/polygon.h, src/print.c, src/print.h, + src/printdialog.c, src/rotate.c, src/rotate.h, src/rubberband.c, + src/search.c, src/search.h, src/set.c, src/set.h, src/undo.c, + src/undo.h: change "Location" to "LocationType". Avoids some + confusion with some compilers (SunPRO in particular) when "Location" + is also used as part of a struct. + +2004-12-31 Dan McMahill * dan AT mcmahill dot net * + + * src/vendor.c: move the regfree() call _before_ the return from the + function that calls it so that it actually happens. + +2004-12-12 Dan McMahill * dan AT mcmahill dot net * + + * src/vendor.c: remove a compiler warning + +2004-11-20 Dan McMahill * dan AT mcmahill dot net * + + * src/set.c: add metric output to the location display. Based on + patches provided in patch #1042731 by Mark Whitis + +2004-11-20 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: adjust Pcb.masterForm*cursorPosition.width to match + the app-defaults file value + +2004-11-20 Dan McMahill * dan AT mcmahill dot net * + + * src/actionlist.c: revert previous. Seems to have snuck in by + mistake in the strcmp() cleanup. + +2004-11-20 Dan McMahill * dan AT mcmahill dot net * + + * src/pcb-menu.res: add . as the hotkey for toggling 45 degree + line mode. addressed bug #1069665 filed by Mark Whitis. + +2004-11-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c: Snap to pads go to pad centers and allow snapping + to element mark too. + + ---------------------------------------------------------------------- + +2004-11-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/actionlist.c, src/autoplace.c, src/create.c, + src/dev_rs274x.c, src/dialog.c, src/djopt.c, src/draw.c, + src/file.c, src/fileselect.c, src/macro.h, src/main.c, src/misc.c, + src/netlist.c, src/print.c, src/rats.c, src/res_parse.y, + src/search.c, src/selector.c, src/set.c, src/vendor.c: Replace all + strcmp with a macro that tests for NULL pointers + + ---------------------------------------------------------------------- + +2004-11-08 Dan McMahill * dan AT mcmahill dot net * + + * src/control.c: Restore translation table for the mode buttons. + This seems to fix the "mode buttons are not responsive" bug reported + in bug #716517 Patch provided by Daniel Nilsson in patch #1023078. + +2004-11-07 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c, src/change.h: Allow element names to be edited over + the name itself + + ---------------------------------------------------------------------- + +2004-11-02 Dan McMahill * dan AT mcmahill dot net * + + * src/Pcb.ad.in: Fix the . binding to toggle 45 degree mode. + Addresses bug #1022800 + +2004-11-01 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure: regen [add support for ElectricFence + debugging. --enable-efence] + +2004-11-01 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add support for ElectricFence debugging. + --enable-efence + +2004-11-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi, doc/version.texi: clarify the units used by PCB a + bit + +2004-10-31 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi, src/vendor.c: Allow the use of regular expressions + in the resources which specify elements which should not have their + drill holes mapped to the vendor table. + +2004-10-30 Dan McMahill * dan AT mcmahill dot net * + + * src/pcb-menu.res, src/vendor.c: Modify the LoadVendor() action so + if the file name is not give, the user is presented with a file + selection dialog box to choose a file. Also add a menu choice for + this. + +2004-10-30 Dan McMahill * dan AT mcmahill dot net * + + * src/script/pcb.in: Quote "$@" to keep args whole + +2004-10-29 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/Makefile.in: add missing vendor.h + +2004-10-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: document vendor drill mapping + +2004-10-29 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.in, src/actionlist.c: regen after adding vendor + resource/mapping code + +2004-10-29 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/action.c, src/change.c, src/change.h, + src/create.c, src/pcb-menu.res, src/vendor.c, src/vendor.h: Add + support for a loadable vendor resource. The primary purpose is to + import a list of vendor supported or prefered drill sizes. The + design is then modified to change drills to sizes in the drill list. + Additionally, the vendor resource file may include DRC settings. + +2004-10-27 DJ Delorie * dj AT delorie dot com * + + * src/resmenu.c: Support multiple actions per line for -action and + -script + +2004-10-27 DJ Delorie * dj AT delorie dot com * + + * src/pcbtest.sh.in: Quote "$@" to keep args whole + +2004-10-27 DJ Delorie * dj AT delorie dot com * + + * src/actionlist.c, src/global.h, src/main.c, src/resmenu.c: Add + -action command line, to execute one action string at startup + +2004-10-27 DJ Delorie * dj AT delorie dot com * + + * src/pcb-menu.res, src/res_lex.l: Add support for comments. + Comments begin with '#' and extend to the end of the line. + +2004-10-27 DJ Delorie * dj AT delorie dot com * + + * doc/pcb.texi, doc/version.texi: Document resource file comments + +2004-10-26 Dan McMahill * dan AT mcmahill dot net * + + * src/dialog.c: remove unused variable, make sure return returns a + value on a non-void fn + +2004-10-25 Dan McMahill * dan AT mcmahill dot net * + + * src/dialog.c: Add date and compile time to the About dialog. + Patch provided as patch #1053444 by Bob Paddock. + +2004-10-25 Dan McMahill * dan AT mcmahill dot net * + + * src/buffer.c: Fix one more (last one maybe?) hires bug where when + converting a selection or buffer to element, the soldermask relief + is 100x smaller than the default. Address bug report #1049033. + +2004-10-25 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, README_FILES/Makefile.in, example/Makefile.in, + example/libraries/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, src/script/Makefile.in, tools/Makefile.in, + tutorial/Makefile.in: regen so that all Makefile.in files came from + the same version of automake + +2004-10-25 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: update date on manual since there have been + additions + +2004-10-23 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: minor fixups to make this happy again with the new + texinfo.tex + +2004-10-23 Dan McMahill * dan AT mcmahill dot net * + + * doc/texinfo.tex: update to texinfoversion 2004-09-06.16 + +2004-10-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Fix borking of name_tree when changing an element + name + + ---------------------------------------------------------------------- + +2004-10-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen + +2004-10-21 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: - override the mostlyclean-aminfo target to avoid deleting the .dvi, + .html, .pdf, and .ps versions of the manual with 'make clean'. + Since these files already go in the distfile, we don't want a 'make + clean' to remove them as that would force a dependency on TeX. This + issue was noted by Stuart Brorson. - while here let automake handle the html and pdf conversions + automatically. This ends up defaulting to texi2pdf for PDF which + seems to do a better job than ps2pdf anyway. + +2004-10-21 Dan McMahill * dan AT mcmahill dot net * + + * aclocal.m4, configure: regen + +2004-10-21 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: check for ps2pdf which is used for building the + refcard + +2004-10-21 Dan McMahill * dan AT mcmahill dot net * + + * acinclude.m4: improve the quoting so that aclocal-1.9.2 is happier + +2004-10-20 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: minor fixup in the newlib element creation guide. + +2004-10-20 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: Apply several improvements from Bob Paddock + including: - spell check - improved section on modifying newlib footprints - add section on searching for elements - add section on measurements - add appendix on regular expressions Some texinfo fixes from me. + +2004-10-17 DJ Delorie * dj AT delorie dot com * + + * src/resmenu.c: Sort actions by modifier so that both Ctrlx + and x work. + +2004-10-17 DJ Delorie * dj AT delorie dot com * + + * src/actionlist.c: Regenerated + +2004-10-17 DJ Delorie * dj AT delorie dot com * + + * src/action.c: Add FlagHaveRegex (have_regex) so that the + SelectByName menu entries work. + +2004-10-12 DJ Delorie * dj AT delorie dot com * + + * src/global.h: Oops, overzealous patching ;-) + +2004-10-12 DJ Delorie * dj AT delorie dot com * + + * src/global.h: add non-gcc definition of ATTRIBUTE_UNUSED + +2004-10-12 Dan McMahill * dan AT mcmahill dot net * + + * src/global.h: add missing #else clause in defining + ATTRIBUTE_UNUSED on non-gcc or older gcc version. Problem noted by + Dave McGuire. + +2004-10-08 DJ Delorie * dj AT delorie dot com * + + * src/rats.c: Check for numberless pins. + +2004-10-08 DJ Delorie * dj AT delorie dot com * + + * src/print.c: Print plated and unplated hole counts on separate + lines. + +2004-10-06 DJ Delorie * dj AT delorie dot com * + + * src/print.c: Avoid checking pin numbers for unnumbered pins. + +2004-09-24 DJ Delorie * dj AT delorie dot com * + + * src/actionlist.c, src/data.c, src/dialog.c, src/global.h, + src/main.c: Add command history to : widget. + +2004-09-24 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: minor tweaks to instructions + +2004-09-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c, src/move.c, src/pinout.c: Fixes for pinout window + crash. Still need to fix pinout scroll range at high zoom + +2004-09-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/misc.c: Fix error on non-quarter circle arc bounding box + calculations + +2004-09-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/menu.c: Don't ask for X,Y coordinates in third-button pop-up + menu; the coordinate is where the cursor was when the menu was + popped up. + +2004-09-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: Fixed return value of Emark_callback. (Didn't really + matter, we weren't count number of marks drawn). + +2004-09-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c: Allow Ctrl-drag to copy objects in arrow mode. + +2004-09-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Fix bug with polygon clearances from other layers + in the group. + +2004-09-08 Dan McMahill * dan AT mcmahill dot net * + + * src/set.c: add an extra digit to the display of metric + measurements. Patch from Gabriel Paubert. + +2004-09-04 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: mention the background image in 20040903 + +2004-09-03 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: update with pcb-20040903 news items + +2004-09-03 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update to get ready for next snapshot + +2004-09-03 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: add more detailed snapshot instructions + +2004-08-30 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c, src/resmenu.h: add missing headers + +2004-08-30 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_y.y: add missing prototype + +2004-08-30 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: remove unused variable + +2004-08-30 Dan McMahill * dan AT mcmahill dot net * + + * src/res_lex.l: add missing prototype + +2004-08-30 Dan McMahill * dan AT mcmahill dot net * + + * src/res_parse.y: clean up a few more compiler warnings + +2004-08-30 Dan McMahill * dan AT mcmahill dot net * + + * src/djopt.c: get rid of a bunch of format string compiler warnings + along with some unused variable warnings + +2004-08-30 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/autoplace.c, src/autoroute.c, src/buffer.c, + src/change.c, src/clip.c, src/command.c, src/compat.c, + src/control.c, src/copy.c, src/create.c, src/crosshair.c, + src/data.c, src/dev_ps.c, src/dev_rs274x.c, src/dialog.c, + src/djopt.c, src/draw.c, src/drill.c, src/error.c, src/file.c, + src/fileselect.c, src/find.c, src/global.h, src/gui.c, src/heap.c, + src/insert.c, src/intersect.c, src/lgdialog.c, src/library.c, + src/line.c, src/log.c, src/main.c, src/menu.c, src/mirror.c, + src/misc.c, src/move.c, src/mtspace.c, src/mymem.c, src/netlist.c, + src/output.c, src/parse_l.l, src/parse_y.y, src/pinout.c, + src/polygon.c, src/print.c, src/printdialog.c, src/printpanner.c, + src/rats.c, src/remove.c, src/report.c, src/res_lex.l, + src/res_parse.y, src/resmenu.c, src/rotate.c, src/rtree.c, + src/rubberband.c, src/search.c, src/select.c, src/selector.c, + src/set.c, src/sizedialog.c, src/undo.c, src/vector.c: rework the + lines which have static char *rcsid=.... to include an unused + attribute on gcc. This gets rid of a bunch of gcc -Wall warnings + which can cause some actual bugs to be lost in the noise. While here, make sure we include config.h and also dmalloc.h if + dmalloc debugging has been requested. + +2004-08-29 Dan McMahill * dan AT mcmahill dot net * + + * src/draw.c: fix a "=" instead of "==" bug + +2004-08-28 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs: update this to more closely match the current reality + +2004-08-28 Dan McMahill * dan AT mcmahill dot net * + + * src/actionlist.c: add actionlist.c for non-maintainers + +2004-08-28 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen (if we are using gcc then add -Wall) + +2004-08-28 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: if we are using gcc then add -Wall + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * src/draw.c: add missing header (for isdigit) + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * src/dev_rs274x.c: use long int in some places to avoid assigning a + long int to an int + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/rtree.c: remove some unused variables + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * src/output.c, src/resmenu.c: add a missing header + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c: remove an unused variable + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: bump updated date + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen (add pcb.1 to EXTRA_DIST) + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: add pcb.1 to EXTRA_DIST + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: document the ExecuteFile() action and the -script + command line option + +2004-08-27 Dan McMahill * dan AT mcmahill dot net * + + * src/global.h, src/main.c, src/misc.c, src/resmenu.c: add an + ExecuteFile(file) action which executes the actions contained in the + specified file. In addition, add a "-script " option + which will invoke ExecuteFile() upon startup. Eventually + (but not yet) this will be able to be used for things like + generating postscript and RS-274-X output from the command line. + +2004-08-26 Dan McMahill * dan AT mcmahill dot net * + + * src/resmenu.c: Fix a minor memory leak bug which allocated space + for 10 more pointers everytime invoke_action() was called. While here add a few comments about whats going on in this function. + +2004-08-25 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: update the table of the output files generated by + PCB + +2004-08-25 Dan McMahill * dan AT mcmahill dot net * + + * src/pcb-menu.res: use "Reference Designator" instead of "Name on + PCB" as the former is more standard + +2004-08-25 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c: exclude Makefile, Makefile.am, and Makefile.in as + valid element names as these may reside in a lib directory + +2004-08-25 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add a brief comment about what M4 is and where to + find more info + +2004-08-25 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: add one more Usage message. this time for the + RemoveSelected() action + +2004-08-25 Dan McMahill * dan AT mcmahill dot net * + + * src/main.c, src/misc.c: allow --version, --help, and --copyright + to also work since those are typically supported by GNU programs. + +2004-08-25 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: In the Usage() function be sure to restore stderr at + the beginning since this function calls exit(). This will ensure + that the Usage() message can actually be seen in all cases. Fixes + the following: clock AT oberon:~$ pcb --version clock AT oberon:~$ noted by Karel Kulhav on the gEDA mailing + list. + +2004-08-24 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen after adding pcb.1 + +2004-08-24 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am, doc/pcb.1: add a man page which says where to + look for the manual + +2004-07-31 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: avoid 'inline' unless we're using gcc. + +2004-07-31 Dan McMahill * dan AT mcmahill dot net * + + * src/res_parse.y: use foo?foo:bar instead of foo?:bar as the latter + is a gcc extension. Makes the irix c compiler happy. + +2004-07-29 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: update the 150 and 300 mil width SOIC packages per + the IPC recommendations at footprint.ipc.org. Partially addresses + the "silk on pad" bug noted in bug report #995401. + +2004-07-29 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: improve the decision on adding or not adding a silk + arc on the COMMON_SMT_DIL_MIL macro + +2004-07-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/print.c: Only clear silk on same side as pad. Patch + contributed by burto + +2004-07-10 DJ Delorie * dj AT delorie dot com * + + * doc/pcb.texi, src/draw.c, src/draw.h, src/global.h, src/main.c, + src/misc.c: Add limited support for a behind-the-board background + image. + +2004-07-08 Dan McMahill * dan AT mcmahill dot net * + + * src/rubberband.c: Fix a bug related to checking for the + intersection of a circular region defined by an line end point and + its radius and a rectangular pin/pad. The old code looked for the + intersection of the smallest square which encloses the circular + region and the rectangular pin/pad region. However this method + claims that there are intersections when in fact there are not. For + example a very wide trace has a significant area enclosed by the + square which encloses the circular region defined by the line end + and radius that is not enclosed by the circular region. The new code actually looks for intersection of the circular region + and the square region. + +2004-07-07 Dan McMahill * dan AT mcmahill dot net * + + * src/rubberband.c: fix some errors where the diameter was used + where the radius should have been used when looking for circular + regions which intersect. Fixes bug report #978412. Partial patch + provided in the bug report, additional bugs fixed by me. Note: a bug still exists when calculating line -> rectangular pad + intersection. A fix will be coming. + +2004-07-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/undo.c: Fix UndoChangeMaskSize assuming LINESTRUCT has the + mask parameter + +2004-06-30 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in, src/script/pcb.in: when running under gdb set + XAPPLRESDIR and unset XUSERFILESEARCHPATH + +2004-06-30 Dan McMahill * dan AT mcmahill dot net * + + * src/resmenu.c: make 'str' static so that the memory allocated by + MyStrdup() can properly be freed on the next call of invoke_action. + +2004-06-25 DJ Delorie * dj AT delorie dot com * + + * src/pcb-menu.res: Change size of selected objects, fix enlarge + options to actually enlarge (bug 978408) + +2004-06-25 DJ Delorie * dj AT delorie dot com * + + * src/menu.c: Cut and Copy from the popup menu now wait for a click + before acting (bug 978406) + +2004-06-24 DJ Delorie * dj AT delorie dot com * + + * src/Pcb.ad.in, src/main.c, src/menu.c, src/pcb-menu.res, + src/resmenu.c, src/resmenu.h: Add support for menu accelerators. + Replace hotkey translations with menu accelerators where + appropriate. + +2004-06-20 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Makefile.in: Run gather-actions only if + maintainer-mode + +2004-06-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/autoroute.c, src/const.h, src/menu.c, + src/pcb-menu.res: Fix live routing menu handling; patch segfault on + breaking element with no element specified. Autorouter tweaks. + +2004-06-10 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: add a few more 400mil width DIP packages (DIPxM) + +2004-06-10 Dan McMahill * dan AT mcmahill dot net * + + * src/dev_rs274x.c: make sure we define all aperture codes used by + arcs. Fixes the bug reported in bug #969903 by Werner Hoch where an + arc of a width not used by anything else in the layout does not have + its aperture defined. + +2004-06-09 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: fix the function name strings passed to MyCalloc(). + +2004-06-09 Dan McMahill * dan AT mcmahill dot net * + + * src/pcb-menu.res: Do not arbitrarily change the zoom setting + whenever running DRC. Especially if there are no DRC errors, we + don't want/need to change the zoom. Noted by Mark Becker. + +2004-06-09 Dan McMahill * dan AT mcmahill dot net * + + * src/pcb-menu.res: Add 0.5 and 0.1 mil grid selections. Requested + in RFE #876549 by John Griessen. + +2004-06-09 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c, src/misc.c, src/misc.h: Save layerstack settings prior + to running DRC and restore them afterwards so that things like + current input layer are not changed by DRC. Noted by Mark Becker. + +2004-06-05 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen after rpath flag order change + +2004-06-05 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: change the order in the list of rpath flags which + are tried out. This is because when -R was tried first, it was + accepted on linux systems because even though gcc didn't like it, it + still exited with 0. The new order seems to do the right thing on + solaris, linux, and netbsd as the compiler now actually gives an + error code for the flags it does not like. + +2004-06-05 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Be even more picky about which line is chosen as an + example. + +2004-06-04 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen (If --enable-dmalloc is given but dmalloc is not + found then error out instead of disabling dmalloc + +2004-06-04 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: If --enable-dmalloc is given but dmalloc is not + found then error out instead of disabling dmalloc + +2004-06-03 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: add usage output for several more actions + +2004-06-03 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c: Add usage output if the input arguments are not + quite right for several actions. Still have more to add, but this + is a start. + +2004-06-02 Dan McMahill * dan AT mcmahill dot net * + + * src/default_font: add @ character. Absence noted by Dave + McGuire. + +2004-06-01 Dan McMahill * dan AT mcmahill dot net * + + * src/pcb-menu.res: add a GetXY() to the 'copy selection to buffer' + menu. Needed to have a resonable reference point for the copy. + Noted by Mark Becker. + +2004-06-01 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen (fail configuration if Xaw is not found) + +2004-06-01 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: fail configuration if Xaw is not found + +2004-05-31 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: add a note to remember to do something better + with versions on the next snapshot + +2004-05-31 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: remove duplicated line + +2004-05-31 Dan McMahill * dan AT mcmahill dot net * + + * ChangeLog: update changelog with cvs2cl.pl. This file previously + was empty. + +2004-05-31 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: bump updated date to match snapshot date + +2004-05-31 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: add some release notes for the upcoming 20040530 snapshot + +2004-05-29 Dan McMahill * dan AT mcmahill dot net * + + * src/change.c: add missing prototype + +2004-05-29 Dan McMahill * dan AT mcmahill dot net * + + * globalconst.h: set MASKFRAME to be 3 (mils) instead of 0. This + way the soldermask opening will be larger than the pads for + components defined using the older style format which did not + explicitly give soldermask relief size. + +2004-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: document the SetFlag(), ClrFlag(), and ChangeFlag() + actions + +2004-05-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: correct location for Pcb appdefaults file + +2004-05-29 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in, src/script/pcb.in: For the pcb wrapper script, + if the first argument is "-gdb", pick off that flag and run pcb + inside of the gdb debugger. Should simplify debugging a bit. + +2004-05-29 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/action.h, src/change.c, src/change.h, + src/main.c: Add SetFlag, ClrFlag, and ChangeFlag actions. These + currently let you set, clear, or change the square, octagon, or + thermal pads. For example :SetFlag(SelectedVias,thermal) + :ClrFlag(SelectedObjects,square) :ChangeFlag(SelectedPads,octagon,1) + +2004-05-28 Dan McMahill * dan AT mcmahill dot net * + + * src/djopt.c: Fix the segfault bug noted in bug report #959073 by + Bob Paddock. While here, also fix a bug which can potentially cause + a floating point exception. + +2004-05-28 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure: regen after adding rint() test + +2004-05-28 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add test for rint() + +2004-05-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/version.texi: bump date + +2004-05-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add INFO-DIR-SECTION Miscellaneous per bug #957369 + submitted by Mike Frysinger + +2004-05-23 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: update the COMMON_SMT_2PAD_MIL macro to the newer + element format. With this change, the soldermask relief and + clearance can now be specified for the pads. This fixes the issue + noted recently on the geda mailing list about 0805 footprints from + the ~geda library having soldermask openings which are the same size + as the pads. + +2004-05-14 Dan McMahill * dan AT mcmahill dot net * + + * src/dev_ps.c: make sure we get the preamble in all EPS output + files. Bug noted by David Koski + +2004-05-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: add SOJ packages (lots of them) + +2004-05-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: fix a hi-res bug in the polarity arc silk for some + SMT DIL pkgs + +2004-05-14 Dan McMahill * dan AT mcmahill dot net * + + * src/res_parse.y: add missing string.h (for strcmp). Noted by Dave + McGuire + +2004-05-14 Dan McMahill * dan AT mcmahill dot net * + + * src/pcbtest.sh.in, src/script/pcb.in: unset XUSERFILESEARCHPATH + which causes a conflict with XAPPLRESDIR + +2004-05-14 Dan McMahill * dan AT mcmahill dot net * + + * src/resource.h: add a comment + +2004-05-13 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: correct the pin/pad bounding box calculation. Bug + noted by David Koski. + +2004-05-05 Dan McMahill * dan AT mcmahill dot net * + + * src/macro.h: fix typo in comment + +2004-05-02 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c, src/pcb-menu.res: Add flag to default to optimizing + only autorouted nets, plus menu option to control it. + +2004-05-02 DJ Delorie * dj AT delorie dot com * + + * src/resmenu.c: Don't use local var for widget name. + +2004-05-02 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Fix bug wrt intersecting layer groups in miter + +2004-05-02 DJ Delorie * dj AT delorie dot com * + + * src/menu.c, src/resmenu.c: Remove gcc-isms + +2004-05-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: fix typo + +2004-05-01 Dan McMahill * dan AT mcmahill dot net * + + * src/resmenu.c: protect the inclusion of string.h with + HAVE_STRING_H + +2004-04-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/minicircuits.inc: adjust spacing between the 2 rows of pins to + better match the datasheet + +2004-04-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: clarify a comment + +2004-04-30 Dan McMahill * dan AT mcmahill dot net * + + * src/Pcb.ad.in, src/action.c, src/file.c, src/find.c, + src/global.h, src/macro.h, src/main.c, src/parse_y.y, + src/sizedialog.c: Add some DRC checking of silkscreen layers. + Currently this check looks for minimum widths of silk lines. + Currently not checked are: - silk polygons - silk text - wide silk lines made by overlapping several narrow silk lines + +2004-04-30 Dan McMahill * dan AT mcmahill dot net * + + * src/find.c: put a string which is repeated several times into a + #define and use that macro instead. + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * src/autoplace.h, src/autoroute.h, src/djopt.h, src/drill.h, + src/heap.h, src/intersect.h, src/netlist.h, src/output.c, + src/rats.c, src/resmenu.h, src/rtree.h, src/selector.c, + src/vector.h: RCS Id police + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.in: regen + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am: minor fixes to get the distcheck target working + again. + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add a note about the centroid and bill of materials + output. While here add a feature list near the top of the document + to help a new user quickly answer the question "what is pcb and what + can it do?". + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * src/resmenu.c: RCS Id and config.h police + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * src/print.c: When printing to RS-274-X also generate a centroid + data file (X-Y data) with the required data to drive a pick and + place machine. The centroid of each part is calculated from the + center of each pin/pad. The rotation is determined by looking at + the angle of pin1 relative to the centroid. In addition, generate a bill of materials file. This lists the + part, quantity, and list of reference designators. + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * src/res_lex.l, src/res_parse.y, src/resmenu.c: fix some 64-bit + bugs to get this working on my alpha. Of prime importance, an int + isn't big enough to hold a pointer. + +2004-04-29 Dan McMahill * dan AT mcmahill dot net * + + * src/resource.h: RCS Id police + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * src/res_lex.l, src/res_parse.y: RCS Id police + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * src/misc.c: put variable declarations at the beginning of + functions. Avoids syntax error on gcc-2.95 + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * lib/amp.inc, lib/bourns.inc, lib/johnstech.inc, + lib/minicircuits.inc, lib/panasonic.inc: fix the EXTRACT_END flag + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in, lib/common.m4, lib/cts.inc: add + cts library containing CTS series 742/3/4/5/6 resistor packs + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * lib/johnstech.inc, lib/smt.inc: fix refdes silk size + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * lib/dil.inc, lib/geda.inc: Fix SDIP (shrink DIP) footprints. + Patch from Wojciech Kazubski in RFE #929697 slightly modified by me. + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * lib/panasonic.inc: Correct the spacing between the rows of pads. + Also fix one of the pad widths on a footprint which was obviously + broken. + +2004-04-28 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: hires-ify the COMMON_SMT_DIL_MIL macro. The macro + already took input arguments in 1/1000 mil so now we simple only + reduce the resolution to 1/100 mil in the output instead of to 1 + mil. This also helps the COMMON_SMT_DIL_MM which calls the + COMMON_SMT_DIL_MIL macro. + +2004-04-27 Dan McMahill * dan AT mcmahill dot net * + + * src/tmp.txt: test commit #3 + +2004-04-27 Dan McMahill * dan AT mcmahill dot net * + + * src/tmp.txt: test commit #2 + +2004-04-27 Dan McMahill * dan AT mcmahill dot net * + + * src/tmp.txt: test commit + +2004-04-27 DJ Delorie * dj AT delorie dot com * + + * doc/version.texi: add version.texi for non-maintainers + +2004-04-27 DJ Delorie * dj AT delorie dot com * + + * doc/pcb.texi, src/Makefile.am, src/Makefile.in, src/Pcb.ad.in, + src/Pcb.ad.raw, src/Pcb.ad.small, src/action.h, src/dialog.c, + src/gather-actions, src/global.h, src/gui.c, src/main.c, + src/menu.c, src/menu.h, src/misc.c, src/misc.h, src/pcb-menu.res, + src/res_lex.l, src/res_parse.y, src/resmenu.c, src/resmenu.h, + src/resource.h, src/set.c, src/sizedialog.c: add file-driven menus + +2004-04-27 DJ Delorie * dj AT delorie dot com * + + * Makefile.in, ylwrap: add ylwrap + +2004-04-27 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: More autorouter improvements, some bug fixes + +2004-04-26 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/mtspace.c: Significant improvements to + autorouting of congested designs + +2004-04-25 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/global.h, src/rtree.c: Fix rtree memory management bugs + +2004-04-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: Fix a bug that allocates too much memory to store + pointers + +2004-04-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c, src/move.c: Fix some bugs with rtree based name + handling + +2004-04-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/find.c, src/rats.c: More autorouter + improvements. Improve trace appearance, slightly speed up and allow + it to use power planes + +2004-04-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/change.c, src/copy.c, src/file.c, src/insert.c, + src/move.c, src/polygon.c, src/polygon.h, src/remove.c, + src/rotate.c: Fix pin-in-poly bug; because polys can overlap can + never check one single polygon. + +2004-04-12 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/djopt.c: Restore mistakenly lost lines in + djopt, and various improvements to auto-router + +2004-04-11 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/move.c: Argh, another attempt to properly fix the bug + +2004-04-11 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/djopt.c, src/move.c: Fixup some errors introduced in last + patch + +2004-04-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/djopt.c: Fix segfault with edge-connector elements (pads on + both sides) + +2004-04-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c, src/insert.c, src/move.c, src/output.c: Various bug + fixes + +2004-03-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/autoroute.c, src/global.h, src/menu.c: Still + more improvements to the router, plus can show it's action on + screen. + +2004-03-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/box.h, src/mtspace.c, src/mtspace.h: More + improvements to the autorouter + +2004-03-26 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/create.c: Forbid diagonal pads when created externally with an + editor + +2004-03-25 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: Some improvements to autorouter - still need to + better handle mtspace structures so there is not so much duplicate + effort with multiple route styles + +2004-03-23 DJ Delorie * dj AT delorie dot com * + + * src/parse_y.y: Provide our own yywrap(), just in case. + +2004-03-22 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in: Fix a couple of key binding bugs + +2004-03-22 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: Fix some re-drawing issues after finding connections + +2004-03-22 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: Fix some autoroute bugs; add proper style + handling to autorouter + +2004-03-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/report.c, src/rtree.c: Provide better rtree visualization + capability + +2004-03-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c, src/change.c, src/create.c, src/dev_ps.c, + src/draw.c, src/global.h, src/misc.c, src/move.c, src/move.h, + src/mymem.c, src/print.c, src/printpanner.c, src/remove.c, + src/rotate.c, src/search.c: Use rtree to search element names; fix + postscript paper handling; fix silk names clipped over pins/pads + +2004-03-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c, src/rtree.h: Slight optimization of tree + construction, plus add means to visualize tree + +2004-03-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/file.c: Don't use _LOOP macros for writing files in order to + preserver ordering so that diff can be effectively used on pcb files + +2004-03-19 Dan McMahill * dan AT mcmahill dot net * + + * lib/johnstech.inc: increase soldermask relief on mounting pads + +2004-03-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/const.h, src/draw.c, src/polygon.c: Use sqrt(2)/2 defined in + math library when available + +2004-03-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rats.c: Handle shorts to unnamed elements and pins + +2004-03-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/line.c: Forgot to check this in with the _LOOP macro changes + +2004-03-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/dev_ps.c, src/print.c: Add assembly drawing output for + postscript + +2004-03-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/autoplace.c, src/autoroute.c, src/buffer.c, + src/change.c, src/copy.c, src/create.c, src/crosshair.c, + src/dev_ps.c, src/dev_rs274x.c, src/djopt.c, src/draw.c, + src/drill.c, src/file.c, src/find.c, src/global.h, src/library.c, + src/macro.h, src/menu.c, src/mirror.c, src/misc.c, src/move.c, + src/mymem.c, src/netlist.c, src/pinout.c, src/polygon.c, + src/print.c, src/rats.c, src/remove.c, src/report.c, src/rotate.c, + src/rtree.c, src/rubberband.c, src/search.c, src/select.c, + src/set.c, src/undo.c: Change _LOOP macros so that gdb can break + inside the loop + +2004-03-17 Dan McMahill * dan AT mcmahill dot net * + + * src/rtree.c: revert last change. Some compilers including + gcc-2.95.3 do not like it. ok'ed by harry. + +2004-03-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoplace.c, src/intersect.c: Various fixes to autoplacement + code + +2004-03-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/set.c: auto drc bug fix + +2004-03-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c: Acknowledge placement + +2004-03-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/netlist.c, src/rats.c: Fix memory leaks + +2004-03-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rotate.c: corrctly distinguish rubberand rat lines + +2004-03-11 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: Remove unnecessary union identifier to clarify code + +2004-03-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c: Fix polygon insert point bug introduced when + reorganizing code + +2004-03-10 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: 100 pin QFP packages have 100 pins not 72 + +2004-03-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/error.c: Fix for bad pipe handling under cygwin + +2004-03-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: Minimize rectangle fills + +2004-03-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Oops - this fixes the error introduced moving to + IsPadInPolygon + +2004-03-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c: Use SQUARE macro + +2004-03-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/change.c, src/create.c, src/rats.c: clean up + code to use SQUARE macro and fix via mask update bug + +2004-03-09 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c, src/find.c, src/find.h, src/polygon.c, src/print.c, + src/search.c, src/search.h: Erase silk over solder regions when they + cross and display that way on screen + +2004-03-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: Streamline code for clustering + +2004-03-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in, src/action.c: Added a function { AddRats(Close) + bound to shift-n } that selects the shortest unselected ratline and + centers the screen view on it. + +2004-03-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c, src/crosshair.h, src/line.c, src/line.h: New + Auto-DRC line drawing mode implemented. + +2004-03-07 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c, src/draw.h: Forgot to checkin draw.h; fix some bugs + with really high zoom + +2004-03-07 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: Avoid doubling DRC clearance between non-clearing + polygons and square pins/pads + +2004-03-07 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/buffer.c, src/change.c, src/create.c, + src/dialog.c, src/draw.c, src/find.c, src/global.h, src/move.c, + src/move.h, src/mymem.c, src/output.c, src/printpanner.c, + src/remove.c, src/rotate.c, src/rtree.c, src/search.c, src/select.c: + Fixes for various bugs introduced with the rtree database + infrastructure + +2004-03-07 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/menu.c, src/misc.c: A couple of bug fixes: absolute negative + value entries (e.g. =-4) also check the correct zoom level in the + menu + +2004-03-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/select.h: Fix conditional compile variable name + +2004-03-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/library.c, src/mymem.c, src/output.c, src/polygon.c: Fixes for + several memory leaks and some uninitialized varibable bugs + +2004-03-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/file.c, src/parse_l.l, src/parse_y.y: Save the DRC settings in + the board file + +2004-03-04 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in, src/dev_ps.c, src/global.h, src/printdialog.c, + src/printpanner.c: Fix the postscript print panner bugs introduced + with hi-res; also fix the long-standing bug where the default media + selection wasn't used + +2004-03-04 Dan McMahill * dan AT mcmahill dot net * + + * src/select.h: one more regex bug fix noted by Matt Ettus + +2004-03-04 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: document the ToggleVisibility action + +2004-03-04 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/action.h, src/main.c: Added a new action which + will toggle the visibility of layers without clicking on them. + Intended to be bound to keys. Patch provided by Matt Ettus in patch + #908658. + +2004-03-04 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/menu.c, src/select.c: enable the regex select + stuff. A trial of a regex select of some components seems to work. + Prompted by patches provided by Matt Ettus in patch submission + 908651. + +2004-03-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: a HEADER60_2 should have 60 pins not 50 as noted in + bug report 900231 + +2004-03-03 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * globalconst.h, src/action.c, src/draw.c, src/misc.c, src/move.c, + src/report.c: Fixes for element line boundry handling + +2004-03-01 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/move.c: Fix for search element bounding box error + +2004-03-01 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c, src/crosshair.h: These were updated too for the + auto-DRC infrastructure + +2004-03-01 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/change.c, src/control.c, src/control.h, + src/find.c, src/find.h, src/global.h, src/misc.c, src/misc.h, + src/move.c, src/output.c, src/search.c, src/set.c: Some intersection + bug fixes and more rtree infrastructure change Also more ground work + for auto drc line mode + +2004-02-29 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.in: Regenerate. + +2004-02-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/buffer.c, src/change.c, src/const.h, + src/create.c, src/draw.c, src/find.c, src/global.h, src/insert.c, + src/menu.c, src/misc.c, src/misc.h, src/move.c, src/remove.c, + src/search.c, src/set.c, src/undo.c: More usage of rtrees for rats, + pins and pads. Some foundation work for a new auto-drc line drawing + mode, and some bug fixes. + +2004-02-27 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c, src/create.c, src/find.c, src/global.h, src/menu.c, + src/misc.c, src/move.c, src/mymem.c, src/remove.c, src/rtree.c, + src/rtree.h: Store pins/pads in rtree + +2004-02-27 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: Fixes for some compiler warnings + +2004-02-27 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/clip.c, src/clip.h: Oh Yeah, the drawing clipping won't work + without these files! + +2004-02-27 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Makefile.am, src/Makefile.in, src/action.c, src/create.c, + src/data.c, src/data.h, src/draw.c, src/global.h, src/insert.c, + src/insert.h, src/line.c, src/line.h, src/macro.h, src/misc.c, + src/output.c, src/parse_y.y, src/polygon.c, src/report.c: Fixes for + clipping the drawing. Simple saturation could change the geometry + when zoomed way in. Also some organizational changes to make the + code easier to maintain. + +2004-02-27 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: clarify the pad creation a little + +2004-02-27 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/misc.c: Fix for text bounding box error + +2004-02-25 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/const.h, src/crosshair.c, src/menu.c: Add a + feature to display design-rule clearance arround prospective + line/arc/via + +2004-02-25 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c, src/search.c: Fix some DRC bugs with square pins + +2004-02-20 Dan McMahill * dan AT mcmahill dot net * + + * lib/misc.inc: whitespace fix + +2004-02-19 Dan McMahill * dan AT mcmahill dot net * + + * lib/connector.inc: add a PKG_CONNECTOR_DIL for 2 column headers + with DIL pin numbering. This fixes the HEADER*_1 entries in the + geda footprint library. + +2004-02-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c: Fix typo that caused bug in via tree handling. + +2004-02-17 Dan McMahill * dan AT mcmahill dot net * + + * lib/generic.list: remove extra whitespace in generic208_lqfp + +2004-02-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/draw.c, src/find.c, src/polygon.c, + src/polygon.h, src/print.c, src/report.c, src/rtree.c: Bug fixes for + design rule checking + +2004-02-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: Make rtree routines reentrant since now they are used + that way when drawing during DRC. + +2004-02-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/autoplace.c, src/autoroute.c, src/buffer.c, + src/change.c, src/copy.c, src/create.c, src/dev_ps.c, src/draw.c, + src/file.c, src/find.c, src/find.h, src/global.h, src/insert.c, + src/macro.h, src/main.c, src/mirror.c, src/mirror.h, src/misc.c, + src/misc.h, src/move.c, src/move.h, src/mtspace.c, src/mymem.c, + src/mymem.h, src/parse_y.y, src/pinout.c, src/polygon.c, + src/polygon.h, src/print.c, src/rats.c, src/remove.c, src/report.c, + src/rotate.c, src/rotate.h, src/rtree.c, src/rtree.h, + src/rubberband.c, src/search.c, src/search.h, src/select.c, + src/undo.c: Large number of changes to keep most of the database in + rtrees and avoid linear searches + +2004-02-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Fix minor bug in pad clearance adjustment + +2004-02-15 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.in: regen after adding compat.c/h + +2004-02-15 Dan McMahill * dan AT mcmahill dot net * + + * src/Makefile.am, src/compat.c, src/compat.h, src/draw.c, + src/set.c: add a place for putting our own implementation of + missing/broken functions. For now add a logf and expf to help + solaris. + +2004-02-15 Dan McMahill * dan AT mcmahill dot net * + + * tools/Makefile.am, tools/Makefile.in: install MergePCBPS and + Merge_dimPBPS + +2004-02-15 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in, configure: regen after adding logf and expf checks + +2004-02-15 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add checks for logf and expf + +2004-02-15 Dan McMahill * dan AT mcmahill dot net * + + * src/create.c, src/dev_ps.c, src/dev_rs274x.c, src/draw.c, + src/file.c, src/global.h, src/parse_l.l, src/parse_y.y: add a way to + control the scale factor associated with thermals. This is a global + value stored in the .pcb file. The default gives the same behaviour + as previous version. By increasing the scale factor, the width of + the spokes increases. Eventually it would be nice to make this be + per pin/via. + +2004-02-15 Dan McMahill * dan AT mcmahill dot net * + + * src/report.c: correct the drill diameter in the drill report after + the hi-res changes + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Fixed syntax error and potential bug + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: Elliminate pre-computing of octagons - there are too + many with .01 mil resolution + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c, src/polygon.c: More non-clearing polygon fixes + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c, src/dev_rs274x.c, src/draw.c, src/macro.h, + src/menu.c, src/polygon.c, src/print.c, src/rubberband.c: Fixed some + bugs with non-clearing polygons and made GROUP_LOOP macro + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/crosshair.c, src/macro.h: Fix arc creation tool + when crosshair is on-axis with start point + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/report.c, src/report.h: Report on points and fix some + formating + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/set.c: Fix formatting mismatch + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Fixup includes and trivial bug + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/move.c: fix includes for Message() + +2004-02-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/menu.c: add left/right buffer mirror menu entry + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in, src/action.c, src/find.c, src/global.h, + src/insert.c, src/main.c, src/polygon.c, src/polygon.h, + src/print.c, src/report.c, src/set.c, src/sizedialog.c: More + complete and sensible DRC checking + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/move.c, src/search.c: Prevent changing layers of locked + objects, find ratlines before other layer objects + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/buffer.c, src/buffer.h, src/menu.c: Added + function to mirror the buffer + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c: Recalculate arc bounding box when swaping the side + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/dialog.c: Updated about dialog + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rats.c: Use warn color on pins/pads even when netname is known + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Only change masks with size change when they're + non-zero to begin with + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Allow +0 change to mask size to make it equal the + underlying copper + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/change.c, src/select.c: Proper handling of all + text scalings + +2004-02-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/select.c: Handle element name size changing + properly + +2004-02-12 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Automatically adjust mask size when copper size is + changed + +2004-02-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/print.c: Fixed output bug with persistant thermal changes + +2004-02-09 Dan McMahill * dan AT mcmahill dot net * + + * tools/Makefile.in: regen after adding Merge_dimPCBPS + +2004-02-09 Dan McMahill * dan AT mcmahill dot net * + + * tools/Makefile.am: add Merge_dimPCBPS + +2004-02-09 Dan McMahill * dan AT mcmahill dot net * + + * tools/MergePCBPS, tools/Merge_dimPCBPS: #/bin/sh -> #!/bin/sh to + make these execute correctly. While here, add RCS Id's. + +2004-02-07 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: Find more errors when skipping through DRCs + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/find.c, src/find.h: Added feature to continue + checking drc after first error is found + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Prevent clearance size adjustment from changing + joined lines to unjoined + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/set.c: Fix bug where local reference mark was not erased + properly + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/file.c: Fix bug where loading pcb didn't restore saved view + position correctly + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/global.h, src/undo.c: Fixed undo change text size bug + introduced with hi-res changes + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: Special drawing mode to examine planes for break-up + due to tracks routing through them. + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * tools/Merge_dimPCBPS: Add a tool to merge to PCB postscript files + where the first one is printed in a light gray color. This is useful + for making an assembly drawing where the front tracks are shown in + light gray while the silkscreen is solid black. + +2004-02-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c, src/macro.h, src/polygon.c, src/print.c: Make + persistant thermal flags for easier changes to polygons + +2004-02-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/const.h, src/menu.c: Add mode for poly viewing + to help spot broken planes + +2004-02-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/main.c: Fix text scaling intialization + +2004-02-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/dev_rs274x.c: Fix a couple of gerber bugs introduced with + hi-res + +2004-02-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Warn on unplated holes piercing polygons + +2004-02-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/print.c: Don't clear pure-holes in polygons + +2004-02-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Fix bug in PIP flags + +2004-02-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/dev_rs274x.c, src/find.c, src/find.h, src/print.c: Fixed some + gerber hi-res bugs and some complex ground-plane print issues + +2004-02-04 DJ Delorie * dj AT delorie dot com * + + * example/libraries/Makefile.in: * Detect re_comp(), regcomp(), and (select.c doesn't use + the new HAVE_* yet, this is a prelude to it). * Set -DNDEBUG for all src/* compiles. * Support maintainer mode (--enable-maintainer-mode) (I got tired of having half the world regenerated just because I did a "cvs + update") * Detect tgif, if not found pad.{png,eps} just isn't built (what's that for, anyway?) Prebuilt copies of those should be checked in too at some point. + +2004-02-04 DJ Delorie * dj AT delorie dot com * + + * Makefile.in, README_FILES/Makefile.in, aclocal.m4, config.h.in, + configure, configure.ac, doc/Makefile.am, doc/Makefile.in, + example/Makefile.in, lib/Makefile.in, + newlib/2_pin_thru-hole_packages/Makefile.in, newlib/Makefile.in, + newlib/analog-devices/Makefile.in, newlib/burr-brown/Makefile.in, + newlib/connectors/Makefile.in, newlib/crystal/Makefile.in, + newlib/cypress/Makefile.in, newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.am, + src/Makefile.in, src/icons/Makefile.in, src/script/Makefile.in, + tools/Makefile.in, tutorial/Makefile.in: * Detect re_comp(), regcomp(), and (select.c doesn't use + the new HAVE_* yet, this is a prelude to it). * Set -DNDEBUG for all src/* compiles. * Support maintainer mode (--enable-maintainer-mode) (I got tired of having half the world regenerated just because I did a "cvs + update") * Detect tgif, if not found pad.{png,eps} just isn't built (what's that for, anyway?) Prebuilt copies of those should be checked in too at some point. + +2004-02-04 DJ Delorie * dj AT delorie dot com * + + * src/draw.c: Don't assume that an all-ones pixel is white. + +2004-02-03 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/menu.c: Fixes for hi-res bugs + +2004-02-03 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/print.c: Changes to the fab drawing for improved clarity and + allows for a an outline route by naming a layer "outline" or "route" + +2004-02-03 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/error.c: Added a line count to Message mechanism + +2004-02-03 Dan McMahill * dan AT mcmahill dot net * + + * NEWS: note harry's hi-res changes. + +2004-02-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/heap.c, src/heap.h: More speed-ups for the + router + +2004-02-01 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/print.c: Change gerber drill files to have .cnc suffix and + name the component side output group "front", the solder-side output + "back" + +2004-02-01 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/parse_y.y: A couple of bug fixes for arc + handling in hi-res + +2004-01-31 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c: More speed-up changes. Limit the intial search in + FindIntersecting + +2004-01-31 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c: Minor comment changes and some tiny tweaks + +2004-01-31 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/buffer.c: Fix bug in element name mirroring when elements are + created on the solder side + +2004-01-30 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/main.c: Fix initial screen/offscreen zoom + +2004-01-30 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/polygon.c: Some Hi-res fixes plus elliminat too close to + polygon concept + +2004-01-30 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/output.c: Fixed the auto-scroll broken when switched to hi-res + +2004-01-30 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rats.c: Fix bug introduced changing to hi-res + +2004-01-29 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/box.h: More speed ups to the auto-router + code. This is only code streamlining, there is no algorithm change + +2004-01-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/kdtree.c, src/kdtree.h: The kdtree has been replaced with + rtree which is faster given the way it is used + +2004-01-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/rtree.c, src/rtree.h: rtree for faster rectangle intersection + searching + +2004-01-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Makefile.am, src/Makefile.in, src/autoplace.c, + src/autoroute.c, src/mtspace.c: replaced kd-tree with r-tree for + faster auto-routing + +2004-01-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c: Fix for smashing element in place + +2004-01-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/heap.h, src/kdtree.c, src/kdtree.h: Fixes for + auto-router with hi-res and some speed-ups of its operation + +2004-01-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: Speed up polygon/polygon intersection testing. The + special case is *all* points inside polygon, not just some points so + only one point need be tested + +2004-01-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/const.h, src/data.c, src/data.h, src/draw.c, src/draw.h, + src/file.c, src/global.h, src/macro.h, src/main.c, src/menu.c, + src/parse_y.y, src/pinout.c, src/set.c, src/set.h: Modifications to + support arbitrary zoom ratios + +2004-01-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/menu.c: Fix for intermediate zoom levels + +2004-01-18 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * globalconst.h, src/Pcb.ad.in, src/data.c, src/draw.c, + src/macro.h, src/output.c, src/parse_y.y, src/set.c: Added some + intermediate zooms, so now it goes by sqrt(2) + +2004-01-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/menu.c: Add menu item to auto-route only selected rats + +2004-01-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/output.c: Increase the minimum size of the panner control + +2004-01-17 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/main.c: Fix some default sizes for hi-res when no resource + file is available + +2004-01-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/action.h, src/menu.c: Added support to smash an + element in place + +2004-01-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/const.h, src/menu.c, src/misc.c, src/set.c: + Added Local reference measurement for line drawing and allow + not-overriding the mark position for moves and line-drawing + +2004-01-15 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in, src/action.c, src/main.c, src/set.c, src/set.h: Fix + to keep mode setting and add a function for moving an object by/to + numerical coordinates + +2004-01-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in, src/action.c, src/command.c, src/misc.c: Tweaks for + case-insensitive command arguments, keyboard adjust of grid and + fixed a couple of absolute/relative bugs. + +2004-01-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/misc.c: Turned off debugging messages + +2004-01-14 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/macro.h, src/misc.c, src/output.c: Fixes for several + solder-side viewing bugs introduced with hi-res changes + +2004-01-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/djopt.c: Fixed for new definition of mils for savings report + +2004-01-13 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/box.h, src/mtspace.c: Fix for mtspace coalesce; hi-res + requires floats for area computation + +2004-01-10 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/draw.c, src/report.c: Fixes for text scaling + +2004-01-10 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_y.y: One more hi-res buglet. Correct parsing of 'Arc'. + Thanks to Bill Wilson for catching this one. + +2004-01-09 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: partially undo the last change with respect to + quoting. Only quote $2. This keeps the reference designator from + being expanded as desired but lets the Description field get + expanded as desired. + +2004-01-08 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * Makefile.in, aclocal.m4, configure, doc/Makefile.in, + lib/Makefile.in, newlib/2_pin_thru-hole_packages/Makefile.in, + newlib/Makefile.in, newlib/analog-devices/Makefile.in, + newlib/burr-brown/Makefile.in, newlib/connectors/Makefile.in, + newlib/crystal/Makefile.in, newlib/cypress/Makefile.in, + newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Pcb.ad.in, + src/action.c, src/icons/Makefile.in, src/main.c, src/misc.c, + src/script/Makefile.in: Fixes for absolute/relative size change. + Also added repeat last typed command and fixed a few hi-res bugs. + +2004-01-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/set.c: Fixed sign display in fractional mil part of crosshair + +2004-01-06 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c: Fix for Display(Save|Restore) + +2004-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/print.c: fix generation of fab drawing with the high + resolution changes + +2004-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/dev_rs274x.c: fix a couple of other bugs related to the high + res changes. In particular, correct the aperture for the fab + drawing and for the outline. Also fix up text output. + +2004-01-05 Dan McMahill * dan AT mcmahill dot net * + + * lib/johnstech.inc: Convert to a high resolution footprint. Pads + are a little more accurate now. + +2004-01-05 Dan McMahill * dan AT mcmahill dot net * + + * src/dev_rs274x.c: correct the aperture definition output lines and + the drill tool definition output lines after the hi-res change. + Gerber output should be ok again. + +2004-01-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in: fixed missing continuation in scroll commands + +2004-01-05 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * configure, configure.ac, globalconst.h, src/Makefile.in, + src/Pcb.ad.in, src/action.c, src/action.h, src/autoplace.c, + src/autoplace.h, src/autoroute.c, src/autoroute.h, src/box.h, + src/buffer.c, src/buffer.h, src/change.c, src/change.h, + src/const.h, src/copy.c, src/copy.h, src/create.c, src/create.h, + src/crosshair.c, src/crosshair.h, src/data.c, src/data.h, + src/dev_ps.c, src/dev_rs274x.c, src/djopt.c, src/djopt.h, + src/draw.c, src/drill.h, src/file.c, src/find.c, src/find.h, + src/global.h, src/gui.c, src/gui.h, src/heap.h, src/insert.c, + src/insert.h, src/intersect.c, src/intersect.h, src/kdtree.c, + src/kdtree.h, src/macro.h, src/main.c, src/menu.c, src/mirror.c, + src/mirror.h, src/misc.c, src/misc.h, src/move.c, src/move.h, + src/mtspace.c, src/mtspace.h, src/netlist.c, src/netlist.h, + src/output.c, src/output.h, src/parse_y.y, src/pinout.c, + src/polygon.c, src/polygon.h, src/print.c, src/print.h, src/rats.c, + src/report.c, src/rotate.c, src/rotate.h, src/rubberband.c, + src/search.c, src/search.h, src/set.c, src/set.h, src/sizedialog.c, + src/undo.c, src/undo.h, src/vector.h: Many changes to add 0.01 mil + resolution + +2004-01-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/change.c: Display pin/pad number when prompting for name + +2004-01-02 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: Minor correction to not attempt to write vertical null + strings + +2004-01-02 Dan McMahill * dan AT mcmahill dot net * + + * doc/refcard.tex: add missing RCS Id + +2004-01-02 Dan McMahill * dan AT mcmahill dot net * + + * src/autoplace.c, src/autoplace.h, src/autoroute.c, + src/autoroute.h, src/box.h, src/djopt.c, src/djopt.h, src/drill.h, + src/gui.c, src/gui.h, src/heap.c, src/heap.h, src/intersect.c, + src/intersect.h, src/kdtree.c, src/kdtree.h, src/mtspace.c, + src/mtspace.h, src/netlist.c, src/netlist.h, src/rats.c, + src/vector.c, src/vector.h: add missing RCS Id + +2004-01-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: - modify the 2 pad smt base definition to put the origin of the + footprint at the common centroid. Useful both for driving pick and + place as noted in bug report 716519 and for centering a part on a + grid. - while here make sure the $1, $2, and $3 arguments to the various macros are properly quoted. This helps avoid some obscure bugs with generated layouts from gEDA. + +2004-01-01 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/draw.c: Font metrics need to be outside TO_DRAW() macros + +2004-01-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: fix some quoting + +2004-01-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/amphenol.inc: bump copyright date + +2004-01-01 Dan McMahill * dan AT mcmahill dot net * + + * lib/amphenol.inc: properly pass down the arguments to the + underlying macro for the PKG_AMPHENOL_ARFX123{0,1,2} packages + +2004-01-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/wishlist.txt: add element versioning and database of good + elements + +2004-01-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/wishlist.txt: add a features wish list. not sure if this is + the best place for such a thing, but for now it'll do. + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.in: regen after adding amp library + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/amp.inc, lib/common.m4: add Amp connector + library. Currently its populated with the 767054 series of Mictor + connectors. + +2003-12-31 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/menu.c: Fixed menu selection of metric grids: The + C-preprocessor won't change string literals of course. + +2003-12-31 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/Pcb.ad.in, src/const.h, src/draw.c, src/misc.c: Added vertical + text support for pinout descriptions + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: with reverse order numbering in COMMON_SMT_DIL_MIL + and COMMON_SMT_DIL_MM, make sure the pin number matches the pin + name. + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/panasonic.inc: fix typo in a comment + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/smt.inc: add SC70_3, SC70_4, SC70_5, and SC70_6 + footprints (the 3,4,5, and 6 pin SC70 family). + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/to.inc: rename the SOT23 and SOT323 footprints here to + SOT23_CEL and SOT323_CEL to avoid a conflict with the ones defined + in the geda library. The "CEL" part reflects that the pin numbering + is the one used by CEL which is different from what others seem to + use. + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.in: regen after adding panasonic library + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/common.m4, lib/panasonic.inc: Add the + panasonic EXB series of chip resistor arrays. + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: deal with SMT DIL packages with an odd number of pads + per side + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: add an extra argument to COMMON_SMT_DIL_MIL and + COMMON_SMT_DIL_MM to allow the pins to go in the reverse order to + deal with some non-standard pinouts like the mini-circuits KK81 + package. + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.in: regen after adding minicircuits + +2003-12-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/common.m4, lib/minicircuits.inc: add several + minicircuits packages + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.in: regen after adding bourns library + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/smt.inc: - add a handful of 3 pin SMT EMI filter footprints - add US* family of SMT packages. + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/connector.inc: add through hole test point footprint. + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/common.m4: include the bourns library + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/amphenol.inc, lib/amphenol.list, lib/amphenol.m4: add some + more SMA connectors + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/bourns.inc: add bourns 3224G, 3224J, 3224W, + and 3224X trim pots + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add note about the dangers of whitespace in .list + files + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/amphenol.m4: correct capitalization for amphenol_ARFX1229 + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/amphenol.list: remove extra whitespace at end of ARFX1229 line + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.in: regen after adding amphenol library + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/amphenol.inc, lib/amphenol.list, + lib/amphenol.m4, lib/common.m4: Add amphenol connectors. Start out + with the ARFX1229 SMA connector. + +2003-12-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/connector.inc, lib/geda.inc: add MTA100 connectors + +2003-12-30 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/autoplace.c, src/buffer.c, src/change.c, + src/copy.c, src/create.c, src/crosshair.c, src/dev_ps.c, + src/dev_rs274x.c, src/dialog.c, src/draw.c, src/drill.c, + src/file.c, src/find.c, src/library.c, src/menu.c, src/mirror.c, + src/misc.c, src/move.c, src/mymem.c, src/netlist.c, src/pinout.c, + src/polygon.c, src/print.c, src/rats.c, src/remove.c, src/report.c, + src/rotate.c, src/rubberband.c, src/search.c, src/select.c, + src/set.c, src/undo.c: Ok, this complete the formating changes. + Whew! + +2003-12-29 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/file.c: Fixed another glitch during format change + +2003-12-29 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c: Fixed a polygon/polygon intersection bug where a wrong + point index was used + +2003-12-29 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/file.c: Restored accidentally deleted line during formating + change. + +2003-12-29 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/action.c, src/autoplace.c, src/buffer.c, src/change.c, + src/command.c, src/control.c, src/copy.c, src/create.c, + src/crosshair.c, src/dev_ps.c, src/dev_rs274x.c, src/dialog.c, + src/draw.c, src/drill.c, src/error.c, src/file.c, src/fileselect.c, + src/find.c, src/gui.c, src/insert.c, src/kdtree.c, src/lgdialog.c, + src/library.c, src/log.c, src/main.c, src/menu.c, src/mirror.c, + src/misc.c, src/move.c, src/mtspace.c, src/mymem.c, src/netlist.c, + src/output.c, src/pinout.c, src/polygon.c, src/print.c, + src/printdialog.c, src/printpanner.c, src/rats.c, src/remove.c, + src/report.c, src/rotate.c, src/rubberband.c, src/search.c, + src/select.c, src/set.c, src/sizedialog.c, src/undo.c, src/vector.c: + Cleaned up coding formating from long-ago indent diaster with _LOOP + macros. These should be formating changes only. + +2003-12-28 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/insert.c, src/polygon.c, src/remove.c, src/search.c, + src/search.h, src/undo.c: fixed polygon undo bugs; speed-up of undo + operations + +2003-12-26 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Use the layer groups to determine which layers are + solder and component. Fix bugs in check2 and padcleaner where + deleted lines weren't skipped. + +2003-12-26 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/djopt.c: added undo capability for trace optimizations + +2003-12-25 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/autoroute.c, src/copy.c, src/dev_rs274x.c, src/draw.c, + src/find.c, src/macro.h, src/polygon.c, src/print.c, + src/rubberband.c, src/undo.c: using macro LAYER_PTR(n) to go from + layer number to pointer. this simplifies the code a little bit + +2003-12-25 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/move.c, src/remove.c: simplified ObjectMove undo serial number + handling + +2003-12-24 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/select.c: fixed bug where null F->Pad could be called (e.g. + change drill size) + +2003-12-22 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/netlist.c: Both ends of an added rat line must have named + elements + +2003-12-22 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/find.c, src/search.c: Fixed various DRC errors with arcs, + square pads and square pins + +2003-12-21 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/netlist.c: Disallow drawing rat-lines to unnamed elements; + fixed a problem with netlist window being doubly disposed. + +2003-12-20 Dan McMahill * dan AT mcmahill dot net * + + * lib/smt.inc: add -*- m4 -*- + +2003-12-17 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in, lib/common.m4, + lib/gen_list.awk, lib/gen_m4.awk, lib/johnstech.inc: add footprints + for Johnstech Evaluation Socket for QFN packages Johnstech Socket + Part Numbers 724810 through 724839. Note, the footprint has been + checked by hand but not yet verified through fabrication. + +2003-12-01 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen after -R fix + +2003-12-01 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: When trying out the various -R, --rpath, etc. + compiler flags, actually try them out instead of just claiming to. + Addresses part of bug report 850369 filed by Tom Saunders. + +2003-11-29 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/crosshair.c: Allow snap to line end-points and vias when + off-grid + +2003-11-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/dev_rs274x.c: restored bug fixes that got lost, fixed some + bugs and cleaned the code a little + +2003-11-20 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/dev_rs274x.c: removed #include that accidentally got + in on the last commit + +2003-11-19 Harry Eaton * haceaton AT users dot sourceforge dot net * + + * src/dev_rs274x.c, src/print.c: Changed print.c and dev_rs274x.c in + order to provide negative image ground planes for gerber output when + possible. Some fab vendors can't handle or charge extra for + composite ground planes which is the motivation for this. Negative + image planes are made when (1) There are no lines, arcs, text, or + pads on a layer and (2) There is exactly 1 polygon on the layer and (3) All vias/holes/pins pierce the polygon. The polygon is then + assumed to consume all of the area and only the thermal reliefs and + pin/via clearances are needed. haceaton 11/19/03 + +2003-11-13 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.in: regen + +2003-11-13 Dan McMahill * dan AT mcmahill dot net * + + * doc/Makefile.am: add rules for creating postscript and png from a + tgif drawing. Needed for improved docs. + +2003-11-13 Dan McMahill * dan AT mcmahill dot net * + + * config.h.in: regen after adding Xpm check + +2003-11-13 Dan McMahill * dan AT mcmahill dot net * + + * src/print.c: Change how the polarity of the soldermask relief + layer is handled. The polarity is not changed but it now correctly + works for postscript output as well as gerber output. Previously + the postscript output produced white on a white background or black + on a black background. This addresses PR 825680 filed by Russ Dill. + +2003-11-08 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: use AC_PATH_PROGS to search through a + list of candidates for wish + +2003-11-08 Dan McMahill * dan AT mcmahill dot net * + + * configure, src/Makefile.in, src/script/Makefile.in: regen after + moving the pcb script to a subdirectory. + +2003-11-08 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, src/Makefile.am, src/pcb.in, + src/script/Makefile.am, src/script/pcb.in: move the pcb script to a + subdirectory to avoid name conflicts with the Pcb application + default file on systems such as cygwin that are not case sensitive. + +2003-11-08 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: search for libXpm which is needed on + cygwin libXaw + +2003-11-08 Dan McMahill * dan AT mcmahill dot net * + + * configure, configure.ac: add a few more variants of wish (wish83, + cygwish80, etc) to search for + +2003-10-15 Dan McMahill * dan AT mcmahill dot net * + + * src/dev_rs274x.c: fix two bugs related to gerber file generation. + The first bug is triggered when the first aperture used in a file is + the same as the last aperture used in the previous file. In this + case the aperture selection code is missing from the output file. The second bug is when the first point drawn has its Y coordinate + (in PCB coordinates) equal to zero. The output will be at gerber Y + coordinate zero which is on the opposite side of the board. Thanks to Gabriel Paubert (paubert at iram dot es) for noting these + bugs and supplying a patch. + +2003-10-13 Dan McMahill * dan AT mcmahill dot net * + + * src/report.c: correct the reporting of soldermask relief for pads + and via's. Patch provided by Gabriel Paubert, paubert at iram dot + es on the geda-dev mailing list. + +2003-10-11 Dan McMahill * dan AT mcmahill dot net * + + * src/report.c: show pad names in object report. patch supplied in + bug report 787711 by Olof Tangrot. + +2003-10-11 Dan McMahill * dan AT mcmahill dot net * + + * src/cmask.grb, src/cpaste.grb, src/csilk.grb, src/pdrill.grb, + src/smask.grb, src/spaste.grb, src/ssilk.grb, src/udrill.grb: remove + some output files which should have never been in CVS. + +2003-10-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.in: regen after qfn.inc additions + +2003-10-03 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/common.m4, lib/geda.inc, lib/qfn.inc: add 60 + members of the Quad Flat No-lead (QFN) package family. Based on + package drawings downloaded from www.maxim-ic.com and Intersil + technical brief TB389.1 "PCB Land Pattern Desugn and Surface Mount + Guidelines for QFN (MLFP) Packages". + +2003-10-01 Dan McMahill * dan AT mcmahill dot net * + + * doc/Xdefaults.tgif, doc/pad.obj: add a figure to help with + describing the pads. Also add an Xresources file needed to convert + the tgif drawing to png + +2003-09-30 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: update copyright for last changes + +2003-09-30 Dan McMahill * dan AT mcmahill dot net * + + * configure, example/Makefile.in, example/libraries/Makefile.in: + regen after example/libraries addition + +2003-09-30 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac, example/Makefile.am: add example/libraries/Makefile + +2003-09-30 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi, example/libraries/Makefile.am, + example/libraries/example.inc, example/libraries/example.list, + example/libraries/example.m4: Add two new chapters to the manual. + The first attempts to provide some more documentation about library + creation. It includes a complete example of adding footprints using + M4 style libraries as well as giving instructions on creating newlib + style footprints. The second added chapter shows how to take a + design from start to finish using gEDA as the schematic capture and + PCB as the layout tool. This chapter still needs work, but early + feedback is good and its better than no documentation. + +2003-09-03 DJ Delorie * dj AT delorie dot com * + + * src/dev_ps.c, src/dev_rs274x.c, src/draw.c, src/drill.c, + src/print.c, src/report.c: Add FAB drawing page. Use thinner traces + for larger fonts. + +2003-09-03 Dan McMahill * dan AT mcmahill dot net * + + * aclocal.m4, configure: regen + +2003-09-03 Dan McMahill * dan AT mcmahill dot net * + + * acinclude.m4, configure.ac: don't hardcode -lXaw in the FUNCPROTO + and related tests. + +2003-09-03 Dan McMahill * dan AT mcmahill dot net * + + * configure: regen + +2003-09-03 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add a --with-xaw= option that lets you select an + alternative to Xaw. For example, --with-xaw=Xaw3d will search for + the Xaw3d library. + +2003-09-03 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add brief section about the trace optimizer. + +2003-08-31 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc: change 'Square' to 'Rectangular' to the comment for + the rectangular QFP section. + +2003-08-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/gen_geda_list.awk, lib/gen_geda_m4.awk: remove the lines which + tried to copy the source file RCS Id to the generated files. CVS + broke this feature by expanding keywords on me. + +2003-08-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.list, lib/geda.m4: these files are autogenerated now + +2003-08-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/qfpdj.inc: rework the QFP packages fixing + several bugs and greatly expanding the footprint database. Patches + supplied in bug report 785400 by Wojciech Kazubski. Many thanks for + the contribution! + +2003-08-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/common.m4: add qfpdj.inc to the include list + +2003-08-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/CreateLibraryContents.sh.in: when given a -I flag, look both + there and the current directory for .list files. Needed for + building outside the source tree directory. + +2003-08-30 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am, lib/Makefile.in, lib/gen_geda_list.awk, + lib/gen_geda_m4.awk: add scripts which autogenerate geda.m4 and + geda.list from geda.inc. + +2003-08-26 Dan McMahill * dan AT mcmahill dot net * + + * lib/geda.inc, lib/geda.list, lib/geda.m4, lib/plcc.inc: Add + 20,28,32 pin PLCC footprints, both unsocketed and socketed (through + hole). Provided in bug report 777539 by Troy Jacobson. + +2003-08-23 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Support however many layers are configured. + +2003-08-16 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add info-dir entry + +2003-08-16 Dan McMahill * dan AT mcmahill dot net * + + * newlib/2_pin_thru-hole_packages/Makefile.in: regen + +2003-08-16 Dan McMahill * dan AT mcmahill dot net * + + * newlib/2_pin_thru-hole_packages/Makefile.am: Makefile.am is not a + library component so do not install it as one + +2003-08-15 Dan McMahill * dan AT mcmahill dot net * + + * README.snapshots: add a note on creating snapshots + +2003-08-15 Dan McMahill * dan AT mcmahill dot net * + + * doc/pcb.texi: add DJ and myself + +2003-08-14 Dan McMahill * dan AT mcmahill dot net * + + * lib/lsi.list, lib/lsi.m4: Remove extra space in one of the pin + names in AT90S2313_dil and add missing AT90S4434_dil AT90S8535_dil + AT90S8535_plcc. Patch provided in bug report 770829 by Daniel + Mooney (dbmk). + +2003-08-06 Dan McMahill * dan AT mcmahill dot net * + + * lib/texas_inst_voltage_reg.m4: fix parse error on uA7952C. Patch + provided in bug report 770829 by Daniel Mooney (dbmk). + +2003-08-05 Dan McMahill * dan AT mcmahill dot net * + + * lib/texas_inst_amplifier.m4: fix parse errors in TL083 and ua747. + Patch provided in bug report 770829 by Daniel Mooney (dbmk). + +2003-08-05 Dan McMahill * dan AT mcmahill dot net * + + * lib/jerry.m4: fix parse errors on DS1225 and DS1230. Patch + provided in bug report 770829 by Daniel Mooney (dbmk). + +2003-07-25 DJ Delorie * dj AT delorie dot com * + + * : second dummy commit + +2003-07-20 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs: note that autoconf 2.13 is not new enough and mention + how to get the version + +2003-07-20 DJ Delorie * dj AT delorie dot com * + + * src/dev_rs274x.c: polygon fills must have a defined aperture also + +2003-07-20 DJ Delorie * dj AT delorie dot com * + + * src/dev_rs274x.c, src/print.c: Solder mask layers are reliefs and + normally are positive prints. Support inverted gerber plots. + +2003-07-07 DJ Delorie * dj AT delorie dot com * + + * src/dev_rs274x.c: Properly scale outline and alignment. Add + segment to alignment to act as registration. + +2003-07-06 DJ Delorie * dj AT delorie dot com * + + * src/report.c: Sort drill report by hole size, list total hole + count. + +2003-07-02 DJ Delorie * dj AT delorie dot com * + + * src/djopt.c: Fix off-by-one bug in handling bloat. Be more + careful about cleaning up traces within pads. + +2003-07-01 DJ Delorie * dj AT delorie dot com * + + * src/sizedialog.c: The minimum size of a board should never be more + than the current actual size of the board. + +2003-06-25 DJ Delorie * dj AT delorie dot com * + + * src/Pcb.ad.in, src/change.c: Automatically set CLEARLINEFLAG if + the user modifies the clearance. Add keys for changing clearance by + selection instead of pointer. + +2003-06-22 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.in: Regenerate with djopt.c + +2003-06-22 DJ Delorie * dj AT delorie dot com * + + * src/action.c, src/const.h, src/crosshair.c, src/menu.c: Add + orthogonal move feature + +2003-06-22 DJ Delorie * dj AT delorie dot com * + + * src/Pcb.ad.in, src/action.c, src/const.h, src/draw.c, src/menu.c: + Add Thindraw + +2003-06-22 DJ Delorie * dj AT delorie dot com * + + * src/Makefile.am, src/Pcb.ad.in, src/djopt.c, src/djopt.h, + src/main.c, src/menu.c: Add trace optimizer. + +2003-06-13 Dan McMahill * dan AT mcmahill dot net * + + * Makefile.in, aclocal.m4, config.h.in, configure, depcomp: add + automake/conf output to make it easier for users to build + +2003-06-13 Dan McMahill * dan AT mcmahill dot net * + + * README_FILES/Makefile.in, doc/Makefile.in, example/Makefile.in, + lib/Makefile.in, newlib/2_pin_thru-hole_packages/Makefile.in, + newlib/Makefile.in, newlib/analog-devices/Makefile.in, + newlib/burr-brown/Makefile.in, newlib/connectors/Makefile.in, + newlib/crystal/Makefile.in, newlib/cypress/Makefile.in, + newlib/electro-optics/Makefile.in, + newlib/generic_SMD_packages/Makefile.in, + newlib/headers/Makefile.in, newlib/msp430/Makefile.in, + newlib/not_vetted_ingo/Makefile.in, newlib/sockets/Makefile.in, + newlib/tests/Makefile.in, newlib/toko/Makefile.in, src/Makefile.in, + src/icons/Makefile.in, tools/Makefile.in, tutorial/Makefile.in: add + the automake/conf generated files to make building from CVS sources + easier for people. + +2003-06-13 Dan McMahill * dan AT mcmahill dot net * + + * src/error.c: only declare sys_nerr if we're using the sys_errlist + interface. This fixes compilation on NetBSD/alpha using gcc-3.3. + +2003-06-13 Dan McMahill * dan AT mcmahill dot net * + + * src/dev_rs274x.c: remove unused varargs.h header which breaks + gcc-3.3 compilation + +2003-06-05 Dan McMahill * dan AT mcmahill dot net * + + * src/action.c, src/menu.c: Allow '=' at the beginning of the zoom + exponent to indicate an absolute number rather than a relative + number. This lets a value of "=-2" be used to indicate an absolute + -2 while "-2" still indicates a relative -2. Also correct the + position of the check mark on the zoom menu. Patch from DJ Delorie. + +2003-05-26 Dan McMahill * dan AT mcmahill dot net * + + * src/file.c, src/fileselect.c, src/misc.c, src/misc.h: Fix a bug in + the way the current working directory is found. This caused the + file dialog box to always start in the library tree directory. In addition add a loop in ParseLibraryTree() to allow multiple + newlib style directory tree's to be specified in the Xresource. + This provides an easy way to have per-user and per-project library + directories. These directories may either be specified as an + absolute or relative path. Patches provided by Tony (droghedra at users dot sourceforge dot + net) in bug report 736010 with minor changes by me. + +2003-05-22 Dan McMahill * dan AT mcmahill dot net * + + * lib/Makefile.am: add missing rules.inc + +2003-05-22 Dan McMahill * dan AT mcmahill dot net * + + * src/menu.c: add 2 more levels of zooming to the menu. Inspired by + an email from DJ Delorie on the gEDA mailing list. + +2003-05-21 Dan McMahill * dan AT mcmahill dot net * + + * src/parse_l.l: change yy_current_buffer to YY_CURRENT_BUFFER. + This addresses the build \ problem reported in bug 734403 and also + agrees with the man page for \ flex-2.5.4.\ \ In addition add a + %option yylineno to address part of bug 736010\ where the line + number associated with a parse error is incorrectly\ displayed as 1. + \ + +2003-05-20 Dan McMahill * dan AT mcmahill dot net * + + * lib/common.m4: add missing bga.inc and resistor_adjust.inc + included. The latter addresses part of bug report 734403. + +2003-03-17 Dan McMahill * dan AT mcmahill dot net * + + * : remove spurious file which should not have been imported. Noted + by Mark Becker. + +2003-03-17 Dan McMahill * dan AT mcmahill dot net * + + * ...: remove spurious file which should not have been imported. + Noted by Mark Becker. + +2003-03-05 Dan McMahill * dan AT mcmahill dot net * + + * src/error.c: if strerror() is present on our system then use it + instead of trying to use sys_errlist. Patch from harry, with the + check for strerror from me. + +2003-03-05 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: move the strerror test up in the configure process + so we don't need to link to the X libraries for the test. + +2003-03-05 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: add check for strerror() + +2003-02-24 Dan McMahill * dan AT mcmahill dot net * + + * src/autoroute.c: when checking for a NULL pointer compare to NULL + rather than 0 for enhanced portability. + +2003-02-21 Dan McMahill * dan AT mcmahill dot net * + + * configure.ac: bump to 1.99o since a 1.99n version was posted to + the geda list. We should avoid further updates to this version + number until a release. If users want snapshots, they can get them + from CVS. + +2003-02-21 Dan McMahill * dan AT mcmahill dot net * + + * src/kdtree.c: change c++ style comments to c style and remove the + use of non-static initializers to allow the SunPRO c compiler to be + able to compile this file. + +2003-02-21 Dan McMahill * dan AT mcmahill dot net * + + * src/autoroute.c: coding style fixes to allow this to be compiled + with the SunPRO c compiler. Changes are moving from c++ style + comments to c style comments and getting rid of non-static + initializers. + +2003-02-21 Dan McMahill * dan AT mcmahill dot net * + + * README.cvs: - add section on checking out via anoncvs and updating via anoncvs. - point to INSTALL document for what to do afte bootstrapping the + auto* tools + +2003-02-20 Dan McMahill * dan AT mcmahill dot net * + + * doc/Imakefile, doc/pcb.texi.in, doc/pcb.texi.raw, + doc/refcard.tex.in, doc/refcard.tex.raw: remove obsolete files + +2003-02-20 Dan McMahill * dan AT mcmahill dot net * + + * : these don't really belong in CVS. The sources are in the doc/ + directory and they do get generated and put into the distfile when a + release is made. + +2003-02-20 Dan McMahill * dan AT mcmahill dot net * + + * : remove obsolete file (the contents live in pcb/newlib/ now + +2003-02-20 Dan McMahill * dan AT mcmahill dot net * + + * lib/CreateLibrary.sh.raw, lib/CreateLibraryContents.sh.raw, + lib/Imakefile, lib/QueryLibrary.sh.raw: remove obsolete files + +2003-02-20 Dan McMahill * dan AT mcmahill dot net * + + * src/CreateSedScript.sh, src/Imakefile: remove obsolete files + +2003-02-20 Dan McMahill * dan AT mcmahill dot net * + + * src/1.grb, src/2.grb, src/3.grb, src/4.grb, src/5.grb: remove + unneeded output files + +2003-02-20 Dan McMahill * dan AT mcmahill dot net * + + * Initial revision + Index: oldlib/lib/CreateLibrary.sh.in =================================================================== --- oldlib/lib/CreateLibrary.sh.in (nonexistent) +++ oldlib/lib/CreateLibrary.sh.in (revision 1033) @@ -0,0 +1,65 @@ +#!/bin/sh +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# creates a library +# +# usage: CreateContents.sh library common_macro_file file... + +M4=@GNUM4@ + +while test -n "$1" ; do +case "$1" +in + -I|--include) + m4_flags="$m4_flags -I $2" + shift 2 + ;; + + -*) + echo "error: unknown flag $1 to $0" + exit 1 + ;; + + *) + break + ;; +esac +done + +if [ $# -lt 3 ]; then + echo "usage: $0 library common_macro_file file..." >&2; exit 1 +fi +Library=$1 +CommonFile=$2 +shift; shift + +if [ ! -r $CommonFile ]; then + echo "$0: file '$CommonFile' isn't readable or doesn't exit" >&2; exit 1 +fi + +echo "$M4 $m4_flags -F $Library $CommonFile $@" +$M4 $m4_flags -F $Library $CommonFile $@ Property changes on: oldlib/lib/CreateLibrary.sh.in ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/CreateLibraryContents.sh.in =================================================================== --- oldlib/lib/CreateLibraryContents.sh.in (nonexistent) +++ oldlib/lib/CreateLibraryContents.sh.in (revision 1033) @@ -0,0 +1,129 @@ +#!/bin/sh +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# create all objects as defined in passed list_files +# +# usage: CreateLibraryContents.sh common_macro_file file... + +M4=@GNUM4@ + +## +# Check for input flags +## + +while test -n "$1" ; do +case "$1" +in + -I|--include) + m4_flags="$m4_flags -I $2" + srcdir=$2 + shift 2 + ;; + + -*) + echo "error: unknown flag $1 to $0" + exit 1 + ;; + + *) + break + ;; +esac +done + +# a TAB plus one blank for some stupid old sed implementations +# +SPACE="[ ]" + +## +# Make sure we've been given a common file plus at least 1 list file +# as arguments +## + +if [ $# -lt 2 ]; then + echo "usage: $0 common_macro_file file..." >&2; exit 1 +fi +CommonFile=$1 +shift + +## +# Make sure the common file exists +## + +if [ ! -r $CommonFile ]; then + echo "$0: file '$CommonFile' isn't readable or doesn't exit" >&2; exit 1 +fi + +## +# Process the list files +## + +while [ $# -ne 0 ]; do +# strip the extension '.list' +# + + ## + # Turn something like foo.list in to + # /path/to/foo.m4 + ## + if [ -f $srcdir/$1 ]; then + ListFile="$srcdir/$1" + else + ListFile="$1" + fi + PlainFile=`dirname $ListFile`/`basename $ListFile .list` + M4File=$PlainFile.m4 + + if [ ! -r $ListFile ]; then + echo "$0: file '$ListFile' isn't readable or doesn't exit" >&2; exit 1 + fi + if [ ! -r $M4File ]; then + echo "$0: file '$M4File' isn't readable or doesn't exit" >&2; exit 1 + fi + + ## + # for foo.list spit out "TYPE=~foo". This defines the library + # in the PCB library window + ## + echo "TYPE=~`basename $PlainFile | sed -e 's/_/ /g'`" + + sed -e 's/'"$SPACE"'*#.*$//' \ + -e 's/'"$SPACE"'*:'"$SPACE"'*/:/g' \ + -e '/^'"$SPACE"'*$/d' $ListFile | + { + IFS=: + export IFS + while read mask package values; do + set -- $values + while [ $# -ne 0 ]; do + echo "\`$mask:$package:$1:'Description_$mask\` [$package'ifdef(\`Param1_$mask', \` Param1_$mask')\`'ifdef(\`Param2_$mask', \` Param2_$mask')]" + shift + done + done + } | $M4 $m4_flags $CommonFile $M4File - | sed -e '/^'"$SPACE"'*$/d' + shift +done Property changes on: oldlib/lib/CreateLibraryContents.sh.in ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/Makefile =================================================================== --- oldlib/lib/Makefile (nonexistent) +++ oldlib/lib/Makefile (revision 1033) @@ -0,0 +1,22 @@ +# This Makefile is a plain old hand written one; all configuration settings +# are included from ../Makefile.conf which is scconfig generated + +all: + +install_: + $(MKDIR) $(DATADIR) + $(CPC) `pwd`/ListLibraryContents.sh $(DATADIR)/ListLibraryContents.sh + +install: + make install_ CPC="$(CP)" + +linstall: + make install_ CPC="$(LN)" + +uninstall: + $(RM) $(DATADIR)/ListLibraryContents.sh + +clean: + +include ../Makefile.conf + Index: oldlib/lib/Makefile.am =================================================================== --- oldlib/lib/Makefile.am (nonexistent) +++ oldlib/lib/Makefile.am (revision 1033) @@ -0,0 +1,266 @@ +## -*- makefile -*- +## + +PCBLIBDIR= @PCBLIBDIR@ +LIBRARYFILENAME= @LIBRARYFILENAME@ + +pcblibdir= $(PCBLIBDIR) +pcblib_SCRIPTS= ${LIBSCRIPTS} +LIBSCRIPTS= \ + CreateLibraryContents.sh \ + CreateLibrary.sh \ + ListLibraryContents.sh \ + QueryLibrary.sh \ + qfp-ui + +dist_noinst_SCRIPTS= \ + m4lib_to_newlib.sh + +pcblib_DATA= ${LIBCONTENTS} ${DAT_FILES} + +LIBCONTENTS= \ + ${LIBRARYFILENAME} \ + ${LIBRARYFILENAME}.contents + + +# ###################################################### +# +# Process the entire m4lib library and create a newlib +# library from it. +# + +NEWLIB=${LIBRARYFILENAME}-newlib + +all-local: $(NEWLIB).stamp + +# if we have compiled in PNG export capability then +# generate previews of all the footprints. This has +# the added benefit of doing a PCB syntax check on all of them +if PNG_PREVIEW +PREVIEW= --png +PREVIEW_MSG= png-preview=yes +else +PREVIEW= +PREVIEW_MSG= png-preview=no +endif + +if BUILD_PCBLIB_NEWLIB +NEWLIB_DEPS= ${LIBCONTENTS} ${srcdir}/m4lib_to_newlib.sh +else +NEWLIB_DEPS= +endif + +${NEWLIB}.stamp : footprint.pcb ${NEWLIB_DEPS} + rm -fr ${NEWLIB} + ${SHELL} ${srcdir}/m4lib_to_newlib.sh --contents \ + ${LIBRARYFILENAME}.contents --output ${NEWLIB} \ + ${PREVIEW} --pcb "${PCB}" --awk "${AWK}" + echo '${PREVIEW_MSG}' > $@ + +# Remove any rebuilt footprints +if BUILD_PCBLIB_NEWLIB +distclean-local: + rm -fr ${NEWLIB} +endif + +install-data-local: ${NEWLIB}.stamp + if test -d ${NEWLIB} ; then \ + tar cf - ${NEWLIB} | (cd $(DESTDIR)$(PCBLIBDIR) && tar -xf -) ; \ + else \ + cd $(srcdir) && tar -cf - ${NEWLIB} | (cd $(DESTDIR)$(PCBLIBDIR) && tar -xf -) ; \ + fi + +uninstall-local: + chmod -R a+w $(DESTDIR)$(PCBLIBDIR)/${NEWLIB} + rm -fr $(DESTDIR)$(PCBLIBDIR)/${NEWLIB} + +EXTRA_DIST= ${NEWLIB} footprint.pcb ${NEWLIB}.stamp png_diff.sh + +if BUILD_PCBLIB_NEWLIB +DISTCLEANFILES= ${NEWLIB}.stamp +else +DISTCLEANFILES= +endif + +# +# +# ###################################################### + +pcblibm4dir= $(PCBLIBDIR)/m4 +pcblibm4_DATA= $(LIB_FILES) + +##pcblibm4_SCRIPTS= update-pcb +## XXX what was this about? +##(cd $(pcblibm4dir) && sh ./update-pcb) + +# note: only some of the scripts listed in LIBSCRIPTS are generated by autoconf +# and hence need chmod-ing. The others (ListLIbraryContents.sh in particular) +# is just one of the distribution files and thus will not exist in the build +# directory if the build is not done in the source directory +all-local: + for f in ${LIBSCRIPTS} ${noinst_SCRIPTS}; do if test -f $$f ; then chmod 755 $$f ; fi ; done + +COMMON_FILE= common.m4 + +M4_DIST_FILES= \ + TTL_74xx_DIL.m4 \ + amphenol.m4 \ + connector.m4 \ + crystal.m4 \ + generic.m4 \ + gtag.m4 \ + jerry.m4 \ + linear.m4 \ + logic.m4 \ + lsi.m4 \ + memory.m4 \ + optical.m4 \ + pci.m4 \ + resistor_0.25W.m4 \ + resistor_adjust.m4 \ + resistor_array.m4 \ + texas_inst_amplifier.m4 \ + texas_inst_voltage_reg.m4 \ + transistor.m4 + +# These files are for old footprint libraries and will be +# removed in future releases +OBSOLETE_LIST_DIST_FILES= \ + TTL_74xx_DIL.list \ + jerry.list \ + linear.list \ + logic.list \ + lsi.list \ + memory.list \ + resistor_0.25W.list \ + resistor_adjust.list \ + resistor_array.list \ + texas_inst_amplifier.list \ + texas_inst_voltage_reg.list \ + transistor.list + +LIST_DIST_FILES= \ + amphenol.list \ + connector.list \ + crystal.list \ + generic.list \ + gtag.list \ + optical.list \ + pci.list + +INC_FILES= \ + bga.inc \ + amp.inc \ + amphenol.inc \ + bourns.inc \ + candk.inc \ + connector.inc \ + cts.inc \ + dil.inc \ + geda.inc \ + johnstech.inc \ + minicircuits.inc \ + misc.inc \ + nichicon.inc \ + optek.inc \ + panasonic.inc \ + pci.inc \ + plcc.inc \ + qfn.inc \ + qfp.inc \ + qfp2.inc \ + qfpdj.inc \ + resistor_adjust.inc \ + rules.inc \ + smt.inc \ + to.inc \ + zif.inc + +DAT_FILES= \ + qfp.dat + +M4_FILES= ${M4_DIST_FILES} amp.m4 bourns.m4 candk.m4 cts.m4 geda.m4 johnstech.m4 minicircuits.m4 nichicon.m4 optek.m4 panasonic.m4 +LIST_FILES= ${LIST_DIST_FILES} amp.list bourns.list candk.list cts.list geda.list johnstech.list minicircuits.list nichicon.list \ + optek.list panasonic.list + +LIB_FILES= ${COMMON_FILE} ${M4_FILES} ${LIST_FILES} ${INC_FILES} + +EXTRA_DIST+= ListLibraryContents.sh ${COMMON_FILE} ${M4_DIST_FILES} ${OBSOLETE_LIST_DIST_FILES} \ + ${LIST_DIST_FILES} ${INC_FILES} \ + ${LIBCONTENTS} \ + ${DAT_FILES} gen_list.awk gen_m4.awk gen_geda_m4.awk gen_geda_list.awk + +DISTCLEANFILES+= ${LIBRARYFILENAME} ${LIBRARYFILENAME}.contents amp.m4 amp.list \ + cts.m4 cts.list bourns.m4 bourns.list \ + candk.m4 candk.list \ + geda.m4 geda.list johnstech.m4 johnstech.list minicircuits.m4 minicircuits.list \ + nichicon.m4 nichicon.list \ + optek.m4 optek.list \ + panasonic.m4 panasonic.list + +${LIBRARYFILENAME}: ${COMMON_FILE} ${INC_FILES} ${DAT_FILES} ${M4_FILES} CreateLibrary.sh + ${SHELL} ./CreateLibrary.sh -I $(srcdir) $@ $(srcdir)/${COMMON_FILE} ${M4_FILES} + +${LIBRARYFILENAME}.contents: ${COMMON_FILE} ${INC_FILES} ${DAT_FILES} ${LIST_FILES} CreateLibraryContents.sh + ${SHELL} ./CreateLibraryContents.sh -I $(srcdir) $(srcdir)/${COMMON_FILE} ${LIST_FILES} > $@ + +amp.list: amp.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=amp $(srcdir)/amp.inc > $@ + +amp.m4: amp.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=amp $(srcdir)/amp.inc > $@ + +bourns.list: bourns.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=bourns $(srcdir)/bourns.inc > $@ + +bourns.m4: bourns.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=bourns $(srcdir)/bourns.inc > $@ + +candk.list: candk.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=candk $(srcdir)/candk.inc > $@ + +candk.m4: candk.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=candk $(srcdir)/candk.inc > $@ + +cts.list: cts.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=cts $(srcdir)/cts.inc > $@ + +cts.m4: cts.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=cts $(srcdir)/cts.inc > $@ + +johnstech.list: johnstech.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=johnstech $(srcdir)/johnstech.inc > $@ + +johnstech.m4: johnstech.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=johnstech $(srcdir)/johnstech.inc > $@ + +minicircuits.list: minicircuits.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=minicircuits $(srcdir)/minicircuits.inc > $@ + +minicircuits.m4: minicircuits.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=minicircuits $(srcdir)/minicircuits.inc > $@ + +nichicon.list: nichicon.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=nichicon $(srcdir)/nichicon.inc > $@ + +nichicon.m4: nichicon.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=nichicon $(srcdir)/nichicon.inc > $@ + +optek.list: optek.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=optek $(srcdir)/optek.inc > $@ + +optek.m4: optek.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=optek $(srcdir)/optek.inc > $@ + +panasonic.list: panasonic.inc gen_list.awk + ${AWK} -f $(srcdir)/gen_list.awk name=panasonic $(srcdir)/panasonic.inc > $@ + +panasonic.m4: panasonic.inc gen_m4.awk + ${AWK} -f $(srcdir)/gen_m4.awk name=panasonic $(srcdir)/panasonic.inc > $@ + +geda.m4: geda.inc gen_geda_m4.awk + ${AWK} -f $(srcdir)/gen_geda_m4.awk $(srcdir)/geda.inc > $@ + +geda.list: geda.inc gen_geda_list.awk + ${AWK} -f $(srcdir)/gen_geda_list.awk $(srcdir)/geda.inc > $@ + Index: oldlib/lib/TTL_74xx_DIL.list =================================================================== --- oldlib/lib/TTL_74xx_DIL.list (nonexistent) +++ oldlib/lib/TTL_74xx_DIL.list (revision 1033) @@ -0,0 +1,319 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +7400_dil:N:7400 +7401_dil:N:7401 +7402_dil:N:7402 +7403_dil:N:7403 +7404_dil:N:7404 +7405_dil:N:7405 +7406_dil:N:7406 +7407_dil:N:7407 +7408_dil:N:7408 +7409_dil:N:7409 +7410_dil:N:7410 +7411_dil:N:7411 +7412_dil:N:7412 +7413_dil:N:7413 +7414_dil:N:7414 +7415_dil:N:7415 +7416_dil:N:7416 +7418_dil:N:7418 +7419_dil:N:7419 +7420_dil:N:7420 +7421_dil:N:7421 +7422_dil:N:7422 +7423_dil:N:7423 +7424_dil:N:7424 +7425_dil:N:7425 +7426_dil:N:7426 +7427_dil:N:7427 +7428_dil:N:7428 +7430_dil:N:7430 +7431_dil:N:7431 +7432_dil:N:7432 +7433_dil:N:7433 +7437_dil:N:7437 +7438_dil:N:7438 +7439_dil:N:7439 +7440_dil:N:7440 +7442_dil:N:7442 +7445_dil:N:7445 +7446_dil:N:7446 +7447_dil:N:7447 +7448_dil:N:7448 +7449_dil:N:7449 +7450_dil:N:7450 +7451L_dil:N:74LS51 +7451S_dil:N:74S51 +7453_dil:N:7453 +7454_dil:N:7454 +7455_dil:N:7455 +7457_dil:N:7457 +7464_dil:N:7464 +7465_dil:N:7465 +7468_dil:N:7468 +7469_dil:N:7469 +7470_dil:N:7470 +7472_dil:N:7472 +7473_dil:N:7473 +7474_dil:N:7474 +7475_dil:N:7475 +7476_dil:N:7476 +7478_dil:N:7478 +7483_dil:N:7483 +7485_dil:N:7485 +7486_dil:N:7486 +7490_dil:N:7490 +7491_dil:N:7491 +7492_dil:N:7492 +7493_dil:N:7493 +7495_dil:N:7495 +7496_dil:N:7496 +7497_dil:N:7497 +74107_dil:N:74107 +74109_dil:N:74109 +74111_dil:N:74111 +74112_dil:N:74112 +74113_dil:N:74113 +74114_dil:N:74114 +74116_dil:N:74116 +74120_dil:N:74120 +74121_dil:N:74121 +74122_dil:N:74122 +74123_dil:N:74123 +74124_dil:N:74124 +74125_dil:N:74125 +74126_dil:N:74126 +74128_dil:N:74128 +74132_dil:N:74132 +74133_dil:N:74133 +74134_dil:N:74134 +74135_dil:N:74135 +74136_dil:N:74136 +74137_dil:N:74137 +74138_dil:N:74138 +74139_dil:N:74139 +74140_dil:N:74140 +74143_dil:N:74143 +74145_dil:N:74145 +74147_dil:N:74147 +74148_dil:N:74148 +74150_dil:N:74150 +74151_dil:N:74151 +74153_dil:N:74153 +74154_dil:N:74154 +74155_dil:N:74155 +74156_dil:N:74156 +74157_dil:N:74157 +74158_dil:N:74158 +74159_dil:N:74159 +74160_dil:N:74160 +74161_dil:N:74161 +74162_dil:N:74162 +74163_dil:N:74163 +74164_dil:N:74164 +74165_dil:N:74165 +74166_dil:N:74166 +74167_dil:N:74167 +74169_dil:N:74169 +74170_dil:N:74170 +74171_dil:N:74171 +74172_dil:N:74172 +74173_dil:N:74173 +74174_dil:N:74174 +74175_dil:N:74175 +74176_dil:N:74176 +74177_dil:N:74177 +74178_dil:N:74178 +74180_dil:N:74180 +74181_dil:N:74181 +74182_dil:N:74182 +74183_dil:N:74183 +74190_dil:N:74190 +74191_dil:N:74191 +74192_dil:N:74192 +74193_dil:N:74193 +74194_dil:N:74194 +74195_dil:N:74195 +74196_dil:N:74196 +74197_dil:N:74197 +74198_dil:N:74198 +74199_dil:N:74199 +74221_dil:N:74221 +74240_dil:N:74240 +74241_dil:N:74241 +74242_dil:N:74242 +74243_dil:N:74243 +74244_dil:N:74244 +74245_dil:N:74245 +74247_dil:N:74247 +74248_dil:N:74248 +74251_dil:N:74251 +74253_dil:N:74253 +74257_dil:N:74257 +74258_dil:N:74258 +74259_dil:N:74259 +74260_dil:N:74260 +74261_dil:N:74261 +74265_dil:N:74265 +74266_dil:N:74266 +74273_dil:N:74273 +74276_dil:N:74276 +74278_dil:N:74278 +74279_dil:N:74279 +74280_dil:N:74280 +74283_dil:N:74283 +74285_dil:N:74285 +74290_dil:N:74290 +74292_dil:N:74292 +74293_dil:N:74293 +74294_dil:N:74294 +74295_dil:N:74295 +74297_dil:N:74297 +74298_dil:N:74298 +74299_dil:N:74299 +74320_dil:N:74320 +74321_dil:N:74321 +74322_dil:N:74322 +74323_dil:N:74323 +74348_dil:N:74348 +74352_dil:N:74352 +74353_dil:N:74353 +74354_dil:N:74354 +74355_dil:N:74355 +74356_dil:N:74356 +74365_dil:N:74365 +74366_dil:N:74366 +74367_dil:N:74367 +74368_dil:N:74368 +74373_dil:N:74373 +74374_dil:N:74374 +74375_dil:N:74375 +74376_dil:N:74376 +74377_dil:N:74377 +74378_dil:N:74378 +74379_dil:N:74379 +74381_dil:N:74381 +74382_dil:N:74382 +74384_dil:N:74384 +74385_dil:N:74385 +74386_dil:N:74386 +74390_dil:N:74390 +74393_dil:N:74393 +74395_dil:N:74395 +74396_dil:N:74396 +74399_dil:N:74399 +74422_dil:N:74422 +74423_dil:N:74423 +74440_dil:N:74440 +74441_dil:N:74441 +74442_dil:N:74442 +74443_dil:N:74443 +74444_dil:N:74444 +74446_dil:N:74446 +74449_dil:N:74449 +74465_dil:N:74465 +74466_dil:N:74466 +74467_dil:N:74467 +74468_dil:N:74468 +74490_dil:N:74490 +74518_dil:N:74518 +74519_dil:N:74519 +74520_dil:N:74520 +74521_dil:N:74521 +74522_dil:N:74522 +74538_dil:N:74538 +74540_dil:N:74540 +74541_dil:N:74541 +74573_dil:N:74573 +74574_dil:N:74574 +74575_dil:N:74575 +74580_dil:N:74580 +74590_dil:N:74590 +74591_dil:N:74591 +74592_dil:N:74592 +74593_dil:N:74593 +74594_dil:N:74594 +74595_dil:N:74595 +74596_dil:N:74596 +74597_dil:N:74597 +74598_dil:N:74598 +74599_dil:N:74599 +74604_dil:N:74604 +74606_dil:N:74606 +74607_dil:N:74607 +74620_dil:N:74620 +74621_dil:N:74621 +74623_dil:N:74623 +74624_dil:N:74624 +74625_dil:N:74625 +74626_dil:N:74626 +74627_dil:N:74627 +74628_dil:N:74628 +74629_dil:N:74629 +74630_dil:N:74630 +74631_dil:N:74631 +74636_dil:N:74636 +74637_dil:N:74637 +74638_dil:N:74638 +74639_dil:N:74639 +74640_dil:N:74640 +74641_dil:N:74641 +74642_dil:N:74642 +74644_dil:N:74644 +74645_dil:N:74645 +74646_dil:N:74646 +74647_dil:N:74647 +74648_dil:N:74648 +74649_dil:N:74649 +74651_dil:N:74651 +74652_dil:N:74652 +74653_dil:N:74653 +74668_dil:N:74668 +74669_dil:N:74669 +74670_dil:N:74670 +74671_dil:N:74671 +74672_dil:N:74672 +74673_dil:N:74673 +74674_dil:N:74674 +74681_dil:N:74681 +74682_dil:N:74682 +74683_dil:N:74683 +74684_dil:N:74684 +74685_dil:N:74685 +74686_dil:N:74686 +74687_dil:N:74687 +74688_dil:N:74688 +74689_dil:N:74689 +74690_dil:N:74690 +74691_dil:N:74691 +74693_dil:N:74693 +74696_dil:N:74696 +74697_dil:N:74697 +74699_dil:N:74699 Property changes on: oldlib/lib/TTL_74xx_DIL.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/TTL_74xx_DIL.m4 =================================================================== --- oldlib/lib/TTL_74xx_DIL.m4 (nonexistent) +++ oldlib/lib/TTL_74xx_DIL.m4 (revision 1033) @@ -0,0 +1,1483 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +define(`Description_7400_dil', ``4 dual-NAND'') +define(`Param1_7400_dil', 14) +define(`Param2_7400_dil', 300) +define(`PinList_7400_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3B',`3A',`4Y',`4B',`4A',`Vcc'') + +define(`Description_7401_dil', ``4 dual-NAND OC'') +define(`Param1_7401_dil', 14) +define(`Param2_7401_dil', 300) +define(`PinList_7401_dil', ``1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc'') + +define(`Description_7402_dil', ``4 dual-NOR'') +define(`Param1_7402_dil', 14) +define(`Param2_7402_dil', 300) +define(`PinList_7402_dil', ``1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc'') + +define(`Description_7403_dil', ``4 dual-NAND OC'') +define(`Param1_7403_dil', 14) +define(`Param2_7403_dil', 300) +define(`PinList_7403_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc'') + +define(`Description_7404_dil', ``6 inverters'') +define(`Param1_7404_dil', 14) +define(`Param2_7404_dil', 300) +define(`PinList_7404_dil', ``1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7405_dil', ``6 inverters OC'') +define(`Param1_7405_dil', 14) +define(`Param2_7405_dil', 300) +define(`PinList_7405_dil', ``1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7406_dil', ``6 inverters OC high-voltage'') +define(`Param1_7406_dil', 14) +define(`Param2_7406_dil', 300) +define(`PinList_7406_dil', ``1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7407_dil', ``6 buffers OC'') +define(`Param1_7407_dil', 14) +define(`Param2_7407_dil', 300) +define(`PinList_7407_dil', ``1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7408_dil', ``4 dual-AND'') +define(`Param1_7408_dil', 14) +define(`Param2_7408_dil', 300) +define(`PinList_7408_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc'') + +define(`Description_7409_dil', ``4 dual-AND OC'') +define(`Param1_7409_dil', 14) +define(`Param2_7409_dil', 300) +define(`PinList_7409_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc'') + +define(`Description_7410_dil', ``3 triple-NAND'') +define(`Param1_7410_dil', 14) +define(`Param2_7410_dil', 300) +define(`PinList_7410_dil', ``A1',`B1',`A2',`B2',`C2',`Y2',`Gnd',`Y3',`A3',`B3',`C3',`Y1',`C1',`Vcc'') + +define(`Description_7411_dil', ``3 triple-AND'') +define(`Param1_7411_dil', 14) +define(`Param2_7411_dil', 300) +define(`PinList_7411_dil', ``A1',`B1',`A2',`B2',`C2',`Y2',`Gnd',`Y3',`A3',`B3',`C3',`Y1',`C1',`Vcc'') + +define(`Description_7412_dil', ``3 triple-NAND OC'') +define(`Param1_7412_dil', 14) +define(`Param2_7412_dil', 300) +define(`PinList_7412_dil', ``A1',`B1',`A2',`B2',`C2',`Y2',`Gnd',`Y3',`A3',`B3',`C3',`Y1',`C1',`Vcc'') + +define(`Description_7413_dil', ``2 quad-input NAND Schmitt trigger'') +define(`Param1_7413_dil', 14) +define(`Param2_7413_dil', 300) +define(`PinList_7413_dil', ``1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc'') + +define(`Description_7414_dil', ``6 Schmitt trigger inverter'') +define(`Param1_7414_dil', 14) +define(`Param2_7414_dil', 300) +define(`PinList_7414_dil', ``1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7415_dil', ``3 triple-AND OC'') +define(`Param1_7415_dil', 14) +define(`Param2_7415_dil', 300) +define(`PinList_7415_dil', ``A1',`B1',`A2',`B2',`C2',`Y2',`Gnd',`Y3',`A3',`B3',`C3',`Y1',`C1',`Vcc'') + +define(`Description_7416_dil', ``6 inverters OC high-voltage'') +define(`Param1_7416_dil', 14) +define(`Param2_7416_dil', 300) +define(`PinList_7416_dil', ``1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7418_dil', ``2 quad-NAND Schmitt trigger'') +define(`Param1_7418_dil', 14) +define(`Param2_7418_dil', 300) +define(`PinList_7418_dil', ``1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc'') + +define(`Description_7419_dil', ``6 inverter Schmitt trigger'') +define(`Param1_7419_dil', 14) +define(`Param2_7419_dil', 300) +define(`PinList_7419_dil', ``1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7420_dil', ``2 quad-NAND'') +define(`Param1_7420_dil', 14) +define(`Param2_7420_dil', 300) +define(`PinList_7420_dil', ``1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc'') + +define(`Description_7421_dil', ``2 quad-AND'') +define(`Param1_7421_dil', 14) +define(`Param2_7421_dil', 300) +define(`PinList_7421_dil', ``1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc'') + +define(`Description_7422_dil', ``2 quad-NAND OC'') +define(`Param1_7422_dil', 14) +define(`Param2_7422_dil', 300) +define(`PinList_7422_dil', ``1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc'') + +define(`Description_7423_dil', ``2 quad-NOR with strobe'') +define(`Param1_7423_dil', 16) +define(`Param2_7423_dil', 300) +define(`PinList_7423_dil', ``1X',`1A',`1B',`1G',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`2G',`2C',`2D',`/X',`Vcc'') + +define(`Description_7424_dil', ``4 dual-NAND Schmitt trigger'') +define(`Param1_7424_dil', 14) +define(`Param2_7424_dil', 300) +define(`PinList_7424_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc'') + +define(`Description_7425_dil', ``2 quad-NOR w. strobe'') +define(`Param1_7425_dil', 14) +define(`Param2_7425_dil', 300) +define(`PinList_7425_dil', ``1A',`1B',`1G',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`2G',`2C',`2D',`Vcc'') + +define(`Description_7426_dil', ``4 dual NAND high-voltage'') +define(`Param1_7426_dil', 14) +define(`Param2_7426_dil', 300) +define(`PinList_7426_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc'') + +define(`Description_7427_dil', ``3 triple-NOR'') +define(`Param1_7427_dil', 14) +define(`Param2_7427_dil', 300) +define(`PinList_7427_dil', ``1A',`1B',`2A',`2B',`2C',`2Y',`Gnd',`3Y',`3A',`3B',`3C',`1Y',`1C',`Vcc'') + +define(`Description_7428_dil', ``4 dual-NOR buffer'') +define(`Param1_7428_dil', 14) +define(`Param2_7428_dil', 300) +define(`PinList_7428_dil', ``1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc'') + +define(`Description_7430_dil', ``octal-NAND'') +define(`Param1_7430_dil', 14) +define(`Param2_7430_dil', 300) +define(`PinList_7430_dil', ``A',`B',`C',`D',`E',`F',`Gnd',`Y',`NC',`NC',`G',`H',`NC',`Vcc'') + +define(`Description_7431_dil', ``delay elements'') +define(`Param1_7431_dil', 16) +define(`Param2_7431_dil', 300) +define(`PinList_7431_dil', ``1A',`1Y',`2A',`2Y',`3A',`3B',`3Y',`Gnd',`4Y',`4A',`4B',`5Y',`5A',`6Y',`6A',`Vcc'') + +define(`Description_7432_dil', ``4 dual-OR'') +define(`Param1_7432_dil', 14) +define(`Param2_7432_dil', 300) +define(`PinList_7432_dil', ``A1',`B1',`Y1',`A2',`B2',`Y2',`Gnd',`Y3',`A3',`B3',`Y4',`A4',`B4',`Vcc'') + +define(`Description_7433_dil', ``4 dual-NOR buffer OC'') +define(`Param1_7433_dil', 14) +define(`Param2_7433_dil', 300) +define(`PinList_7433_dil', ``1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc'') + +define(`Description_7437_dil', ``4 dual-NAND buffer'') +define(`Param1_7437_dil', 14) +define(`Param2_7437_dil', 300) +define(`PinList_7437_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc'') + +define(`Description_7438_dil', ``4 dual-NAND buffer OC'') +define(`Param1_7438_dil', 14) +define(`Param2_7438_dil', 300) +define(`PinList_7438_dil', ``1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc'') + +define(`Description_7439_dil', ``4 dual-NAND buffer OC'') +define(`Param1_7439_dil', 14) +define(`Param2_7439_dil', 300) +define(`PinList_7439_dil', ``1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc'') + +define(`Description_7440_dil', ``2 quad-NAND buffer'') +define(`Param1_7440_dil', 14) +define(`Param2_7440_dil', 300) +define(`PinList_7440_dil', ``1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc'') + +define(`Description_7442_dil', ``4-to-10 decoder'') +define(`Param1_7442_dil', 16) +define(`Param2_7442_dil', 300) +define(`PinList_7442_dil', ``0',`1',`2',`3',`4',`5',`6',`Gnd',`7',`8',`9',`D',`C',`B',`A',`Vcc'') + +define(`Description_7445_dil', ``BCD to decimal decoder/driver'') +define(`Param1_7445_dil', 16) +define(`Param2_7445_dil', 300) +define(`PinList_7445_dil', ``0',`1',`2',`3',`4',`5',`6',`Gnd',`7',`8',`9',`D',`C',`B',`A',`Vcc'') + +define(`Description_7446_dil', ``BCD to 7-segment driver OC'') +define(`Param1_7446_dil', 16) +define(`Param2_7446_dil', 300) +define(`PinList_7446_dil', ``B',`C',`/LT',`/BI-/RBO',`/RBI',`D',`A',`Gnd',`e',`d',`c',`b',`a',`g',`f',`Vcc'') + +define(`Description_7447_dil', ``BCD to 7-segment driver OC'') +define(`Param1_7447_dil', 16) +define(`Param2_7447_dil', 300) +define(`PinList_7447_dil', ``B',`C',`/LT',`/BI-/RBO',`/RBI',`D',`A',`Gnd',`e',`d',`c',`b',`a',`g',`f',`Vcc'') + +define(`Description_7448_dil', ``BCD to 7-segment driver w. pullup'') +define(`Param1_7448_dil', 16) +define(`Param2_7448_dil', 300) +define(`PinList_7448_dil', ``B',`C',`/LT',`/BI-/RBO',`/RBI',`D',`A',`Gnd',`e',`d',`c',`b',`a',`g',`f',`Vcc'') + +define(`Description_7449_dil', ``BCD to 7-segment driver OC w. blanking input'') +define(`Param1_7449_dil', 14) +define(`Param2_7449_dil', 300) +define(`PinList_7449_dil', ``B',`C',`/BI',`D',`A',`e',`Gnd',`d',`c',`b',`a',`g',`f',`Vcc'') + +define(`Description_7450_dil', ``2 AND-OR inverters (2x2 inputs)'') +define(`Param1_7450_dil', 14) +define(`Param2_7450_dil', 300) +define(`PinList_7450_dil', ``1A',`2A',`2B',`2C',`2D',`2Y',`Gnd',`1Y',`1C',`1D',`1X',`1/X',`1B',`Vcc'') + +define(`Description_7451L_dil', ``2 AND-OR inverters (2x2,2x3 inputs)'') +define(`Param1_7451L_dil', 14) +define(`Param2_7451L_dil', 300) +define(`PinList_7451L_dil', ``1A',`2A',`2B',`2C',`2D',`2Y',`Gnd',`1Y',`1D',`1E',`1F',`1B',`1C',`Vcc'') + +define(`Description_7451S_dil', ``2 AND-OR inverters (2x2 inputs)'') +define(`Param1_7451S_dil', 14) +define(`Param2_7451S_dil', 300) +define(`PinList_7451S_dil', ``1A',`2A',`2B',`2C',`2D',`2Y',`Gnd',`1Y',`1C',`1D',`NC',`NC',`1B',`Vcc'') + +define(`Description_7453_dil', ``AND-OR inverter (4x2 inputs)'') +define(`Param1_7453_dil', 14) +define(`Param2_7453_dil', 300) +define(`PinList_7453_dil', ``A',`C',`D',`E',`F',`NC',`Gnd',`Y',`G',`H',`X',`/X',`B',`Vcc'') + +define(`Description_7454_dil', ``AND-OR inverter (4x2 inputs)'') +define(`Param1_7454_dil', 14) +define(`Param2_7454_dil', 300) +define(`PinList_7454_dil', ``A',`C',`D',`E',`F',`NC',`Gnd',`Y',`G',`H',`NC',`NC',`B',`Vcc'') + +define(`Description_7455_dil', ``AND-OR inverter (2x4 inputs)'') +define(`Param1_7455_dil', 14) +define(`Param2_7455_dil', 300) +define(`PinList_7455_dil', ``A',`B',`C',`D',`NC',`NC',`Gnd',`Y',`NC',`E',`F',`G',`H',`Vcc'') + +define(`Description_7457_dil', ``frequency divider'') +define(`Param1_7457_dil', 8) +define(`Param2_7457_dil', 300) +define(`PinList_7457_dil', ``ClkB',`Vcc',`Qa',`Gnd',`ClkA',`Clr',`Qb',`Qc'') + +define(`Description_7464_dil', ``AND-OR inverter (4-2-3-2 inputs)'') +define(`Param1_7464_dil', 14) +define(`Param2_7464_dil', 300) +define(`PinList_7464_dil', ``A',`E',`F',`G',`H',`I',`Gnd',`Y',`J',`K',`B',`C',`D',`Vcc'') + +define(`Description_7465_dil', ``AND-OR inverter OC (4-2-3-2 inputs)'') +define(`Param1_7465_dil', 14) +define(`Param2_7465_dil', 300) +define(`PinList_7465_dil', ``A',`E',`F',`G',`H',`I',`Gnd',`Y',`J',`K',`B',`C',`D',`Vcc'') + +define(`Description_7468_dil', ``2 4bit decade counter'') +define(`Param1_7468_dil', 16) +define(`Param2_7468_dil', 300) +define(`PinList_7468_dil', ``1ClkA',`1Qb',`1Qd',`/1Clr',`2Qc',`NC',`2Qa',`Gnd',`2Clk',`2Qb',`/2Clr',`2Qd',`1Qc',`1Qa',`1ClkB',`Vcc'') + +define(`Description_7469_dil', ``2 4bit binary counter'') +define(`Param1_7469_dil', 16) +define(`Param2_7469_dil', 300) +define(`PinList_7469_dil', ``1ClkA',`1Qb',`1Qd',`/1Clr',`2Qc',`NC',`2Qa',`Gnd',`2Clk',`2Qb',`/2Clr',`2Qd',`1Qc',`1Qa',`1ClkB',`Vcc'') + +define(`Description_7470_dil', ``AND-gated JK pos-edge-FF w. preset/clear'') +define(`Param1_7470_dil', 14) +define(`Param2_7470_dil', 300) +define(`PinList_7470_dil', ``NC',`/Clr',`J1',`J2',`/J',`/Q',`Gnd',`Q',`/K',`K1',`K2',`Clk',`/Pre',`Vcc'') + +define(`Description_7472_dil', ``AND-gated MS-FF w. preset/clear'') +define(`Param1_7472_dil', 14) +define(`Param2_7472_dil', 300) +define(`PinList_7472_dil', ``NC',`/Clr',`J1',`J2',`J3',`/Q',`Gnd',`Q',`K1',`K2',`K3',`Clk',`/Pre',`Vcc'') + +define(`Description_7473_dil', ``2 JK FF w. clear'') +define(`Param1_7473_dil', 14) +define(`Param2_7473_dil', 300) +define(`PinList_7473_dil', ``1Clk',`/1Clr',`1K',`Vcc',`2Clk',`/2Clr',`2J',`/2Q',`2Q',`2K',`Gnd',`1Q',`/1Q',`1J'') + +define(`Description_7474_dil', ``2 D-type pos-edge FF w. preset/clear'') +define(`Param1_7474_dil', 14) +define(`Param2_7474_dil', 300) +define(`PinList_7474_dil', ``/1Clr',`1D',`1Clk',`/1Pre',`1Q',`/1Q',`Gnd',`/2Q',`2Q',`/2Pre',`2Clk',`2D',`/2Clr',`Vcc'') + +define(`Description_7475_dil', ``4bit bi-stable latch w. compl. outputs'') +define(`Param1_7475_dil', 16) +define(`Param2_7475_dil', 300) +define(`PinList_7475_dil', ``/1Q',`1D',`2D',`3C-4C',`Vcc',`3D',`4D',`/4Q',`4Q',`3Q',`/3Q',`Gnd',`1C-2C',`/2Q',`2Q',`1Q'') + +define(`Description_7476_dil', ``2 JK FF w. preset/clear'') +define(`Param1_7476_dil', 16) +define(`Param2_7476_dil', 300) +define(`PinList_7476_dil', ``1Clk',`/1Pre',`/1Clr',`1J',`Vcc',`2Clk',`/2Pre',`/2Clr',`2J',`/2Q',`2Q',`2K',`Gnd',`/1Q',`1Q',`1K'') + +define(`Description_7478_dil', ``2 JK FF w. preset and common Clk/Clr'') +define(`Param1_7478_dil', 14) +define(`Param2_7478_dil', 300) +define(`PinList_7478_dil', ``Clk',`/1Pre',`1J',`Vcc',`/Clr',`/2Pre',`2K',`2Q',`/2Q',`2J',`Gnd',`/1Q',`1Q',`1K'') + +define(`Description_7483_dil', ``4bit binary adder w. fast carry'') +define(`Param1_7483_dil', 16) +define(`Param2_7483_dil', 300) +define(`PinList_7483_dil', ``A4',`Sum3',`A3',`B3',`Vcc',`Sum2',`B2',`A2',`Sum1',`A1',`B1',`Gnd',`C0',`C4',`Sum4',`B4'') + +define(`Description_7485_dil', ``4bit magnitude comperator'') +define(`Param1_7485_dil', 16) +define(`Param2_7485_dil', 300) +define(`PinList_7485_dil', ``B3',`ABin',`A>Bout',`A=Bout',`AQ',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc'') + +define(`Description_74683_dil', ``8bit magnitute comperator OC w. input pull-up'') +define(`Param1_74683_dil', 20) +define(`Param2_74683_dil', 300) +define(`PinList_74683_dil', ``/P>Q',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc'') + +define(`Description_74684_dil', ``8bit magnitute comperator'') +define(`Param1_74684_dil', 20) +define(`Param2_74684_dil', 300) +define(`PinList_74684_dil', ``/P>Q',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc'') + +define(`Description_74685_dil', ``8bit magnitute comperator OC'') +define(`Param1_74685_dil', 20) +define(`Param2_74685_dil', 300) +define(`PinList_74685_dil', ``/P>Q',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc'') + +define(`Description_74686_dil', ``8bit magnitute comperator w. enable'') +define(`Param1_74686_dil', 24) +define(`Param2_74686_dil', 300) +define(`PinList_74686_dil', ``/P>Q',`/G1',`P0',`Q0',`P1',`Q1',`NC',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`NC',`P7',`Q7',`/P=Q',`/G2',`Vcc'') + +define(`Description_74687_dil', ``8bit magnitute comperator OC w. enable'') +define(`Param1_74687_dil', 24) +define(`Param2_74687_dil', 300) +define(`PinList_74687_dil', ``/P>Q',`/G1',`P0',`Q0',`P1',`Q1',`NC',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`NC',`P7',`Q7',`/P=Q',`/G2',`Vcc'') + +define(`Description_74688_dil', ``8bit identity comperator'') +define(`Param1_74688_dil', 20) +define(`Param2_74688_dil', 300) +define(`PinList_74688_dil', ``/G',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc'') + +define(`Description_74689_dil', ``8bit identity comperator OC'') +define(`Param1_74689_dil', 20) +define(`Param2_74689_dil', 300) +define(`PinList_74689_dil', ``/G',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc'') + +define(`Description_74690_dil', ``4bit synchronous decade counter w. direct clear, output register 3-state'') +define(`Param1_74690_dil', 20) +define(`Param2_74690_dil', 300) +define(`PinList_74690_dil', ``/CClr',`CCK',`A',`B',`C',`D',`ENP',`/RClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc'') + +define(`Description_74691_dil', ``4bit synchronous binary counter w. direct clear, output register 3-state'') +define(`Param1_74691_dil', 20) +define(`Param2_74691_dil', 300) +define(`PinList_74691_dil', ``/CClr',`CCK',`A',`B',`C',`D',`ENP',`/RClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc'') + +define(`Description_74693_dil', ``4bit synchronous binary counter w. sync. clear, output register 3-state'') +define(`Param1_74693_dil', 20) +define(`Param2_74693_dil', 300) +define(`PinList_74693_dil', ``/CClr',`CCK',`A',`B',`C',`D',`ENP',`/RClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc'') + +define(`Description_74696_dil', ``4bit synchronous decade counter w. direct clear 3-state'') +define(`Param1_74696_dil', 20) +define(`Param2_74696_dil', 300) +define(`PinList_74696_dil', ``U-/D',`CCK',`A',`B',`C',`D',`/ENP',`/CClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc'') + +define(`Description_74697_dil', ``4bit synchronous binary counter w. direct clear 3-state'') +define(`Param1_74697_dil', 20) +define(`Param2_74697_dil', 300) +define(`PinList_74697_dil', ``U-/D',`CCK',`A',`B',`C',`D',`/ENP',`/CClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc'') + +define(`Description_74699_dil', ``4bit synchronous binary counter w. sync. clear 3-state'') +define(`Param1_74699_dil', 20) +define(`Param2_74699_dil', 300) +define(`PinList_74699_dil', ``U-/D',`CCK',`A',`B',`C',`D',`/ENP',`/CClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc'') + +divert(0)dnl Property changes on: oldlib/lib/TTL_74xx_DIL.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/amp.inc =================================================================== --- oldlib/lib/amp.inc (nonexistent) +++ oldlib/lib/amp.inc (revision 1033) @@ -0,0 +1,193 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# +# Amp (www.amp.com) Specific Footprints + +# ------------------------------------------------------------------- +# the definition of a MICTOR connector +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: pad width (1/1000 mil) +# $6: pad length (1/1000 mil) +# $7: pad pitch (1/1000 mil) +# $8: pad seperation center to center for pads on opposite sides of +# the package (1/1000 mil) +# $9: define to make the pins get numbered starting with the highest pin +# instead of pin 1. Needed for certain brain damaged packages like +# the Mini-Circuits KK81 +# pin 1 will be upper left, pin N/2 will be lower left, +# pin N will be upper right as defined here +define(`COMMON_AMP_MICTOR_MIL', + ` + # number of pads + define(`NPADS', `$4') + + # number of segments of 38 pins each + define(`NSEG', eval(NPADS/38)) + + # pad width in 1/1000 mil + define(`PADWIDTH', `$5') + # pad length in 1/1000 mil + define(`PADLENGTH',`$6') + # pad pitch 1/1000 mil + define(`PITCH',`$7') + # seperation between pads on opposite sides 1/1000 mil + define(`PADSEP',`$8') + + # X coordinates for the right hand column of pads (mils) + define(`X1', `eval( (PADSEP/2 + PADLENGTH - PADWIDTH/2)/1000)') + define(`X2', `eval( (PADSEP/2 + PADWIDTH/2)/1000)') + + # silk screen width (mils) + define(`SILKW', `10') + define(`SILKSEP', `5') + + + # figure out if we have an even or odd number of pins per side + define(`TMP1', eval(NPADS/4)) + define(`TMP2', eval((4*TMP1 - NPADS) == 0)) + ifelse(TMP2, 1, `define(`EVEN',"yes")', `define(`EVEN',"no")') + + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (mils) + define(`XMAX', `200') + define(`YMAX', `eval(250 + NSEG*250 + 125)') + + define(`REV', `$9') + + ifelse(REV,"reverse", + `define(`CURPIN', NPADS)' + , + `define(`CURPIN', `1')' + ) +Element(0x00 "$1" "`$2'" "$3" -20 -60 0 100 0x00) +( + define(`SEG', `1') + define(`YOFS', eval((1-NSEG)*25000/2)) + forloop(`i', 1, eval(NPADS / 2), + ` + ifelse(eval(i > SEG*19), 1, + `define(`SEG', incr(SEG)) + define(`YOFS', eval((1-NSEG)*25000/2 + (SEG-1)*25000))',) + ifelse(EVEN,"yes", + `Pad( -X1 eval( (-(NPADS/4)*PITCH - PITCH/2 + i*PITCH + YOFS)/1000) + -X2 eval( (-(NPADS/4)*PITCH - PITCH/2 + i*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)', + `Pad( -X1 eval( (-(NPADS/4)*PITCH - PITCH + i*PITCH + YOFS)/1000) + -X2 eval( (-(NPADS/4)*PITCH - PITCH + i*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)') + + ifelse(REV,"reverse", + `define(`CURPIN', decr(CURPIN)) + define(`CURPIN', decr(CURPIN))', + `define(`CURPIN', incr(CURPIN)) + define(`CURPIN', incr(CURPIN))' + ) + ') + ifelse(REV,"reverse", + `define(`CURPIN', `2')' + , + `define(`CURPIN', `NPADS')' + ) + + define(`SEG', `1') + define(`YOFS', eval((NSEG-1)*25000/2)) + forloop(`i', eval((NPADS / 2) + 1), NPADS, + ` + ifelse(eval(i - NPADS/2 > SEG*19), 1, + `define(`SEG', incr(SEG)) + define(`YOFS', eval((NSEG-1)*25000/2 - (SEG-1)*25000))',) + ifelse(EVEN,"yes", + `Pad( X1 eval( ((NPADS/4)*PITCH + PITCH/2 - (i-NPADS/2)*PITCH + YOFS)/1000) + X2 eval( ((NPADS/4)*PITCH + PITCH/2 - (i-NPADS/2)*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)', + `Pad( X1 eval( ((NPADS/4)*PITCH + PITCH - (i-NPADS/2)*PITCH + YOFS)/1000) + X2 eval( ((NPADS/4)*PITCH + PITCH - (i-NPADS/2)*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)') + ifelse(REV,"reverse", + `define(`CURPIN', incr(CURPIN)) + define(`CURPIN', incr(CURPIN))', + `define(`CURPIN', decr(CURPIN)) + define(`CURPIN', decr(CURPIN))' + ) + ') + + define(`GNDpad', `60') + define(`GNDdrl', `32') +# now add the center row of grounding pins + define(`CURPIN', incr(NPADS)) + define(`YOFS', `eval(250-NSEG*250)') + forloop(`j', 1, NSEG, + `forloop(`i', -2, 2, + `Pin(0 eval(i*100 + YOFS) GNDpad GNDdrl "GND" "CURPIN" 0x01) + define(`CURPIN', incr(CURPIN))' + ) + define(`YOFS', eval(YOFS + 500))' + ) +# the latch pins + Pin(0 eval(-YOFS - 55) 80 53 "LATCH" "CURPIN" 0x01) + define(`CURPIN', incr(CURPIN)) + Pin(0 eval(YOFS + 55) 80 53 "LATCH" "CURPIN" 0x01) + define(`CURPIN', incr(CURPIN)) +# and the orientation pin + Pin(0 eval(-YOFS+50) 84 84 "ORIENT" "CURPIN" 0x09) + +# and finally the silk screen + ElementLine(-XMAX -YMAX -XMAX YMAX SILKW) + ElementLine(-XMAX YMAX XMAX YMAX SILKW) + ElementLine( XMAX YMAX XMAX -YMAX SILKW) + ElementLine(-XMAX -YMAX -25 -YMAX SILKW) + ElementLine( XMAX -YMAX 25 -YMAX SILKW) + + # punt on the arc on small parts as it can cover the pads + ifelse(eval((PADSEP-PADLENGTH)/1000 > 50), 1, `ElementArc(0 -YMAX 25 25 0 180 SILKW)', ) + + # Mark at the common centroid + Mark(0 0) +)') + +# dimensions are given in 1/100 mm. +# $5-$8 are pad width, length, pitch, and sep +define(`COMMON_AMP_MICTOR_MM', `COMMON_AMP_MICTOR_MIL(`$1',`$2',`$3',`$4', + eval($5*100000/254),eval($6*100000/254),eval($7*100000/254),eval($8*100000/254), `$9')') + + +define(`COMMON_AMP_MICTOR_767054', `COMMON_AMP_MICTOR_MIL(`$1',`$2',`$3',`$4',17000,50000,25000,278000)') + +# EXTRACT_BEGIN + +# +## Amp Mictor Connectors +# +define(`PKG_AMP_MICTOR_767054_1', `COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',38)') +define(`PKG_AMP_MICTOR_767054_2', `COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',76)') +define(`PKG_AMP_MICTOR_767054_3', `COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',114)') +define(`PKG_AMP_MICTOR_767054_4', `COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',152)') +define(`PKG_AMP_MICTOR_767054_5', `COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',190)') +define(`PKG_AMP_MICTOR_767054_6', `COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',228)') +define(`PKG_AMP_MICTOR_767054_7', `COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',266)') + +# EXTRACT_END + Index: oldlib/lib/amphenol.inc =================================================================== --- oldlib/lib/amphenol.inc (nonexistent) +++ oldlib/lib/amphenol.inc (revision 1033) @@ -0,0 +1,67 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003, 2004 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# Amphenol Connectors + + +# ARFX1229 SMA Connector +# 5.08 mm (200 mil) outer pin spacing +# 1.70 mm (67 mil, #51 drill) hole sizes + +define(`PKG_AMPHENOL_ARFX1229', + `define(`PAD_SIZE', `100') + define(`HOLE_SIZE', `67') + define(`CPAD_SIZE', `100') + define(`CHOLE_SIZE', `67') + define(`PAD_SPACE', `200') + define(`CENTER', `eval(PAD_SPACE/2)') + define(`SILK', `70') + define(`XMIN', `eval(-1*SILK)') + define(`XMAX', `eval(PAD_SPACE+SILK)') + define(`YMIN', `eval(-1*SILK)') + define(`YMAX', `eval(PAD_SPACE+SILK)') +Element(0x00 "$1" "`$2'" "$3" eval(XMIN+20) eval(YMAX+20) 0 100 0x00) +( + + Pin(CENTER CENTER CPAD_SIZE CHOLE_SIZE "1" 0x01) + Pin(0 0 PAD_SIZE HOLE_SIZE "2" 0x01) + Pin(0 PAD_SPACE PAD_SIZE HOLE_SIZE "3" 0x01) + Pin(PAD_SPACE 0 PAD_SIZE HOLE_SIZE "4" 0x01) + Pin(PAD_SPACE PAD_SPACE PAD_SIZE HOLE_SIZE "5" 0x01) + + # silk screen + # ends + ElementLine(XMIN YMIN XMIN YMAX 10) + ElementLine(XMAX YMAX XMAX YMIN 10) + ElementLine(XMIN YMIN XMAX YMIN 10) + ElementLine(XMAX YMAX XMIN YMAX 10) + Mark(CENTER CENTER) +)') + +# XXX once we get some sort of annotation layer in PCB, the right +# angle connectors should have an annotation showing where the connector +# really goes to. Same for a keep out layer. +define(`PKG_AMPHENOL_ARFX1230',`PKG_AMPHENOL_ARFX1229(`$1', `$2', `$3')') +define(`PKG_AMPHENOL_ARFX1231',`PKG_AMPHENOL_ARFX1229(`$1', `$2', `$3')') +define(`PKG_AMPHENOL_ARFX1232',`PKG_AMPHENOL_ARFX1229(`$1', `$2', `$3')') + Property changes on: oldlib/lib/amphenol.inc ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/amphenol.list =================================================================== --- oldlib/lib/amphenol.list (nonexistent) +++ oldlib/lib/amphenol.list (revision 1033) @@ -0,0 +1,30 @@ +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# Amphenol Connectors + +# SMA +amphenol_ARFX1229:AMPHENOL_ARFX1229:AMPHENOL_ARFX1229 +amphenol_ARFX1230:AMPHENOL_ARFX1230:AMPHENOL_ARFX1230 +amphenol_ARFX1231:AMPHENOL_ARFX1231:AMPHENOL_ARFX1231 +amphenol_ARFX1232:AMPHENOL_ARFX1232:AMPHENOL_ARFX1232 + + Property changes on: oldlib/lib/amphenol.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/amphenol.m4 =================================================================== --- oldlib/lib/amphenol.m4 (nonexistent) +++ oldlib/lib/amphenol.m4 (revision 1033) @@ -0,0 +1,38 @@ +divert(-1) +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# Amphenol Connectors + +# SMA +define(`Description_amphenol_ARFX1229', `Amphenol ARFX1229 Vertical SMA Connector (M)') +define(`PinList_amphenol_ARFX1229', ``SIG',`GND',`GND',`GND',`GND'') + +define(`Description_amphenol_ARFX1230', `Amphenol ARFX1230 Right Angle SMA Connector (M)') +define(`PinList_amphenol_ARFX1230', ``SIG',`GND',`GND',`GND',`GND'') + +define(`Description_amphenol_ARFX1231', `Amphenol ARFX1231 Vertical SMA Connector (F)') +define(`PinList_amphenol_ARFX1231', ``SIG',`GND',`GND',`GND',`GND'') + +define(`Description_amphenol_ARFX1232', `Amphenol ARFX1232 Right Angle SMA Connector (F)') +define(`PinList_amphenol_ARFX1232', ``SIG',`GND',`GND',`GND',`GND'') + +divert(0)dnl Property changes on: oldlib/lib/amphenol.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/bga.inc =================================================================== --- oldlib/lib/bga.inc (nonexistent) +++ oldlib/lib/bga.inc (revision 1033) @@ -0,0 +1,35 @@ +# BGA packages +# A. G. Major, 2000 + +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins along outer edge +# $5: number of rows +# +define(`PKG_BGA', + `define(`XBLOCK', `$4') + define(`YBLOCK', `$5') + define(`PITCH', `50') + define(`DIAMETER', `25') + define(`NUMPINS', `eval(4*(XBLOCK-4)*YBLOCK)') +Element(0x00 "$1" "`$2'" "$3" 100 0 0 100 0x00) +( + forloop(`i', 0, eval(XBLOCK-1), + `forloop(`j', 0, eval(YBLOCK-1), + `PAD(eval(i*PITCH), eval(j*PITCH), eval(i*PITCH), eval(j*PITCH), DIAMETER, 1)' + `PAD(eval((XBLOCK+YBLOCK-j-1)*PITCH), eval(i*PITCH), eval((XBLOCK+YBLOCK-j-1)*PITCH), eval(i*PITCH), DIAMETER, 1)' + `PAD(eval((XBLOCK+YBLOCK-i-1)*PITCH), eval((XBLOCK+YBLOCK-j-1)*PITCH), eval((XBLOCK+YBLOCK-i-1)*PITCH), eval((XBLOCK+YBLOCK-j-1)*PITCH), DIAMETER, 1)' + `PAD(eval(j*PITCH), eval((XBLOCK+YBLOCK-i-1)*PITCH), eval(j*PITCH), eval((XBLOCK+YBLOCK-i-1)*PITCH), DIAMETER, 1)' + )' + ) + ElementLine(eval(-PITCH/2) eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval(-1*PITCH) 10) + ElementLine(eval((XBLOCK+YBLOCK)*PITCH) eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval((XBLOCK+YBLOCK)*PITCH) 10) + ElementLine(eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval((XBLOCK+YBLOCK)*PITCH) 10) + ElementLine(eval(-1*PITCH) eval(-PITCH/2) eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) 10) + ElementLine(eval(-PITCH/2) eval(-PITCH) eval(-PITCH/2) eval(-PITCH/2) 10) + ElementLine(eval(-PITCH) eval(-PITCH/2) eval(-PITCH/2) eval(-PITCH/2) 10) + Mark(eval((YBLOCK+1)*PITCH) eval((YBLOCK+1)*PITCH)) +)') + +# ------------------------------------------------------------------- Index: oldlib/lib/bourns.inc =================================================================== --- oldlib/lib/bourns.inc (nonexistent) +++ oldlib/lib/bourns.inc (revision 1033) @@ -0,0 +1,149 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003, 2005 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# +# Bourns Specific Footprints + + +# Surface mount trim pots, such as the 3224 series. +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pin 1,3 width (1/100 mm) width is in the direction parallel to the +# $5: pin 1,3 length (1/100 mm) line which intersects pins 1 and 3 +# $6: pin 2 width (1/100 mm) +# $7: pin 2 length (1/100 mm) +# $8: spacing from pad center to pad center between 2 and 1,3 (1/100 mm) +# $9: spacing from pad center to pad center between 1 and 3 (1/100 mm) +# $10: package width (1/100 mm) + +define(`PKG_BOURNS_GENERIC_POT', + ` + # pin 1,3 width, length (1/100 mm) + define(`W13', `$4') + define(`L13', `$5') + + # pin 2 width, length (1/100 mm) + define(`W2', `$6') + define(`L2', `$7') + + # spacing from pad center to pad center between 2 and 1,3 (1/100 mm) + define(`S12', `$8') + # spacing from pad center to pad center between 1 and 3 (1/100 mm) + define(`S13', `$9') + + # package width (1/100 mm) + define(`WIDTH', `$10') + + # silkscreen width (mils) + define(`SILKW', `10') + + # how much space to leave around the part before the + # silk screen (mils) + define(`SILKS', `8') + # lower right corner for silk screen (mil) + ifelse(eval(L2 > L13), 1 + define(`SILKX', `eval((S12+L2)*50/254 + SILKS + SILKW/2)'), + define(`SILKX', `eval((S12+L13)*50/254 + SILKS + SILKW/2)') + ) + define(`SILKY', `eval((WIDTH/2)*100/254 + SILKS + SILKW/2)') + + # refdes text size (mil) + define(`TEXTSIZE', 100) + # x,y coordinates for refdes label (mil) + define(`TEXTX', -SILKX) + define(`TEXTY', `eval(-SILKY - 10 - TEXTSIZE/2)') + +Element(0x00 "$1" "`$2'" "$3" TEXTX TEXTY 0 TEXTSIZE 0x00) +( + +# pin 1 +ifelse(0, eval(W13>L13), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval( (S12 - L13 + W13)*50/254) eval( S13*50/254) + eval( (S12 + L13 - W13)*50/254) eval( S13*50/254) eval(W13*100/254) "1" 0x100) + , + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(eval( (S12 + L13)*50/254) eval((-S13 - W13 + L13)*50/254) + eval( (S12 + L13)*50/254) eval((-S13 + W13 - L13)*50/254) eval(L13*100/254) "1" 0x100) + ) + +# pin 2 +ifelse(0, eval(W2>L2), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval( (-S12 - L2 + W2)*50/254) 0 + eval( (-S12 + L2 - W2)*50/254) 0 eval(W2*100/254) "2" 0x100) + , + # Pads which have the Y (width) pad dimension greater + # than or equal to the X (length) pad dimension + Pad(eval( -S12*50/254) eval((- W2 + L2)*50/254) + eval( -S12*50/254) eval(( W2 - L2)*50/254) eval(L2*100/254) "2" 0x100) + ) + +# pin 3 +ifelse(0, eval(W13>L13), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval( (S12 - L13 + W13)*50/254) eval(-S13*50/254) + eval( (S12 + L13 - W13)*50/254) eval(-S13*50/254) eval(W13*100/254) "3" 0x100) + , + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(eval( (S12 + L13)*50/254) eval((-S13 - W13 + L13)*50/254) + eval( (S12 + L13)*50/254) eval((-S13 + W13 - L13)*50/254) eval(L13*100/254) "3" 0x100) + ) + +# Silk screen around package +ElementLine( SILKX SILKY SILKX -SILKY SILKW) +ElementLine( SILKX -SILKY -SILKX -SILKY SILKW) +ElementLine(-SILKX -SILKY -SILKX SILKY SILKW) +ElementLine(-SILKX SILKY SILKX SILKY SILKW) + +# Mark at the center of the part +Mark(0 0) +)') + +# ------------------------------------------------------------------- + +# EXTRACT_BEGIN + + +# +## Bourns 3224 Series SMT Trim Pot +# + +define(`PKG_BOURNS_3224G', `PKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `127', `127', `200', `127', `520', `230', `480')') +define(`PKG_BOURNS_3224J', `PKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `130', `200', `200', `200', `400', `230', `480')') +define(`PKG_BOURNS_3224W', `PKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `130', `160', `200', `160', `290', `254', `480')') +define(`PKG_BOURNS_3224X', `PKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `132', `190', `200', `190', `510', `254', `480')') + +# EXTRACT_END + Index: oldlib/lib/candk.inc =================================================================== --- oldlib/lib/candk.inc (nonexistent) +++ oldlib/lib/candk.inc (revision 1033) @@ -0,0 +1,190 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2007 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; version 2 of the License. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# The symbols produced by this file may be used and redistributed +# without restriction as part of a PCB layout file. Distribution +# of footprints produced by this file as part of a footprint +# library is governed by the same license as this source file. +# + +# C&K Specific Footprints + + +# ES series surface mount switches + +# $1: canonical name +# $2: name on PCB +# $3: value + +define(`PKG_CANDK_ES_SMT_SWITCH', + ` + # pad 1,2,3 width (1/100 mil) + define(`PADW1', `3500') + + # pad 1,2,3 length (1/100 mil) + define(`PADL1', `8200') + + # x value for pads 1,3 (1/100 mil) + define(`PADX1', `10000') + + # y value for pads 1,2,3 (1/100 mil) + define(`PADY1', `17500') + define(`PADY1A', `eval(PADY1 - (PADL1 - PADW1)/2)') + define(`PADY1B', `eval(PADY1 + (PADL1 - PADW1)/2)') + + + # mounting pad width (1/100 mil) + define(`PADW2', `9150') + + # mounting pad length (1/100 mil) + define(`PADL2', `6000') + + # x value for the mounting pads (1/100 mil) + define(`PADX2', `21175') + define(`PADX2A', `eval(PADX2 - (PADW2 - PADL2)/2)') + define(`PADX2B', `eval(PADX2 + (PADW2 - PADL2)/2)') + + # y value for the mounting pads (1/100 mil) + define(`PADY2', `-17500') + + # package width (1/100 mil) + define(`PACKW', `39500') + + # package height (1/100 mil) + define(`PACKH', `36000') + + # component veritcal height off board (1/100 mil) + define(`COMPH', `eval($9*10000/254)') + + # pad width and length + define(`PADW', `eval(PINW + 2000)') + define(`PADL', `eval(PINL + 2000)') + + # y values for drawing the pad. + # The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + define(`PY1', `eval((PINL + PINS + PADL - PADW)/2)') + define(`PY2', `eval((PINL + PINS - PADL + PADW)/2)') + + # width of soldermask relief (5 mil on each side) + define(`MASKW1', `eval(PADW1 + 1000)') + define(`MASKW2', `eval(PADL2 + 1000)') + + # top edge of switch body (1/100 mil) + define(`SWTOP', `eval(PADY2 - 5000)') + + # bottom edge of switch body (1/100 mil) + define(`SWBOT', `eval(SWTOP + PACKH)') + + # how much the switch extends beyond the body + define(`SWEXT', `12100') + + # y value for the far end of the switch + define(`SWYMAX', `eval(SWTOP - SWEXT)') + + # silkscreen width (1/100 mils) + define(`SILKW', `1000') + + # how much space to leave around the part before the + # silk screen (1/100 mils) + define(`SILKS', `800') + + # X values for silk on sides and bottom of switch + define(`SX1', `eval( (PACKW + 2*SILKS + SILKW)/2)') + define(`SX2', `eval( 10000 + PADW1/2 + SILKS + SILKW/2)') + + # bottom edge of the switch body + define(`SY1', `eval( SWBOT + SILKS + SILKW/2 )') + + # bottom edge of upper pads + define(`SY2', `eval( PADY2 + PADL2/2 + SILKS + SILKW/2)') + + # bottom edge of the lower pads + define(`SY3', `eval( PADY1 + PADL1/2 + SILKS + SILKW/2)') + + # top edge of the switch body + define(`SY4', `eval( PADY2 - PADL2/2 - SILKS - SILKW/2)') + + # top edge of the switch + define(`SY5', `eval( SWTOP - SILKS - SILKW/2)') + + +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "`$1'" "`$2'" "`$3'" 0 0 0 0 0 100 ""] +( + +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] + +# the signal pads +Pad[ -PADX1 PADY1A -PADX1 PADY1B PADW1 1000 MASKW1 "1" "1" "square"] +Pad[ 0 PADY1A 0 PADY1B PADW1 1000 MASKW1 "2" "2" "square"] +Pad[ PADX1 PADY1A PADX1 PADY1B PADW1 1000 MASKW1 "3" "3" "square"] + +# the mounting pads +Pad[ -PADX2A PADY2 -PADX2B PADY2 PADL2 1000 MASKW2 "4" "4" "square"] +Pad[ PADX2A PADY2 PADX2B PADY2 PADL2 1000 MASKW2 "4" "4" "square"] + +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] + +# bottom edge +ElementLine[ SX1 SY1 SX2 SY1 SILKW ] +ElementLine[-SX1 SY1 -SX2 SY1 SILKW ] +ElementLine[-SX2 SY1 -SX2 SY3 SILKW ] +ElementLine[ SX2 SY1 SX2 SY3 SILKW ] +ElementLine[-SX2 SY3 SX2 SY3 SILKW ] + +# left/right +ElementLine[ SX1 SY1 SX1 SY2 SILKW ] +ElementLine[-SX1 SY1 -SX1 SY2 SILKW ] + +# top edge +ElementLine[-SX1 SWYMAX SX1 SWYMAX SILKW ] +ElementLine[-SX1 SY5 SX1 SY5 SILKW ] +ElementLine[-SX1 SY4 -SX1 SWYMAX SILKW ] +ElementLine[ SX1 SY4 SX1 SWYMAX SILKW ] + +# cross at top where switch moves +ElementLine[-SX1 SWYMAX SX1 SY5 SILKW ] +ElementLine[-SX1 SY5 SX1 SWYMAX SILKW ] + +)') + +# ------------------------------------------------------------------- + +# EXTRACT_BEGIN + + +# +## C&K ES Series Switches +# + +# See www.ittcannon.com. + +define(`PKG_CANDK_ES01MSABE', `PKG_CANDK_ES_SMT_SWITCH(`$1', `$2', `$3')') +define(`PKG_CANDK_ES02MSABE', `PKG_CANDK_ES_SMT_SWITCH(`$1', `$2', `$3')') +define(`PKG_CANDK_ES03MSABE', `PKG_CANDK_ES_SMT_SWITCH(`$1', `$2', `$3')') + + + +# EXTRACT_END + Index: oldlib/lib/common.m4 =================================================================== --- oldlib/lib/common.m4 (nonexistent) +++ oldlib/lib/common.m4 (revision 1033) @@ -0,0 +1,115 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# common defines for packages +# +# ------------------------------------------------------------------- +# create a single object +# $1: mask name +# $2: 'value' of the new object +# $3: package of the circuit +# +define(`CreateObject', + `ifdef(`PinList_$1', `DefinePinList(PinList_$1)')' + `PKG_$3(`Description_$1', ,``$2'', Param1_$1, Param2_$1)' +) + +# this one is used to show the correct value for the footprint attribute +# in a gschem (www.geda.seul.org) schematic. See QueryLibrary.sh +define(`QueryObject', + `ifdef(`PinList_$1', `DefinePinList(PinList_$1)')' +`$3 ifdef(`Param1_$1', `Param1_$1') ifdef(`Param2_$1', `Param2_$1')' +) + +# ------------------------------------------------------------------- +# define for-loops like the manual tells us +# +define(`forloop', + `pushdef(`$1', `$2')_forloop(`$1', `$2', `$3', `$4')popdef(`$1')') +define(`_forloop', + `$4`'ifelse($1, `$3', , + `define(`$1', incr($1))_forloop(`$1', `$2', `$3', `$4')')') + +# ------------------------------------------------------------------- +# the following definitions evaluate the list of pin-names +# missing names will be defined as 'P_#' +# +# the first two arguments are skipped +# +define(`PIN', `Pin($1 $2 $3 $4 ifdef(`P_$5', "P_$5", "$5") ifelse($5, 1, 0x101, 0x01))') +define(`PAD', `Pad($1 $2 $3 $4 $5 ifdef(`P_$6', "P_$6", "$6") ifelse($6, 1, 0x00, 0x100))') + +define(`EDGECONN', `Pad($1 $2 $3 $4 $5 ifdef(`P_$6', "P_$6", "$6") "$6" $7)') +define(`DEFPIN', `define(`count', incr(count))' `define(`P_'count, $1)') +define(`DefinePinList', `ifelse($#, 1, , + `pushdef(`count')' + `define(`count', 0)' + `_DEFPINLIST($@)' + `popdef(`count')')') +define(`_DEFPINLIST', `ifelse($#, 0, , $#, 1, `DEFPIN(`$1')', + `DEFPIN(`$1')'` + _DEFPINLIST(shift($@))')') + +define(`args',` + ifelse($#, 0, , $#, 1,`define(`arg'cnt,`$1')', + `define(`arg'cnt,`$1') define(`cnt',incr(cnt)) args(shift($@))')') + +include(amp.inc) +include(amphenol.inc) +include(bga.inc) +include(bourns.inc) +include(candk.inc) +include(connector.inc) +include(cts.inc) +include(dil.inc) +include(geda.inc) +include(johnstech.inc) +include(minicircuits.inc) +include(misc.inc) +include(nichicon.inc) +include(optek.inc) +include(panasonic.inc) +include(pci.inc) +include(plcc.inc) +include(qfn.inc) +include(qfp.inc) +include(qfp2.inc) +include(qfpdj.inc) +include(resistor_adjust.inc) +include(rules.inc) +include(smt.inc) +include(to.inc) +include(zif.inc) + +# if any of these files exist, then include them. +# this makes it a bit easier to configure pcb without +# mucking with app-defaults every time you launch it +sinclude(site-config.inc) +sinclude(user-config.inc) +sinclude(proj-config.inc) + +divert(0)dnl Index: oldlib/lib/connector.inc =================================================================== --- oldlib/lib/connector.inc (nonexistent) +++ oldlib/lib/connector.inc (revision 1033) @@ -0,0 +1,766 @@ +*# -*- m4 -*- +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# connector packages + +# ------------------------------------------------------------------- +# the definition of a single connector +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of rows +# $5: number of columns +# +define(`PKG_CONNECTOR', + `define(`MAXY', `eval(`$4' * 100)') + define(`MAXX', `eval(`$5' * 100)') +Element(0x00 "$1" "`$2'" "$3" eval(MAXX + 60) 0 3 100 0x00) +( + forloop(`row', 1, $4, `forloop(`col', 1, $5, + `PIN(eval(col * 100 -50), eval(row * 100 -50), 60, 38, eval((row-1)*$5+col)) + ') ') + ElementLine(0 0 0 MAXY 10) + ElementLine(0 MAXY MAXX MAXY 10) + ElementLine(MAXX MAXY MAXX 0 10) + ElementLine(MAXX 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +)') + +# ------------------------------------------------------------------- +# the definition of a 2 column header connector with DIP +# pin numbering. Use PKG_CONNECTOR for ribbon cable numbering +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of rows +# +define(`PKG_CONNECTOR_DIL', + `define(`MAXY', `eval(`$4' * 100)') + define(`MAXX', `200') +Element(0x00 "$1" "`$2'" "$3" eval(MAXX + 60) 0 3 100 0x00) +( + forloop(`row', 1, eval(`$4'), + `PIN(50, eval(row * 100 -50), 60, 38, eval(row)) + ') + forloop(`row', 1, eval(`$4'), + `PIN(150, eval(($4 + 1 - row) * 100 -50), 60, 38, eval($4 + row)) + ') + ElementLine(0 0 0 MAXY 10) + ElementLine(0 MAXY MAXX MAXY 10) + ElementLine(MAXX MAXY MAXX 0 10) + ElementLine(MAXX 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +)') + + + +# --------------------------------------------------------------- +# base definition (housing) for connector DIN 41.612 +# 11/95 Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +# female package added 05/96 also by Volker Bosch +# +# derived from above for 48 - Pin Connectors from the same series +# Holm Tiffe +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: requested rows a,b,c +# +define(`PKG_DIN41_612MALE_SMALL', + `define(`XPOS', `eval(300 + 100 * i)') + define(`MINY', 300) +Element(0x00 "$1" "`$2'" "$3" 520 550 0 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 16, `PIN(eval(2000 - 100 *i), 300, 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , `define(`MINY', 200)' + forloop(`i', 1, 16, `PIN(eval(2000 - 100 *i), 200, 60, 30, eval(16 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MINY', 100)' + forloop(`i', 1, 16, `PIN(eval(2000 - 100 *i), 100, 60, 30, eval(32 + i)) + ')) + # Rueckseite Kontaktstifte + forloop(`i', 1, 16, `ElementLine(XPOS MINY XPOS 375 40) + ') + + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(2100 400 120 80 "M2" 0x01) + + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + + # Begrenzung M2 + ElementLine(1980 300 2200 300 20) + ElementLine(2200 300 2200 620 20) + ElementLine(2200 620 2100 620 20) + ElementLine(2100 620 1980 620 10) + ElementLine(1980 620 1980 395 10) + ElementLine(1980 395 1980 300 20) + + # Kante Pins + ElementLine( 320 395 1980 395 20) + + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 2100 800 20) + ElementLine(2100 800 2100 620 20) + + Mark(1900 300) +)') + +define(`PKG_DIN41_612FEMALE_SMALL', + `define(`MAXX', 420) + define(`MAXX1', `eval(MAXX -170)') + define(`MAXX2', `eval(MAXX -40)') +Element(0x00 "$1" "`$2'" "$3" 50 100 3 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 16, `PIN(200, eval(300 + 100 *i), 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , + forloop(`i', 1, 16, `PIN(300, eval(300 + 100 *i), 60, 30, eval(16 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MAXX', 520)' + forloop(`i', 1, 16, `PIN(400, eval(300 + 100 *i), 60, 30, eval(32 + i)) + ')) + + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 2120 120 80 "M2" 0x01) + + # Aeussere Begrenzung + ElementLine( 80 80 MAXX 80 20) + ElementLine(MAXX 80 MAXX 2220 20) + ElementLine(MAXX 2220 80 2220 20) + ElementLine( 80 2220 80 80 20) + + # Innere Begrenzung + ElementLine(120 320 MAXX1 320 10) + ElementLine(MAXX1 320 MAXX1 360 10) + ElementLine(MAXX1 360 MAXX2 360 10) + ElementLine(MAXX2 360 MAXX2 1940 10) + ElementLine(MAXX2 1940 MAXX1 1940 10) + ElementLine(MAXX1 1940 MAXX1 1980 10) + ElementLine(MAXX1 1980 120 1980 10) + ElementLine(120 1980 120 320 10) + + # Markierung: Pin 1a + Mark(200 400) +)') + + +# --------------------------------------------------------------- +# base definition (housing) for connector DIN 41.612 +# 11/95 Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +# female package added 05/96 also by Volker Bosch +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: requested rows a,b,c +# +define(`PKG_DIN41_612MALE', + `define(`XPOS', `eval(300 + 100 * i)') + define(`MINY', 300) +Element(0x00 "$1" "`$2'" "$3" 520 550 0 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 32, `PIN(eval(3600 - 100 *i), 300, 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , `define(`MINY', 200)' + forloop(`i', 1, 32, `PIN(eval(3600 - 100 *i), 200, 60, 30, eval(32 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MINY', 100)' + forloop(`i', 1, 32, `PIN(eval(3600 - 100 *i), 100, 60, 30, eval(64 + i)) + ')) + # Rueckseite Kontaktstifte + forloop(`i', 1, 32, `ElementLine(XPOS MINY XPOS 375 40) + ') + + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(3700 400 120 80 "M2" 0x01) + + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + + # Begrenzung M2 + ElementLine(3580 300 3800 300 20) + ElementLine(3800 300 3800 620 20) + ElementLine(3800 620 3700 620 20) + ElementLine(3700 620 3580 620 10) + ElementLine(3580 620 3580 395 10) + ElementLine(3580 395 3580 300 20) + + # Kante Pins + ElementLine( 320 395 3580 395 20) + + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 3700 800 20) + ElementLine(3700 800 3700 620 20) + + Mark(3500 300) +)') + +define(`PKG_DIN41_612FEMALE', + `define(`MAXX', 420) + define(`MAXX1', `eval(MAXX -170)') + define(`MAXX2', `eval(MAXX -40)') +Element(0x00 "$1" "`$2'" "$3" 50 100 3 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 32, `PIN(200, eval(300 + 100 *i), 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , + forloop(`i', 1, 32, `PIN(300, eval(300 + 100 *i), 60, 30, eval(32 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MAXX', 520)' + forloop(`i', 1, 32, `PIN(400, eval(300 + 100 *i), 60, 30, eval(64 + i)) + ')) + + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 3720 120 80 "M2" 0x01) + + # Aeussere Begrenzung + ElementLine( 80 80 MAXX 80 20) + ElementLine(MAXX 80 MAXX 3820 20) + ElementLine(MAXX 3820 80 3820 20) + ElementLine( 80 3820 80 80 20) + + # Innere Begrenzung + ElementLine(120 320 MAXX1 320 10) + ElementLine(MAXX1 320 MAXX1 360 10) + ElementLine(MAXX1 360 MAXX2 360 10) + ElementLine(MAXX2 360 MAXX2 3540 10) + ElementLine(MAXX2 3540 MAXX1 3540 10) + ElementLine(MAXX1 3540 MAXX1 3580 10) + ElementLine(MAXX1 3580 120 3580 10) + ElementLine(120 3580 120 320 10) + + # Markierung: Pin 1a + Mark(200 400) +)') + +# --------------------------------------------------------------- +# base definition (housing) for connector DIN 41.651 laying and standing +# 05/96 Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +# +# Changed hole size from 20 mils to 28 mils; 07/00 LRDoolittle@lbl.gov +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# +define(`PKG_DIN41_651LAY', + `define(`MAXY', `eval($4/2 *100 +400)') + define(`CENTERY', `eval($4/2 *50 +425)') +Element(0x00 "$1" "`$2'" "$3" 400 250 3 200 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(100, eval(100*i +400), 60, 40, eval(2*i-1)) + PIN(200, eval(100*i +400), 60, 40, eval(2*i)) + ') + + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 eval(MAXY+230) 100 80 "M2" 0x01) + + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 eval(MAXY+150) 20) + ElementLine(610 eval(MAXY+150) 770 eval(MAXY+200) 20) + ElementLine(770 eval(MAXY+200) 770 eval(MAXY+300) 20) + ElementLine(770 eval(MAXY+300) 335 eval(MAXY+430) 20) + ElementLine(335 eval(MAXY+430) 80 eval(MAXY+430) 20) + ElementLine( 80 eval(MAXY+430) 80 70 20) + + # Codieraussparung + ElementLine(610 eval(CENTERY -50) 435 eval(CENTERY -50) 5) + ElementLine(435 eval(CENTERY -50) 435 eval(CENTERY +100) 5) + ElementLine(435 eval(CENTERY +100) 610 eval(CENTERY +100) 5) + + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +)') + +define(`PKG_DIN41_651STAND', + `define(`MAXY', `eval($4/2 *100 +400)') + define(`CENTERY', `eval($4/2 *50 +425)') +Element(0x00 "$1" "`$2'" "$3" 50 100 3 200 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(200, eval(100*i +400), 60, 40, eval(2*i-1)) + PIN(300, eval(100*i +400), 60, 40, eval(2*i)) + ') + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 eval(MAXY +430) 20) + ElementLine(410 eval(MAXY +430) 90 eval(MAXY +430) 20) + ElementLine(90 eval(MAXY +430) 90 70 20) + + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 eval(MAXY +150) 5) + ElementLine(390 eval(MAXY +150) 110 eval(MAXY +150) 5) + ElementLine(110 eval(MAXY +150) 110 eval(CENTERY +100) 5) + ElementLine(110 eval(CENTERY +100) 90 eval(CENTERY +100) 5) + ElementLine(90 eval(CENTERY -50) 110 eval(CENTERY -50) 5) + ElementLine(110 eval(CENTERY -50) 110 350 5) + + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + + # Auswurfhebel unten + ElementLine(200 eval(MAXY+150) 200 eval(MAXY+430) 5) + ElementLine(300 eval(MAXY+150) 300 eval(MAXY+430) 5) + + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +)') + +# --------------------------------------------------------------- +# base definition (housing) for SUB-D connectors, laying +# 11/95 Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +# female package added 05/96 also by Volker Bosch +# Pins reordered, 12/99 Larry Doolittle + +# Connector dimensions changed! No, these stupid things don't +# line up neatly on a 50 mil grid. Now corresponds to AMP's +# Application Specification 114-40013 03-APR-97 Rev B, for +# AMPLIMITE[TM] HD-20 Series 454 and 545. +# Also pulled silkscreen lines away from pins by NOSMUDGE. +# 12/99 Larry Doolittle +# +# Parameterized silkscreen in terms of PANEL_DISTANCE, the distance +# from the drilling holes to the intended edge of the board. +# According to the drawing listed above, that should be 398 or 489 +# plus some unknown flange thickness. For the part I have in my hand +# (AMP 747846-4), it's about 365 mils. The original version of this +# macro had it hard coded at 460. I also reduced size of pads and holes +# to 60/35. The drawing listed above recommends 47 mil holes, the part +# in my hand has 28 mil pins. +# +# Status: Female tested, works great, 07-Jan-2000. +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pins +# +# base is upper drilling hole +# +define(`PKG_SUBD_LAY_BASE', + `define(`BASEX', 1000) + define(`BASEY', 1000) + define(`PY1', `eval(BASEY +270)') + define(`PY2', `eval(PY1 +($4-1)/2*108)') + define(`OFFSET', `eval(($4+1)/2)') + define(`PANEL_DISTANCE', 365) +Element(0x00 "$1" "`$2'" "$3" eval(BASEX-0) eval(PY2-0) 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + define(`X1', `eval(BASEX-PANEL_DISTANCE)') + define(`Y1', `eval(PY1-390)') + define(`X2', `eval(BASEX-PANEL_DISTANCE+30)') + define(`Y2', `eval(PY2+390)') + ElementLine(X1 Y1 X2 Y1 10) + ElementLine(X2 Y1 X2 Y2 10) + ElementLine(X2 Y2 X1 Y2 10) + ElementLine(X1 Y2 X1 Y1 10) + ElementLine(X1 eval(Y1+60) X2 eval(Y1+60) 10) + ElementLine(X1 eval(Y1+180) X2 eval(Y1+180) 10) + ElementLine(X1 eval(Y2-60) X2 eval(Y2-60) 10) + ElementLine(X1 eval(Y2-180) X2 eval(Y2-180) 10) + + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + + # Gehaeuse (innerer Kasten) + define(`X1', `eval(BASEX-PANEL_DISTANCE+30)') + define(`Y1', `eval(PY1-160)') + define(`X2', `eval(BASEX-230)') + define(`Y2', `eval(PY2+160)') + ElementLine(X1 Y1 X2 Y1 20) + ElementLine(X2 Y1 X2 Y2 20) + ElementLine(X2 Y2 X1 Y2 20) + ElementLine(X1 Y2 X1 Y1 10) + + # Pins + SUBD_SUBFUNCTION + + # Befestigungsbohrung + Pin(BASEX BASEY 250 125 "C1" 0x01) + Pin(BASEX eval(PY2+270) 250 125 "C2" 0x01) + +)') + +define(`PKG_SUBD_MALE_LAY', + `define(`SUBD_SUBFUNCTION', + ` + define(`NOSMUDGE', 40) + # First row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +(i-1)*108)) + PIN(eval(BASEX+56), Y, 60, 35, i) + ElementLine(eval(BASEX+56-NOSMUDGE) Y X2 Y 20) + ') + + # Last pin in first row + PIN(eval(BASEX+56), PY2, 60, 35, OFFSET) + ElementLine(eval(BASEX+56-NOSMUDGE) PY2 X2 PY2 20) + + # Second row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +(i-1)*108+54)) + PIN(eval(BASEX-56), Y, 60, 35, eval(i+OFFSET)) + ElementLine(eval(BASEX-56-NOSMUDGE) Y X2 Y 20) + ') + # Plazierungsmarkierung == PIN 1 + Mark(eval(BASEX +50) PY1) + ')' + `PKG_SUBD_LAY_BASE(`$1', `$2', `$3', `$4')' +) + +define(`PKG_SUBD_FEMALE_LAY', + `define(`SUBD_SUBFUNCTION', + ` + define(`NOSMUDGE', 40) + # Pin 1 + PIN(eval(BASEX+56), PY2, 60, 35, 1) + ElementLine(eval(BASEX+56-NOSMUDGE) PY2 X2 PY2 20) + + # Plazierungsmarkierung == PIN 1 + # Changed PY1 to PY2 13-Dec-1999 LRD + Mark(eval(BASEX +56) PY2) + + # Remainder of the first row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +($4/2-i)*108)) + PIN(eval(BASEX+56), Y, 60, 35, eval($4/2+i+2-OFFSET)) + ElementLine(eval(BASEX+56-NOSMUDGE) Y X2 Y 20) + ') + + # Second row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +($4/2-i)*108+54)) + PIN(eval(BASEX-56), Y, 60, 35, eval($4/2+i+1)) + ElementLine(eval(BASEX-56-NOSMUDGE) Y X2 Y 20) + ') + ')' + `PKG_SUBD_LAY_BASE(`$1', `$2', `$3', `$4')' +) + +# --------------------------------------------------------------- +# definition for a right angle BNC connector, +# such as Amphenol 31-5640-1010 or OUPIIN 8928 +# 12/99 Larry Doolittle +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pins +# +# base is one of the pegs +# +define(`PKG_BNC_LAY', + `define(`BASEX', 200) + define(`BASEY', -200) +Element(0x00 "$1" "`$2'" "$3" BASEX eval(BASEY+200) 3 100 0x00) +( + define(`X1', `eval(BASEX-260)') + define(`Y1', `eval(BASEY-90)') + define(`X2', `eval(BASEX+290)') + define(`Y2', `eval(BASEY+490)') + ElementLine(X1 Y1 X2 Y1 10) + ElementLine(X2 Y1 X2 Y2 10) + ElementLine(X2 Y2 X1 Y2 10) + ElementLine(X1 Y2 X1 Y1 10) + PIN(eval(BASEX-200), eval(BASEY+200), 60, 35, 1) + PIN(eval(BASEX-200), eval(BASEY+100), 60, 35, 2) + PIN(eval(BASEX), eval(BASEY), 100, 81, m1) + PIN(eval(BASEX), eval(BASEY+400), 100, 81, m2) +)') + + +# --------------------------------------------------------------- +# definition for MTA-100 header, +# such as AMP 640456-x +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pins +# +# 0.100" pin pitch +# 0.038" drill diameter +# 0.060" pad diameter +# Available with 2 through 15 pins +# +define(`PKG_MTA_100', +` + define(`PITCH', `100') + define(`NPINS', `eval($4)') + define(`SILKW', `10') + define(`SILKXOFS', `75') + define(`SILKYOFS', `115') + define(`SILKX1', `-SILKXOFS') + define(`SILKX2', `eval((NPINS-1)*PITCH + SILKXOFS)') + define(`SILKY1', `-SILKYOFS') + define(`SILKY2', `SILKYOFS') + define(`SILKY3', `eval(SILKYOFS+2*SILKW)') + +Element(0x00 "$1" "`$2'" "$3" 0 140 0 150 0x00) +( + forloop(`i', 1, `$4', + `PIN(eval((i-1)*100), 0, 60, 38, `i') + ') + define(`X1',-78) + define(`X2', `eval(`$4'*100-78)') + ElementLine(SILKX1 SILKY1 SILKX2 SILKY1 SILKW) + ElementLine(SILKX2 SILKY1 SILKX2 SILKY3 SILKW) + ElementLine(SILKX2 SILKY2 SILKX1 SILKY2 SILKW) + ElementLine(SILKX1 SILKY3 SILKX1 SILKY1 SILKW) + + ElementLine(SILKX2 SILKY3 SILKX1 SILKY3 SILKW) + + Mark(0 0) +)') + +# --------------------------------------------------------------- +# definition for MTA-156 header, +# such as AMP 640445-x +# 12/99 Larry Doolittle +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pins +# +# base is pin 1 (although I don't claim to know which end is +# conventionally pin 1) +# 0.156 pin pitch +# 0.070 holes +# 0.078 end clearance +# 0.180 and 0.120 side clearance +# Available with 2 through 24 pins +# +define(`PKG_MTA_156', + ` +Element(0x00 "$1" "`$2'" "$3" 0 140 0 150 0x00) +( + forloop(`i', 1, `$4', + `PIN(eval((i-1)*156), 0, 100, 70, `i') + ') + define(`X1',-78) + define(`X2', `eval(`$4'*156-78)') + ElementLine(X1 120 X2 120 10) + ElementLine(X2 120 X2 -180 10) + ElementLine(X2 -180 X1 -180 10) + ElementLine(X2 -80 X1 -80 10) + ElementLine(X1 -180 X1 120 10) +)') + +# --------------------------------------------------------------- +# definition for a vertical SMA connector, +# 6/00 Larry Doolittle +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pins +# +# base is the center pin +# +define(`PKG_SMA_VERT', + `define(`BASEX', 0) + define(`BASEY', 0) +Element(0x00 "$1" "`$2'" "$3" BASEX eval(BASEY+150) 3 100 0x00) +( + define(`X1', `eval(BASEX-160)') + define(`Y1', `eval(BASEY-160)') + define(`X2', `eval(BASEX+160)') + define(`Y2', `eval(BASEY+160)') + ElementLine(X1 Y1 X2 Y1 10) + ElementLine(X2 Y1 X2 Y2 10) + ElementLine(X2 Y2 X1 Y2 10) + ElementLine(X1 Y2 X1 Y1 10) + PIN( BASEX , BASEY , 80, 30, 1) + PIN(eval(BASEX-100), eval(BASEY+100), 80, 30, 2) + PIN(eval(BASEX-100), eval(BASEY-100), 80, 30, 3) + PIN(eval(BASEX+100), eval(BASEY+100), 80, 30, 4) + PIN(eval(BASEX+100), eval(BASEY-100), 80, 30, 5) +)') + +# --------------------------------------------------------------- +# definition for a Molex high density connector, 53467/53645 +# Board-to-Board 0.635mm (0.25") Ptich Plug - SMT Dual Row, Vertical Stacking +# Reference: http://www.molex.com/product/micro/53467.html +# 4/01 Larry Doolittle +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pins +# +# base is on the symmetry line, between pins 1 and 2 +# +define(`PKG_MOLEX_025', + `define(`PINPAIRS',`eval($4/2)') +Element(0x00 "$1" "`$2'" "$3" 0 0 3 100 0x00) +( + forloop(`i', 1, PINPAIRS, + `define(`Y', eval(25*(i-1)) )' + `PAD( 54, Y, 111, Y, 14, eval(i*2-1))' + `PAD(-111, Y, -54, Y, 14, eval(i*2))' + ) + define(`ENDY',eval(25*(PINPAIRS-1))) + + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 eval(ENDY+50) 43 28 "M2" 0x01) + + # ends of mounting pads are 71 and 169 mils from end pad centers + PAD(0, -110, 0, -130, 79, M3) + PAD(0, eval(ENDY+110), 0, eval(ENDY+130), 79, M4) + + define(`BOXY',eval(ENDY+150)) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 BOXY 10) + ElementLine( 100 BOXY -100 BOXY 10) + ElementLine(-100 BOXY -100 -150 10) + + # Support for aggregate parts built from this base, like + # the nanoEngine below. + ifdef(`MOLEX_EXTRA', `MOLEX_EXTRA', ) +)') + +# --------------------------------------------------------------- +# definition for a Bright Star nanoEngine, StrongARM single board computer +# Reference: http://www.brightstar.com/arm/nanoman.pdf +# 4/01 Larry Doolittle +# +# $1: canonical name +# $2: name on PCB +# $3: value +# +# base borrowed from the Molex connector +# +define(`PKG_NANOENGINE', + `define(`MOLEX_EXTRA', ` + ElementLine(-175 -215 -175 2185 15) + ElementLine(-175 2185 1225 2185 15) + ElementLine(1225 2185 1225 -215 15) + ElementLine(1225 -215 -175 -215 15) + Pin(525 -115 125 70 "M5" 0x01) + Pin(525 2085 125 70 "M6" 0x01) + ')' + `PKG_MOLEX_025($1, $2, $3, 160)' +) + +# ------------------------------------------------------------------- +# the definition of a through hole test point +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: drill diameter (mils) +# $5: silk diameter (mils) +# +define(`PKG_TP', + ` + define(`DRILL', `$4') + define(`SILK', `eval($5/2)') + define(`PADDIA', `eval(DRILL + 20)') + define(`SILKW', `10') +Element(0x00 "$1" "`$2'" "$3" -20 eval(-SILK - 60) 0 100 0x00) +( + Pin( 0 0 PADDIA DRILL "1" "1" 0x0) + ElementArc(0 0 SILK SILK 0 360 SILKW) + + # Mark at the common centroid + Mark(0 0) +)') Property changes on: oldlib/lib/connector.inc ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/connector.list =================================================================== --- oldlib/lib/connector.list (nonexistent) +++ oldlib/lib/connector.list (revision 1033) @@ -0,0 +1,103 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +connector2:CONNECTOR:2 +connector4:CONNECTOR:4 +connector6:CONNECTOR:6 +connector8:CONNECTOR:8 +connector10:CONNECTOR:10 +connector12:CONNECTOR:12 +connector14:CONNECTOR:14 +connector15:CONNECTOR:15 +connector16:CONNECTOR:16 +connector18:CONNECTOR:18 +connector20:CONNECTOR:20 +connector50:CONNECTOR:50 +DIN41_612_abc48female_s:DIN41_612FEMALE_SMALL:abc48f +DIN41_612_abc48male_s:DIN41_612MALE_SMALL:abc48m +DIN41_612_ab64female:DIN41_612FEMALE:ab64f +DIN41_612_ab64male:DIN41_612MALE:ab64m +DIN41_612_ac64female:DIN41_612FEMALE:ac64f +DIN41_612_ac64male:DIN41_612MALE:ac64m +DIN41_612_abc96female:DIN41_612FEMALE:abc96f +DIN41_612_abc96male:DIN41_612MALE:abc96m +DIN41_651_10lay:DIN41_651LAY:10l +DIN41_651_10stand:DIN41_651STAND:10s +DIN41_651_14lay:DIN41_651LAY:14l +DIN41_651_14stand:DIN41_651STAND:14s +DIN41_651_16lay:DIN41_651LAY:16l +DIN41_651_16stand:DIN41_651STAND:16s +DIN41_651_18lay:DIN41_651LAY:18l +DIN41_651_18stand:DIN41_651STAND:18s +DIN41_651_20lay:DIN41_651LAY:20l +DIN41_651_20stand:DIN41_651STAND:20s +DIN41_651_22lay:DIN41_651LAY:22l +DIN41_651_22stand:DIN41_651STAND:22s +DIN41_651_24lay:DIN41_651LAY:24l +DIN41_651_24stand:DIN41_651STAND:24s +DIN41_651_26lay:DIN41_651LAY:26l +DIN41_651_26stand:DIN41_651STAND:26s +DIN41_651_34lay:DIN41_651LAY:34l +DIN41_651_34stand:DIN41_651STAND:34s +DIN41_651_40lay:DIN41_651LAY:40l +DIN41_651_40stand:DIN41_651STAND:40s +DIN41_651_50lay:DIN41_651LAY:50l +DIN41_651_50stand:DIN41_651STAND:50s +DIN41_651_64lay:DIN41_651LAY:64l +DIN41_651_64stand:DIN41_651STAND:64s +PC_Centronics:SUBD_FEMALE_LAY:PC-centronics +PC_V24_9P:SUBD_MALE_LAY:PC-RS232 +PC_V24_25P:SUBD_MALE_LAY:PC-RS232 +SCSI_SE:CONNECTOR:single-ended SCSI +SUBD_9F:SUBD_FEMALE_LAY:9f +SUBD_9M:SUBD_MALE_LAY:9m +SUBD_15F:SUBD_FEMALE_LAY:15f +SUBD_15M:SUBD_MALE_LAY:15m +SUBD_25F:SUBD_FEMALE_LAY:25f +SUBD_25M:SUBD_MALE_LAY:25m +BNC_rightangle:BNC_LAY:1 +MTA_156_2:MTA_156:2 +SMA_vertical:SMA_VERT:1 +MOLEX_025_20:MOLEX_025:20 +MOLEX_025_30:MOLEX_025:30 +MOLEX_025_40:MOLEX_025:40 +MOLEX_025_50:MOLEX_025:50 +MOLEX_025_60:MOLEX_025:60 +MOLEX_025_68:MOLEX_025:68 +MOLEX_025_70:MOLEX_025:70 +MOLEX_025_80:MOLEX_025:80 +MOLEX_025_90:MOLEX_025:90 +MOLEX_025_100:MOLEX_025:100 +MOLEX_025_120:MOLEX_025:120 +MOLEX_025_140:MOLEX_025:140 +MOLEX_025_160:MOLEX_025:160 +MOLEX_025_180:MOLEX_025:180 +MOLEX_025_200:MOLEX_025:200 +MOLEX_025_240:MOLEX_025:240 +NANOENGINE:NANOENGINE:160 + Property changes on: oldlib/lib/connector.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/connector.m4 =================================================================== --- oldlib/lib/connector.m4 (nonexistent) +++ oldlib/lib/connector.m4 (revision 1033) @@ -0,0 +1,274 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +define(`Description_connector2', `connector 2x1 pins') +define(`Param1_connector2', 1) +define(`Param2_connector2', 2) + +define(`Description_connector4', `connector 2x2 pins') +define(`Param1_connector4', 2) +define(`Param2_connector4', 2) + +define(`Description_connector6', `connector 2x3 pins') +define(`Param1_connector6', 3) +define(`Param2_connector6', 2) + +define(`Description_connector8', `connector 2x4 pins') +define(`Param1_connector8', 4) +define(`Param2_connector8', 2) + +define(`Description_connector10', `connector 2x5 pins') +define(`Param1_connector10', 5) +define(`Param2_connector10', 2) + +define(`Description_connector12', `connector 2x6 pins') +define(`Param1_connector12', 6) +define(`Param2_connector12', 2) + +define(`Description_connector12', `connector 3x4 pins') +define(`Param1_connector12', 4) +define(`Param2_connector12', 3) + +define(`Description_connector14', `connector 2x7 pins') +define(`Param1_connector14', 7) +define(`Param2_connector14', 2) + +define(`Description_connector15', `connector 3x5 pins') +define(`Param1_connector15', 5) +define(`Param2_connector15', 3) + +define(`Description_connector16', `connector 2x8 pins') +define(`Param1_connector16', 8) +define(`Param2_connector16', 2) + +define(`Description_connector18', `connector 2x9 pins') +define(`Param1_connector18', 9) +define(`Param2_connector18', 2) + +define(`Description_connector18', `connector 3x6 pins') +define(`Param1_connector18', 6) +define(`Param2_connector18', 3) + +define(`Description_connector20', `connector 2x10 pins') +define(`Param1_connector20', 10) +define(`Param2_connector20', 2) + +define(`Description_connector50', `connector 2x25 pins') +define(`Param1_connector50', 25) +define(`Param2_connector50', 2) + + +define(`Description_DIN41_612_abc48male_s', `DIN41.612 row a+b+c male') +define(`Param1_DIN41_612_abc48male', `abc') +define(`PinList_DIN41_612_abc48male', + `forloop(`i', 1, 16, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 16, `define(`P_'eval(i +16), `b'i)')' + `forloop(`i', 1, 16, `define(`P_'eval(i +32), `c'i)')') + +define(`Description_DIN41_612_abc48female_s', `DIN41.612 row a+b+c female') +define(`Param1_DIN41_612_abc48female', `abc') +define(`PinList_DIN41_612_abc48female', + `forloop(`i', 1, 16, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 16, `define(`P_'eval(i +16), `b'i)')' + `forloop(`i', 1, 16, `define(`P_'eval(i +32), `c'i)')') + +define(`Description_DIN41_612_ab64male', `DIN41.612 row a+b male') +define(`Param1_DIN41_612_ab64male', `ab') +define(`PinList_DIN41_612_ab64male', + `forloop(`i', 1, 32, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +32), `b'i)')') + +define(`Description_DIN41_612_ab64female', `DIN41.612 row a+b female') +define(`Param1_DIN41_612_ab64female', `ab') +define(`PinList_DIN41_612_ab64female', + `forloop(`i', 1, 32, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +32), `b'i)')') + +define(`Description_DIN41_612_ac64male', `DIN41.612 row a+c male') +define(`Param1_DIN41_612_ac64male', `ac') +define(`PinList_DIN41_612_ac64male', + `forloop(`i', 1, 32, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +64), `c'i)')') + +define(`Description_DIN41_612_ac64female', `DIN41.612 row a+c female') +define(`Param1_DIN41_612_ac64female', `ac') +define(`PinList_DIN41_612_ac64female', + `forloop(`i', 1, 32, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +64), `c'i)')') + +define(`Description_DIN41_612_abc96male', `DIN41.612 row a+b+c male') +define(`Param1_DIN41_612_abc96male', `abc') +define(`PinList_DIN41_612_abc96male', + `forloop(`i', 1, 32, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +32), `b'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +64), `c'i)')') + +define(`Description_DIN41_612_abc96female', `DIN41.612 row a+b+c female') +define(`Param1_DIN41_612_abc96female', `abc') +define(`PinList_DIN41_612_abc96female', + `forloop(`i', 1, 32, `define(`P_'i, `a'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +32), `b'i)')' + `forloop(`i', 1, 32, `define(`P_'eval(i +64), `c'i)')') + +define(`Description_DIN41_651_10lay', `DIN41.651 laying 10 pins') +define(`Param1_DIN41_651_10lay', 10) + +define(`Description_DIN41_651_10stand', `DIN41.651 standing 10 pins') +define(`Param1_DIN41_651_10stand', 10) + +define(`Description_DIN41_651_14lay', `DIN41.651 laying 14 pins') +define(`Param1_DIN41_651_14lay', 14) + +define(`Description_DIN41_651_14stand', `DIN41.651 standing 14 pins') +define(`Param1_DIN41_651_14stand', 14) + +define(`Description_DIN41_651_16lay', `DIN41.651 laying 16 pins') +define(`Param1_DIN41_651_16lay', 16) + +define(`Description_DIN41_651_16stand', `DIN41.651 standing 16 pins') +define(`Param1_DIN41_651_16stand', 16) + +define(`Description_DIN41_651_18lay', `DIN41.651 laying 18 pins') +define(`Param1_DIN41_651_18lay', 18) + +define(`Description_DIN41_651_18stand', `DIN41.651 standing 18 pins') +define(`Param1_DIN41_651_18stand', 18) + +define(`Description_DIN41_651_20lay', `DIN41.651 laying 20 pins') +define(`Param1_DIN41_651_20lay', 20) + +define(`Description_DIN41_651_20stand', `DIN41.651 standing 20 pins') +define(`Param1_DIN41_651_20stand', 20) + +define(`Description_DIN41_651_22lay', `DIN41.651 laying 22 pins') +define(`Param1_DIN41_651_22lay', 22) + +define(`Description_DIN41_651_22stand', `DIN41.651 standing 22 pins') +define(`Param1_DIN41_651_22stand', 22) + +define(`Description_DIN41_651_24lay', `DIN41.651 laying 24 pins') +define(`Param1_DIN41_651_24lay', 24) + +define(`Description_DIN41_651_24stand', `DIN41.651 standing 24 pins') +define(`Param1_DIN41_651_24stand', 24) + +define(`Description_DIN41_651_26lay', `DIN41.651 laying 26 pins') +define(`Param1_DIN41_651_26lay', 26) + +define(`Description_DIN41_651_26stand', `DIN41.651 standing 26 pins') +define(`Param1_DIN41_651_26stand', 26) + +define(`Description_DIN41_651_34lay', `DIN41.651 laying 34 pins') +define(`Param1_DIN41_651_34lay', 34) + +define(`Description_DIN41_651_34stand', `DIN41.651 standing 34 pins') +define(`Param1_DIN41_651_34stand', 34) + +define(`Description_DIN41_651_40lay', `DIN41.651 laying 40 pins') +define(`Param1_DIN41_651_40lay', 40) + +define(`Description_DIN41_651_40stand', `DIN41.651 standing 40 pins') +define(`Param1_DIN41_651_40stand', 40) + +define(`Description_DIN41_651_50lay', `DIN41.651 laying 50 pins') +define(`Param1_DIN41_651_50lay', 50) + +define(`Description_DIN41_651_50stand', `DIN41.651 standing 50 pins') +define(`Param1_DIN41_651_50stand', 50) + +define(`Description_DIN41_651_64lay', `DIN41.651 laying 64 pins') +define(`Param1_DIN41_651_64lay', 64) + +define(`Description_DIN41_651_64stand', `DIN41.651 standing 64 pins') +define(`Param1_DIN41_651_64stand', 64) + +define(`Description_PC_Centronics', `PC Centronics Connector') +define(`Param1_PC_Centronics', 25) +define(`PinList_PC_Centronics', ``/Strb',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`/Ack',`Busy',`PaperE',`Sel',`AutoF',`/Fault',`/Res',`SelIn',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd'') + +define(`Description_PC_V24_9P', `PC V24 9-pins') +define(`Param1_PC_V24_9P', 9) +define(`PinList_PC_V24_9P', ``DCD',`RxD',`TxD',`DTR',`Gnd',`DSR',`RTS',`CTS',`RI'') + +define(`Description_PC_V24_25P', `PC V24 25-pins') +define(`Param1_PC_V24_25P', 25) +define(`PinList_PC_V24_25P', ``PE',`TxD',`RxD',`RTS',`CTS',`DSR',`Gnd',`DCD',`9',`10',`11',`12',`13',`14',`15',`16',`17',`18',`19',`DTR',`21',`RI',`23',`24',`25'') + +define(`Description_SCSI_SE', `single-ended SCSI 2x25 pins') +define(`Param1_SCSI_SE', 25) +define(`Param2_SCSI_SE', 2) +define(`PinList_SCSI_SE', ``Gnd',`/DB0',`Gnd',`/DB1',`Gnd',`/DB2',`Gnd',`/DB3',`Gnd',`/DB4',`Gnd',`/DB5',`Gnd',`/DB6',`Gnd',`/DB7',`Gnd',`/DBP',`Gnd',`NC',`Gnd',`NC',`Gnd',`NC',`NC',`TermPwr',`Gnd',`NC',`Gnd',`NC',`Gnd',`/Atn',`Gnd',`NC',`Gnd',`/Bsy',`Gnd',`/Ack',`Gnd',`/Rst',`Gnd',`/Msg',`Gnd',`/Sel',`Gnd',`/CD',`Gnd',`/Req',`Gnd',`/IO'') + +define(`Description_SUBD_9F', `SUB-D female 9 pins') +define(`Param1_SUBD_9F', 9) + +define(`Description_SUBD_9M', `SUB-D male 9 pins') +define(`Param1_SUBD_9M', 9) + +define(`Description_SUBD_15F', `SUB-D female 15 pins') +define(`Param1_SUBD_15F', 15) + +define(`Description_SUBD_15M', `SUB-D male 15 pins') +define(`Param1_SUBD_15M', 15) + +define(`Description_SUBD_25F', `SUB-D female 25 pins') +define(`Param1_SUBD_25F', 25) + +define(`Description_SUBD_25M', `SUB-D male 25 pins') +define(`Param1_SUBD_25M', 25) + +define(`Description_BNC_rightangle', `right angle BNC') + +define(`Description_MTA_156_2', `MTA-156 header') +define(`Param1_MTA_156_2', 2) + +define(`Description_SMA_vertical', `vertical SMA') + +define(`Molex_025_style', + `define(`Description_MOLEX_025_$1', `Molex .025 pitch $1 pin plug')' + `define(`Param1_MOLEX_025_$1', $1)' +) +Molex_025_style(20) +Molex_025_style(30) +Molex_025_style(40) +Molex_025_style(50) +Molex_025_style(60) +Molex_025_style(68) +Molex_025_style(70) +Molex_025_style(80) +Molex_025_style(90) +Molex_025_style(100) +Molex_025_style(120) +Molex_025_style(140) +Molex_025_style(160) +Molex_025_style(180) +Molex_025_style(200) +Molex_025_style(240) + +define(`Description_NANOENGINE', `Bright Star nanoEngine SBC') + +divert(0)dnl Property changes on: oldlib/lib/connector.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/crystal.list =================================================================== --- oldlib/lib/crystal.list (nonexistent) +++ oldlib/lib/crystal.list (revision 1033) @@ -0,0 +1,30 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +crystal_300:CRYSTAL:1MHz:8MHZ +crystal_300:CRYSTAL:crystal Property changes on: oldlib/lib/crystal.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/crystal.m4 =================================================================== --- oldlib/lib/crystal.m4 (nonexistent) +++ oldlib/lib/crystal.m4 (revision 1033) @@ -0,0 +1,31 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +define(`Description_crystal_300', `crystal 300mil') +define(`Param1_crystal_300', 300) + +divert(0) Property changes on: oldlib/lib/crystal.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/cts.inc =================================================================== --- oldlib/lib/cts.inc (nonexistent) +++ oldlib/lib/cts.inc (revision 1033) @@ -0,0 +1,91 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2004 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# +# CTS (http://www.ctscorp.com) Specific Footprints +# +# for the 742/3/4/5/6 resistors see +# http://www.ctscorp.com/components/Datasheets/CTSChipArrayDs.pdf + +# EXTRACT_BEGIN + +# COMMON_SMT_DIL_MM +# $4: number of pins +# $5: pad width (1/100 mm) +# $6: pad length (1/100 mm) +# $7: pad pitch (1/100 mm) +# $8: pad seperation for pads on opposite sides of +# the package (1/100 mm) +# $9: define to make the pins get numbered starting with the highest pin +# instead of pin 1. Needed for certain brain damaged packages like +# the Mini-Circuits KK81 + +# +## CTS 742C Series Chip Resistor Array +# + +define(`PKG_CTS_742C_04', `COMMON_SMT_DIL_MM(`$1',`$2',`$3' ,4,50,90,80,90)') +define(`PKG_CTS_742C_08', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 8,50,90,80,90)') +define(`PKG_CTS_742C_16', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',16,50,90,80,90)') + +# +## CTS 742C Series Chip Resistor Array +# + +define(`PKG_CTS_742X_08', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 8,50,90,80,90)') + +# +## CTS 743C Series Chip Resistor Array +# + +define(`PKG_CTS_743C_04', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',4,32000,47000,50000,28000)') +define(`PKG_CTS_743C_08', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',8,32000,47000,50000,28000)') + +# +## CTS 744C Series Chip Resistor Array +# + +define(`PKG_CTS_744C_04', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',4,32000,51000,50000,67000)') +define(`PKG_CTS_744C_08', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',8,32000,51000,50000,67000)') + +# +## CTS 745C Series Chip Resistor Array +# + +define(`PKG_CTS_745C_10', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,32000,51000,50000,67000)') + +# +# +## CTS 745X Series Chip Resistor Array +# + +define(`PKG_CTS_745X_10', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,32000,51000,50000,67000)') + +# +## CTS 746X Series Chip Resistor Array +# + +define(`PKG_CTS_746X_10', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,14000,32000,25000,28000)') + +# EXTRACT_END + Index: oldlib/lib/dil.inc =================================================================== --- oldlib/lib/dil.inc (nonexistent) +++ oldlib/lib/dil.inc (revision 1033) @@ -0,0 +1,126 @@ +# -*- m4 -*- +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# DIL packages + +# ------------------------------------------------------------------- +# the definition of a dual-inline package N and similar types +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: package size (300, 600, 900 + 100 for socket space) +# $6: pin spacing +# $7: pad size +# $8: drill size +# +define(`PKG_DIL', + ` +# retain backwards compatibility to older versions of PKG_DIL +# which did not have $6,$7,$8 args + + ifelse("`$6'","", + `define(`PINSPACE', `100')' + , + `define(`PINSPACE', eval(`$6'))' + ) + ifelse("`$7'","", + `define(`PADSIZE', `60')' + , + `define(`PADSIZE', `$7')' + ) + ifelse("`$8'","", + `define(`DRILLSIZE', `28')' + , + `define(`DRILLSIZE', `$8')' + ) + define(`MAXY', `eval(`$4' / 2 * PINSPACE)') + define(`MAXX', `eval(`$5' + 100)') + define(`CENTERX', `eval(MAXX / 2)') + define(`MARKY', `eval(PINSPACE / 2)') +Element(0x00 "$1" "`$2'" "$3" eval(CENTERX + 20) 100 3 100 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(50, eval((2*i-1) * PINSPACE/2), + eval(PADSIZE), eval(DRILLSIZE), i) + ') + forloop(`i', 1, eval($4 / 2), + `PIN(eval(MAXX -50), eval(MAXY - (2*i-1) * PINSPACE/2), + eval(PADSIZE), eval(DRILLSIZE), eval(i + $4/2)) + ') + ElementLine(0 0 0 MAXY 10) + ElementLine(0 MAXY MAXX MAXY 10) + ElementLine(MAXX MAXY MAXX 0 10) + ElementLine(0 0 eval(CENTERX - 50) 0 10) + ElementLine(eval(CENTERX + 50) 0 MAXX 0 10) + ElementArc(CENTERX 0 50 50 0 180 10) + Mark(50 MARKY) +)') +define(`PKG_J', `PKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28)') +define(`PKG_JD', `PKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28)') +define(`PKG_JG', `PKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28)') +define(`PKG_N', `PKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28)') +define(`PKG_NT', `PKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28)') +define(`PKG_P', `PKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28)') +#shrink DIP +define(`PKG_NS', `PKG_DIL(`$1', `$2', `$3', `$4', `$5', 70, 55, 28)') + +# ------------------------------------------------------------------- +# the definition of a dual-inline package D and DW +# never used by circuits, just a short-cut for others +# width D==244, DW==419 +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: width +# +define(`COMMON_D_DW', + `define(`MAXY', `eval(`$4' / 2 * 50)') + define(`MAXX', `$5') + define(`XLOW', `50') + define(`XHIGH', `eval(MAXX - 50)') + define(`CENTERX', `eval(MAXX / 2)') +Element(0x00 "$1" "`$2'" "$3" eval(CENTERX + 20) 50 3 100 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PAD(0, eval(i * 50 -25), XLOW, eval(i * 50 -25), 20, i) + ') + forloop(`i', 1, eval($4 / 2), + `PAD(XHIGH, eval(MAXY - i * 50 +25), MAXX, eval(MAXY - i * 50 +25), 20, eval(i + $4/2)) + ') + ElementLine(XLOW 0 XLOW MAXY 10) + ElementLine(XLOW MAXY XHIGH MAXY 10) + ElementLine(XHIGH MAXY XHIGH 0 10) + ElementLine(XLOW 0 eval(CENTERX - 25) 0 10) + ElementLine(eval(CENTERX + 25) 0 XHIGH 0 10) + ElementArc(CENTERX 0 25 25 0 180 10) + Mark(25 25) +)') + +define(`PKG_D', `COMMON_D_DW(`$1', `$2', `$3', `$4', 244)') +define(`PKG_DW', `COMMON_D_DW(`$1', `$2', `$3', `$4', 419)') + Property changes on: oldlib/lib/dil.inc ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/footprint.pcb =================================================================== --- oldlib/lib/footprint.pcb (nonexistent) +++ oldlib/lib/footprint.pcb (revision 1033) @@ -0,0 +1,823 @@ +PCB["" 4000000 4000000] + +Grid[500.00000000 0 0 0] +Cursor[0 0 0.000000] +Thermal[0.500000] +DRC[1000 1000 1000 1000] +Flags(0x0000000000001c40) +Groups("1,c:2,s:3:4:5:6:7:8") +Styles["Signal,1000,3600,2000,1000:Power,2500,6000,3500,1000:Fat,4000,6000,3500,1000:Skinny,600,2402,1181,600"] + +Symbol(' ' 18) +( +) +Symbol('!' 12) +( + SymbolLine(0 35 0 40 8) + SymbolLine(0 0 0 25 8) +) +Symbol('"' 12) +( + SymbolLine(0 0 0 10 8) + SymbolLine(10 0 10 10 8) +) +Symbol('#' 12) +( + SymbolLine(0 25 20 25 8) + SymbolLine(0 15 20 15 8) + SymbolLine(15 10 15 30 8) + SymbolLine(5 10 5 30 8) +) +Symbol('$' 12) +( + SymbolLine(15 5 20 10 8) + SymbolLine(5 5 15 5 8) + SymbolLine(0 10 5 5 8) + SymbolLine(0 10 0 15 8) + SymbolLine(0 15 5 20 8) + SymbolLine(5 20 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(20 25 20 30 8) + SymbolLine(15 35 20 30 8) + SymbolLine(5 35 15 35 8) + SymbolLine(0 30 5 35 8) + SymbolLine(10 0 10 40 8) +) +Symbol('%' 12) +( + SymbolLine(0 5 0 10 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 10 0 8) + SymbolLine(10 0 15 5 8) + SymbolLine(15 5 15 10 8) + SymbolLine(10 15 15 10 8) + SymbolLine(5 15 10 15 8) + SymbolLine(0 10 5 15 8) + SymbolLine(0 40 40 0 8) + SymbolLine(35 40 40 35 8) + SymbolLine(40 30 40 35 8) + SymbolLine(35 25 40 30 8) + SymbolLine(30 25 35 25 8) + SymbolLine(25 30 30 25 8) + SymbolLine(25 30 25 35 8) + SymbolLine(25 35 30 40 8) + SymbolLine(30 40 35 40 8) +) +Symbol('&' 12) +( + SymbolLine(0 35 5 40 8) + SymbolLine(0 5 0 15 8) + SymbolLine(0 5 5 0 8) + SymbolLine(0 25 15 10 8) + SymbolLine(5 40 10 40 8) + SymbolLine(10 40 20 30 8) + SymbolLine(0 15 25 40 8) + SymbolLine(5 0 10 0 8) + SymbolLine(10 0 15 5 8) + SymbolLine(15 5 15 10 8) + SymbolLine(0 25 0 35 8) +) +Symbol(''' 12) +( + SymbolLine(0 10 10 0 8) +) +Symbol('(' 12) +( + SymbolLine(0 35 5 40 8) + SymbolLine(0 5 5 0 8) + SymbolLine(0 5 0 35 8) +) +Symbol(')' 12) +( + SymbolLine(0 0 5 5 8) + SymbolLine(5 5 5 35 8) + SymbolLine(0 40 5 35 8) +) +Symbol('*' 12) +( + SymbolLine(0 10 20 30 8) + SymbolLine(0 30 20 10 8) + SymbolLine(0 20 20 20 8) + SymbolLine(10 10 10 30 8) +) +Symbol('+' 12) +( + SymbolLine(0 20 20 20 8) + SymbolLine(10 10 10 30 8) +) +Symbol(',' 12) +( + SymbolLine(0 50 10 40 8) +) +Symbol('-' 12) +( + SymbolLine(0 20 20 20 8) +) +Symbol('.' 12) +( + SymbolLine(0 40 5 40 8) +) +Symbol('/' 12) +( + SymbolLine(0 35 30 5 8) +) +Symbol('0' 12) +( + SymbolLine(0 35 5 40 8) + SymbolLine(0 5 0 35 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 15 0 8) + SymbolLine(15 0 20 5 8) + SymbolLine(20 5 20 35 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 30 20 10 8) +) +Symbol('1' 12) +( + SymbolLine(5 40 15 40 8) + SymbolLine(10 0 10 40 8) + SymbolLine(0 10 10 0 8) +) +Symbol('2' 12) +( + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 20 0 8) + SymbolLine(20 0 25 5 8) + SymbolLine(25 5 25 15 8) + SymbolLine(0 40 25 15 8) + SymbolLine(0 40 25 40 8) +) +Symbol('3' 12) +( + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 15 0 8) + SymbolLine(15 0 20 5 8) + SymbolLine(20 5 20 35 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 20 20 20 8) +) +Symbol('4' 12) +( + SymbolLine(0 20 20 0 8) + SymbolLine(0 20 25 20 8) + SymbolLine(20 0 20 40 8) +) +Symbol('5' 12) +( + SymbolLine(0 0 20 0 8) + SymbolLine(0 0 0 20 8) + SymbolLine(0 20 5 15 8) + SymbolLine(5 15 15 15 8) + SymbolLine(15 15 20 20 8) + SymbolLine(20 20 20 35 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 35 5 40 8) +) +Symbol('6' 12) +( + SymbolLine(15 0 20 5 8) + SymbolLine(5 0 15 0 8) + SymbolLine(0 5 5 0 8) + SymbolLine(0 5 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(15 20 20 25 8) + SymbolLine(0 20 15 20 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) + SymbolLine(20 25 20 35 8) +) +Symbol('7' 12) +( + SymbolLine(0 40 25 15 8) + SymbolLine(25 0 25 15 8) + SymbolLine(0 0 25 0 8) +) +Symbol('8' 12) +( + SymbolLine(0 35 5 40 8) + SymbolLine(0 25 0 35 8) + SymbolLine(0 25 5 20 8) + SymbolLine(5 20 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(20 25 20 35 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 15 5 20 8) + SymbolLine(0 5 0 15 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 15 0 8) + SymbolLine(15 0 20 5 8) + SymbolLine(20 5 20 15 8) + SymbolLine(15 20 20 15 8) +) +Symbol('9' 12) +( + SymbolLine(0 40 20 20 8) + SymbolLine(20 5 20 20 8) + SymbolLine(15 0 20 5 8) + SymbolLine(5 0 15 0 8) + SymbolLine(0 5 5 0 8) + SymbolLine(0 5 0 15 8) + SymbolLine(0 15 5 20 8) + SymbolLine(5 20 20 20 8) +) +Symbol(':' 12) +( + SymbolLine(0 15 5 15 8) + SymbolLine(0 25 5 25 8) +) +Symbol(';' 12) +( + SymbolLine(0 40 10 30 8) + SymbolLine(10 15 10 20 8) +) +Symbol('<' 12) +( + SymbolLine(0 20 10 10 8) + SymbolLine(0 20 10 30 8) +) +Symbol('=' 12) +( + SymbolLine(0 15 20 15 8) + SymbolLine(0 25 20 25 8) +) +Symbol('>' 12) +( + SymbolLine(0 10 10 20 8) + SymbolLine(0 30 10 20 8) +) +Symbol('?' 12) +( + SymbolLine(10 20 10 25 8) + SymbolLine(10 35 10 40 8) + SymbolLine(0 5 0 10 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 15 0 8) + SymbolLine(15 0 20 5 8) + SymbolLine(20 5 20 10 8) + SymbolLine(10 20 20 10 8) +) +Symbol('A' 12) +( + SymbolLine(0 5 0 40 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 20 0 8) + SymbolLine(20 0 25 5 8) + SymbolLine(25 5 25 40 8) + SymbolLine(0 20 25 20 8) +) +Symbol('B' 12) +( + SymbolLine(0 40 20 40 8) + SymbolLine(20 40 25 35 8) + SymbolLine(25 25 25 35 8) + SymbolLine(20 20 25 25 8) + SymbolLine(5 20 20 20 8) + SymbolLine(5 0 5 40 8) + SymbolLine(0 0 20 0 8) + SymbolLine(20 0 25 5 8) + SymbolLine(25 5 25 15 8) + SymbolLine(20 20 25 15 8) +) +Symbol('C' 12) +( + SymbolLine(5 40 20 40 8) + SymbolLine(0 35 5 40 8) + SymbolLine(0 5 0 35 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 20 0 8) +) +Symbol('D' 12) +( + SymbolLine(5 0 5 40 8) + SymbolLine(20 0 25 5 8) + SymbolLine(25 5 25 35 8) + SymbolLine(20 40 25 35 8) + SymbolLine(0 40 20 40 8) + SymbolLine(0 0 20 0 8) +) +Symbol('E' 12) +( + SymbolLine(0 20 15 20 8) + SymbolLine(0 40 20 40 8) + SymbolLine(0 0 0 40 8) + SymbolLine(0 0 20 0 8) +) +Symbol('F' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 0 20 0 8) + SymbolLine(0 20 15 20 8) +) +Symbol('G' 12) +( + SymbolLine(20 0 25 5 8) + SymbolLine(5 0 20 0 8) + SymbolLine(0 5 5 0 8) + SymbolLine(0 5 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 20 40 8) + SymbolLine(20 40 25 35 8) + SymbolLine(25 25 25 35 8) + SymbolLine(20 20 25 25 8) + SymbolLine(10 20 20 20 8) +) +Symbol('H' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(25 0 25 40 8) + SymbolLine(0 20 25 20 8) +) +Symbol('I' 12) +( + SymbolLine(0 0 10 0 8) + SymbolLine(5 0 5 40 8) + SymbolLine(0 40 10 40 8) +) +Symbol('J' 12) +( + SymbolLine(0 0 15 0 8) + SymbolLine(15 0 15 35 8) + SymbolLine(10 40 15 35 8) + SymbolLine(5 40 10 40 8) + SymbolLine(0 35 5 40 8) +) +Symbol('K' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 20 20 0 8) + SymbolLine(0 20 20 40 8) +) +Symbol('L' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 40 20 40 8) +) +Symbol('M' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 0 15 15 8) + SymbolLine(15 15 30 0 8) + SymbolLine(30 0 30 40 8) +) +Symbol('N' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 0 0 5 8) + SymbolLine(0 5 25 30 8) + SymbolLine(25 0 25 40 8) +) +Symbol('O' 12) +( + SymbolLine(0 5 0 35 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 15 0 8) + SymbolLine(15 0 20 5 8) + SymbolLine(20 5 20 35 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 35 5 40 8) +) +Symbol('P' 12) +( + SymbolLine(5 0 5 40 8) + SymbolLine(0 0 20 0 8) + SymbolLine(20 0 25 5 8) + SymbolLine(25 5 25 15 8) + SymbolLine(20 20 25 15 8) + SymbolLine(5 20 20 20 8) +) +Symbol('Q' 12) +( + SymbolLine(0 5 0 35 8) + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 15 0 8) + SymbolLine(15 0 20 5 8) + SymbolLine(20 5 20 35 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 35 5 40 8) + SymbolLine(10 30 20 40 8) +) +Symbol('R' 12) +( + SymbolLine(0 0 20 0 8) + SymbolLine(20 0 25 5 8) + SymbolLine(25 5 25 15 8) + SymbolLine(20 20 25 15 8) + SymbolLine(5 20 20 20 8) + SymbolLine(5 0 5 40 8) + SymbolLine(5 20 25 40 8) +) +Symbol('S' 12) +( + SymbolLine(20 0 25 5 8) + SymbolLine(5 0 20 0 8) + SymbolLine(0 5 5 0 8) + SymbolLine(0 5 0 15 8) + SymbolLine(0 15 5 20 8) + SymbolLine(5 20 20 20 8) + SymbolLine(20 20 25 25 8) + SymbolLine(25 25 25 35 8) + SymbolLine(20 40 25 35 8) + SymbolLine(5 40 20 40 8) + SymbolLine(0 35 5 40 8) +) +Symbol('T' 12) +( + SymbolLine(0 0 20 0 8) + SymbolLine(10 0 10 40 8) +) +Symbol('U' 12) +( + SymbolLine(0 0 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) + SymbolLine(20 0 20 35 8) +) +Symbol('V' 12) +( + SymbolLine(0 0 0 30 8) + SymbolLine(0 30 10 40 8) + SymbolLine(10 40 20 30 8) + SymbolLine(20 0 20 30 8) +) +Symbol('W' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 40 15 25 8) + SymbolLine(15 25 30 40 8) + SymbolLine(30 0 30 40 8) +) +Symbol('X' 12) +( + SymbolLine(0 0 0 5 8) + SymbolLine(0 5 25 30 8) + SymbolLine(25 30 25 40 8) + SymbolLine(0 30 0 40 8) + SymbolLine(0 30 25 5 8) + SymbolLine(25 0 25 5 8) +) +Symbol('Y' 12) +( + SymbolLine(0 0 0 5 8) + SymbolLine(0 5 10 15 8) + SymbolLine(10 15 20 5 8) + SymbolLine(20 0 20 5 8) + SymbolLine(10 15 10 40 8) +) +Symbol('Z' 12) +( + SymbolLine(0 0 25 0 8) + SymbolLine(25 0 25 5 8) + SymbolLine(0 30 25 5 8) + SymbolLine(0 30 0 40 8) + SymbolLine(0 40 25 40 8) +) +Symbol('[' 12) +( + SymbolLine(0 0 5 0 8) + SymbolLine(0 0 0 40 8) + SymbolLine(0 40 5 40 8) +) +Symbol('\' 12) +( + SymbolLine(0 5 30 35 8) +) +Symbol(']' 12) +( + SymbolLine(0 0 5 0 8) + SymbolLine(5 0 5 40 8) + SymbolLine(0 40 5 40 8) +) +Symbol('^' 12) +( + SymbolLine(0 5 5 0 8) + SymbolLine(5 0 10 5 8) +) +Symbol('_' 12) +( + SymbolLine(0 40 20 40 8) +) +Symbol('a' 12) +( + SymbolLine(15 20 20 25 8) + SymbolLine(5 20 15 20 8) + SymbolLine(0 25 5 20 8) + SymbolLine(0 25 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(20 20 20 35 8) + SymbolLine(20 35 25 40 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) +) +Symbol('b' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) + SymbolLine(20 25 20 35 8) + SymbolLine(15 20 20 25 8) + SymbolLine(5 20 15 20 8) + SymbolLine(0 25 5 20 8) +) +Symbol('c' 12) +( + SymbolLine(5 20 20 20 8) + SymbolLine(0 25 5 20 8) + SymbolLine(0 25 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 20 40 8) +) +Symbol('d' 12) +( + SymbolLine(20 0 20 40 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 35 5 40 8) + SymbolLine(0 25 0 35 8) + SymbolLine(0 25 5 20 8) + SymbolLine(5 20 15 20 8) + SymbolLine(15 20 20 25 8) +) +Symbol('e' 12) +( + SymbolLine(5 40 20 40 8) + SymbolLine(0 35 5 40 8) + SymbolLine(0 25 0 35 8) + SymbolLine(0 25 5 20 8) + SymbolLine(5 20 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(0 30 20 30 8) + SymbolLine(20 30 20 25 8) +) +Symbol('f' 10) +( + SymbolLine(5 5 5 40 8) + SymbolLine(5 5 10 0 8) + SymbolLine(10 0 15 0 8) + SymbolLine(0 20 10 20 8) +) +Symbol('g' 12) +( + SymbolLine(15 20 20 25 8) + SymbolLine(5 20 15 20 8) + SymbolLine(0 25 5 20 8) + SymbolLine(0 25 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) + SymbolLine(0 50 5 55 8) + SymbolLine(5 55 15 55 8) + SymbolLine(15 55 20 50 8) + SymbolLine(20 20 20 50 8) +) +Symbol('h' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 25 5 20 8) + SymbolLine(5 20 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(20 25 20 40 8) +) +Symbol('i' 10) +( + SymbolLine(0 10 0 15 8) + SymbolLine(0 25 0 40 8) +) +Symbol('j' 10) +( + SymbolLine(5 10 5 15 8) + SymbolLine(5 25 5 50 8) + SymbolLine(0 55 5 50 8) +) +Symbol('k' 12) +( + SymbolLine(0 0 0 40 8) + SymbolLine(0 25 15 40 8) + SymbolLine(0 25 10 15 8) +) +Symbol('l' 10) +( + SymbolLine(0 0 0 35 8) + SymbolLine(0 35 5 40 8) +) +Symbol('m' 12) +( + SymbolLine(5 25 5 40 8) + SymbolLine(5 25 10 20 8) + SymbolLine(10 20 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(20 25 20 40 8) + SymbolLine(20 25 25 20 8) + SymbolLine(25 20 30 20 8) + SymbolLine(30 20 35 25 8) + SymbolLine(35 25 35 40 8) + SymbolLine(0 20 5 25 8) +) +Symbol('n' 12) +( + SymbolLine(5 25 5 40 8) + SymbolLine(5 25 10 20 8) + SymbolLine(10 20 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(20 25 20 40 8) + SymbolLine(0 20 5 25 8) +) +Symbol('o' 12) +( + SymbolLine(0 25 0 35 8) + SymbolLine(0 25 5 20 8) + SymbolLine(5 20 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(20 25 20 35 8) + SymbolLine(15 40 20 35 8) + SymbolLine(5 40 15 40 8) + SymbolLine(0 35 5 40 8) +) +Symbol('p' 12) +( + SymbolLine(5 25 5 55 8) + SymbolLine(0 20 5 25 8) + SymbolLine(5 25 10 20 8) + SymbolLine(10 20 20 20 8) + SymbolLine(20 20 25 25 8) + SymbolLine(25 25 25 35 8) + SymbolLine(20 40 25 35 8) + SymbolLine(10 40 20 40 8) + SymbolLine(5 35 10 40 8) +) +Symbol('q' 12) +( + SymbolLine(20 25 20 55 8) + SymbolLine(15 20 20 25 8) + SymbolLine(5 20 15 20 8) + SymbolLine(0 25 5 20 8) + SymbolLine(0 25 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) +) +Symbol('r' 12) +( + SymbolLine(5 25 5 40 8) + SymbolLine(5 25 10 20 8) + SymbolLine(10 20 20 20 8) + SymbolLine(0 20 5 25 8) +) +Symbol('s' 12) +( + SymbolLine(5 40 20 40 8) + SymbolLine(20 40 25 35 8) + SymbolLine(20 30 25 35 8) + SymbolLine(5 30 20 30 8) + SymbolLine(0 25 5 30 8) + SymbolLine(0 25 5 20 8) + SymbolLine(5 20 20 20 8) + SymbolLine(20 20 25 25 8) + SymbolLine(0 35 5 40 8) +) +Symbol('t' 10) +( + SymbolLine(5 0 5 35 8) + SymbolLine(5 35 10 40 8) + SymbolLine(0 15 10 15 8) +) +Symbol('u' 12) +( + SymbolLine(0 20 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) + SymbolLine(20 20 20 35 8) +) +Symbol('v' 12) +( + SymbolLine(0 20 0 30 8) + SymbolLine(0 30 10 40 8) + SymbolLine(10 40 20 30 8) + SymbolLine(20 20 20 30 8) +) +Symbol('w' 12) +( + SymbolLine(0 20 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(5 40 10 40 8) + SymbolLine(10 40 15 35 8) + SymbolLine(15 20 15 35 8) + SymbolLine(15 35 20 40 8) + SymbolLine(20 40 25 40 8) + SymbolLine(25 40 30 35 8) + SymbolLine(30 20 30 35 8) +) +Symbol('x' 12) +( + SymbolLine(0 20 20 40 8) + SymbolLine(0 40 20 20 8) +) +Symbol('y' 12) +( + SymbolLine(0 20 0 35 8) + SymbolLine(0 35 5 40 8) + SymbolLine(20 20 20 50 8) + SymbolLine(15 55 20 50 8) + SymbolLine(5 55 15 55 8) + SymbolLine(0 50 5 55 8) + SymbolLine(5 40 15 40 8) + SymbolLine(15 40 20 35 8) +) +Symbol('z' 12) +( + SymbolLine(0 20 20 20 8) + SymbolLine(0 40 20 20 8) + SymbolLine(0 40 20 40 8) +) +Symbol('{' 12) +( + SymbolLine(5 5 10 0 8) + SymbolLine(5 5 5 15 8) + SymbolLine(0 20 5 15 8) + SymbolLine(0 20 5 25 8) + SymbolLine(5 25 5 35 8) + SymbolLine(5 35 10 40 8) +) +Symbol('|' 12) +( + SymbolLine(0 0 0 40 8) +) +Symbol('}' 12) +( + SymbolLine(0 0 5 5 8) + SymbolLine(5 5 5 15 8) + SymbolLine(5 15 10 20 8) + SymbolLine(5 25 10 20 8) + SymbolLine(5 25 5 35 8) + SymbolLine(0 40 5 35 8) +) +Symbol('~' 12) +( + SymbolLine(0 25 5 20 8) + SymbolLine(5 20 10 20 8) + SymbolLine(10 20 15 25 8) + SymbolLine(15 25 20 25 8) + SymbolLine(20 25 25 20 8) +) +Symbol('@' 12) +( + SymbolLine(0 0 0 30 8) + SymbolLine(0 30 10 40 8) + SymbolLine(10 40 40 40 8) + SymbolLine(50 25 50 0 8) + SymbolLine(50 0 40 -10 8) + SymbolLine(40 -10 10 -10 8) + SymbolLine(10 -10 0 0 8) + SymbolLine(15 10 15 20 8) + SymbolLine(15 20 20 25 8) + SymbolLine(20 25 30 25 8) + SymbolLine(30 25 35 20 8) + SymbolLine(35 20 40 25 8) + SymbolLine(35 20 35 5 8) + SymbolLine(35 10 30 5 8) + SymbolLine(20 5 30 5 8) + SymbolLine(20 5 15 10 8) + SymbolLine(40 25 50 25 8) +) + +ELEMENT + +Layer(1 "component") +( +) +Layer(2 "solder") +( +) +Layer(3 "GND") +( +) +Layer(4 "power") +( +) +Layer(5 "signal1") +( +) +Layer(6 "signal2") +( +) +Layer(7 "signal3") +( +) +Layer(8 "signal4") +( +) +Layer(9 "silk") +( +) +Layer(10 "silk") +( +) Index: oldlib/lib/geda.inc =================================================================== --- oldlib/lib/geda.inc (nonexistent) +++ oldlib/lib/geda.inc (revision 1033) @@ -0,0 +1,1195 @@ +# -*- m4 -*- +# $Id$ +# +# gEDA compatible footprint names +# +# the real component definitions are in the *.inc files +# +# Initial version from Egil Kvaleberg , +# Oct 10 2002 +# + +# +## Dual in-line package, narrow (300 mil) +# DIP6 .. DIP64, DIP24N, DIP28N +# +define(`PKG_DIP6', `PKG_N(`$1', `$2', `$3', 6, 300)'); +define(`PKG_DIP8', `PKG_N(`$1', `$2', `$3', 8, 300)'); +define(`PKG_DIP14', `PKG_N(`$1', `$2', `$3', 14, 300)'); +define(`PKG_DIP16', `PKG_N(`$1', `$2', `$3', 16, 300)'); +define(`PKG_DIP18', `PKG_N(`$1', `$2', `$3', 18, 300)'); +define(`PKG_DIP20', `PKG_N(`$1', `$2', `$3', 20, 300)'); +define(`PKG_DIP22', `PKG_N(`$1', `$2', `$3', 22, 300)'); +define(`PKG_DIP24N', `PKG_N(`$1', `$2', `$3', 24, 300)'); +define(`PKG_DIP28N', `PKG_N(`$1', `$2', `$3', 28, 300)'); +## Dual in-line package, medium wide (400 mil) +define(`PKG_DIP8M', `PKG_N(`$1', `$2', `$3', 8, 400)'); +define(`PKG_DIP14M', `PKG_N(`$1', `$2', `$3', 14, 400)'); +define(`PKG_DIP16M', `PKG_N(`$1', `$2', `$3', 16, 400)'); +define(`PKG_DIP18M', `PKG_N(`$1', `$2', `$3', 18, 400)'); +define(`PKG_DIP20M', `PKG_N(`$1', `$2', `$3', 20, 400)'); +define(`PKG_DIP22M', `PKG_N(`$1', `$2', `$3', 22, 400)'); +define(`PKG_DIP24M', `PKG_N(`$1', `$2', `$3', 24, 400)'); +define(`PKG_DIP28M', `PKG_N(`$1', `$2', `$3', 28, 400)'); +## Dual in-line package, wide (600 mil) +define(`PKG_DIP24', `PKG_N(`$1', `$2', `$3', 24, 600)'); +define(`PKG_DIP28', `PKG_N(`$1', `$2', `$3', 28, 600)'); +define(`PKG_DIP32', `PKG_N(`$1', `$2', `$3', 32, 600)'); +define(`PKG_DIP36', `PKG_N(`$1', `$2', `$3', 36, 600)'); +define(`PKG_DIP40', `PKG_N(`$1', `$2', `$3', 40, 600)'); +define(`PKG_DIP42', `PKG_N(`$1', `$2', `$3', 42, 600)'); +define(`PKG_DIP44', `PKG_N(`$1', `$2', `$3', 44, 600)'); +define(`PKG_DIP48', `PKG_N(`$1', `$2', `$3', 48, 600)'); +## Dual in-line package, wide (900 mil) +define(`PKG_DIP64', `PKG_N(`$1', `$2', `$3', 64, 900)'); + +# +## Shrink dual in-line package, wide (300 mil) +# +define(`PKG_SDIP18', `PKG_NS(`$1', `$2', `$3', 18, 300)'); +define(`PKG_SDIP20', `PKG_NS(`$1', `$2', `$3', 20, 300)'); +define(`PKG_SDIP22', `PKG_NS(`$1', `$2', `$3', 22, 300)'); +define(`PKG_SDIP24', `PKG_NS(`$1', `$2', `$3', 24, 300)'); +# +## Shrink dual in-line package, wide (400 mil) +define(`PKG_SDIP30', `PKG_NS(`$1', `$2', `$3', 30, 400)'); +define(`PKG_SDIP32', `PKG_NS(`$1', `$2', `$3', 32, 400)'); +# +## Shrink dual in-line package, wide (600 mil) +define(`PKG_SDIP42', `PKG_NS(`$1', `$2', `$3', 42, 600)'); + +# +## Single in line package +# SIP1 .. SIP13 +# +define(`PKG_SIP1', `PKG_CSIL(`$1', `$2', `$3', 1)'); +define(`PKG_SIP2', `PKG_CSIL(`$1', `$2', `$3', 2)'); +define(`PKG_SIP3', `PKG_CSIL(`$1', `$2', `$3', 3)'); +define(`PKG_SIP4', `PKG_CSIL(`$1', `$2', `$3', 4)'); +define(`PKG_SIP5', `PKG_CSIL(`$1', `$2', `$3', 5)'); +define(`PKG_SIP6', `PKG_CSIL(`$1', `$2', `$3', 6)'); +define(`PKG_SIP7', `PKG_CSIL(`$1', `$2', `$3', 7)'); +define(`PKG_SIP8', `PKG_CSIL(`$1', `$2', `$3', 8)'); +define(`PKG_SIP9', `PKG_CSIL(`$1', `$2', `$3', 9)'); +define(`PKG_SIP10', `PKG_CSIL(`$1', `$2', `$3', 10)'); +define(`PKG_SIP11', `PKG_CSIL(`$1', `$2', `$3', 11)'); +define(`PKG_SIP12', `PKG_CSIL(`$1', `$2', `$3', 12)'); +define(`PKG_SIP13', `PKG_CSIL(`$1', `$2', `$3', 13)'); + +# +## Ultra (Micro?) Small outline package +# +# pins, width (1/100mil), pitch (1/100 mil) +define(`PKG_US8', `PKG_US( `$1', `$2', `$3', 8)'); +define(`PKG_US14', `PKG_US( `$1', `$2', `$3', 14)'); +define(`PKG_US16', `PKG_US( `$1', `$2', `$3', 16)'); + +# +## Small outline package, narrow (150mil) +# aka SOP8, aka S8 +# +# COMMON_SMT_DIL_{MIL,MM} +# $4: number of pins +# $5: pad width (1/1000 mil or 1/100 mm) +# $6: pad length (1/1000 mil or 1/100 mm) +# $7: pad pitch (1/1000 mil 1/100 mm) +# $8: pad seperation for pads on opposite sides of +# the package (1/1000 mil or 1/100 mm) +# $9: define to make the pins get numbered starting with the highest pin +# instead of pin 1. Needed for certain brain damaged packages like +# the Mini-Circuits KK81 + +# dimensions from http://landpatterns.ipc.org/ +define(`PKG_SO8', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 8, 20000, 85000, 50000, 120000)'); +define(`PKG_SO14', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 14, 20000, 85000, 50000, 120000)'); +define(`PKG_SO16', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 16, 20000, 85000, 50000, 120000)'); +define(`PKG_SO18N', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 18, 20000, 85000, 50000, 120000)'); +define(`PKG_SO20N', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 20, 20000, 85000, 50000, 120000)'); + +## Small outline package, medium (200mil) +# aka 8S2 +define(`PKG_SO8M', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 8, 20000, 85000, 50000, 170000)'); +define(`PKG_SO18M', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 18, 20000, 85000, 50000, 170000)'); +define(`PKG_SO20M', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 20, 20000, 85000, 50000, 170000)'); +define(`PKG_SO22M', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 22, 20000, 85000, 50000, 170000)'); +define(`PKG_SO24M', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 24, 20000, 85000, 50000, 170000)'); + +## Small outline package, wide (300mil) +# dimensions from http://landpatterns.ipc.org/ +define(`PKG_SO8W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 8, 20000, 80000, 50000, 280000)'); +define(`PKG_SO14W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 14, 20000, 80000, 50000, 280000)'); +define(`PKG_SO16W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 16, 20000, 80000, 50000, 280000)'); +define(`PKG_SO18W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 18, 20000, 80000, 50000, 280000)'); +define(`PKG_SO20W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 20, 20000, 80000, 50000, 280000)'); +define(`PKG_SO24W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 24, 20000, 80000, 50000, 280000)'); +define(`PKG_SO28', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 28, 20000, 80000, 50000, 280000)'); +define(`PKG_SO32', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 32, 20000, 80000, 50000, 280000)'); + +## Small outline package, wider (325mil) +define(`PKG_SO28W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 28, 20000, 80000, 50000, 305000)'); + +## Small outline package, wider (450mil) +define(`PKG_SO32W', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 32, 20000, 80000, 50000, 430000)'); + +## Small outline package, wide (525mil) +define(`PKG_SO44', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 44, 20000, 80000, 50000, 505000)'); + + + +# NOTE: There is also SOJ20,28,32,40,42 +# 0.300" size, 28,32 pin (MO-088, MO-077 respectively) +# 0.400" size, 28,32,36 pin (MO-061) +# +# +# from http://landpatterns.ipc.org/ +# 14-28 pin 0.300" - pitch = 1.27 mm, pad is 0.6 x 2.2, gap is 5 +# 14-28 pin 0.350" - pitch = 1.27 mm, pad is 0.6 x 2.2, gap is 6.2 +# 14-28 pin 0.400" - pitch = 1.27 mm, pad is 0.6 x 2.2, gap is 7.4 +# 14-28 pin 0.450" - pitch = 1.27 mm, pad is 0.6 x 2.2, gap is 8.8 +# +# Cypress (www.cypress.com) has 20, 24, 28, 32 in the 0.3" and +# 28, 32, 36, 44 in the 0.4" + +## Small outline J-leaded package (300 mil) +define(`PKG_SOJ14_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',14,23622,86614,50000,196850)'); +define(`PKG_SOJ16_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,196850)'); +define(`PKG_SOJ18_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',18,23622,86614,50000,196850)'); +define(`PKG_SOJ20_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,196850)'); +define(`PKG_SOJ22_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,196850)'); +define(`PKG_SOJ24_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,196850)'); +define(`PKG_SOJ26_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',26,23622,86614,50000,196850)'); +define(`PKG_SOJ28_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',28,23622,86614,50000,196850)'); +define(`PKG_SOJ30_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',30,23622,86614,50000,196850)'); +define(`PKG_SOJ32_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',32,23622,86614,50000,196850)'); +define(`PKG_SOJ34_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,196850)'); +define(`PKG_SOJ36_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,196850)'); +define(`PKG_SOJ38_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,196850)'); +define(`PKG_SOJ40_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,196850)'); +define(`PKG_SOJ42_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',42,23622,86614,50000,196850)'); +define(`PKG_SOJ44_300', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',44,23622,86614,50000,196850)'); + +## Small outline J-leaded package (350 mil) +define(`PKG_SOJ14_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',14,23622,86614,50000,244094)'); +define(`PKG_SOJ16_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,244094)'); +define(`PKG_SOJ18_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',18,23622,86614,50000,244094)'); +define(`PKG_SOJ20_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,244094)'); +define(`PKG_SOJ22_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,244094)'); +define(`PKG_SOJ24_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,244094)'); +define(`PKG_SOJ26_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',26,23622,86614,50000,244094)'); +define(`PKG_SOJ28_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',28,23622,86614,50000,244094)'); +define(`PKG_SOJ30_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',30,23622,86614,50000,244094)'); +define(`PKG_SOJ32_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',32,23622,86614,50000,244094)'); +define(`PKG_SOJ34_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,244094)'); +define(`PKG_SOJ36_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,244094)'); +define(`PKG_SOJ38_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,244094)'); +define(`PKG_SOJ40_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,244094)'); +define(`PKG_SOJ42_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',42,23622,86614,50000,244094)'); +define(`PKG_SOJ44_350', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',44,23622,86614,50000,244094)'); + +## Small outline J-leaded package (400 mil) +define(`PKG_SOJ14_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',14,23622,86614,50000,291339)'); +define(`PKG_SOJ16_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,291339)'); +define(`PKG_SOJ18_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',18,23622,86614,50000,291339)'); +define(`PKG_SOJ20_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,291339)'); +define(`PKG_SOJ22_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,291339)'); +define(`PKG_SOJ24_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,291339)'); +define(`PKG_SOJ26_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',26,23622,86614,50000,291339)'); +define(`PKG_SOJ28_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',28,23622,86614,50000,291339)'); +define(`PKG_SOJ30_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',30,23622,86614,50000,291339)'); +define(`PKG_SOJ32_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',32,23622,86614,50000,291339)'); +define(`PKG_SOJ34_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,291339)'); +define(`PKG_SOJ36_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,291339)'); +define(`PKG_SOJ38_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,291339)'); +define(`PKG_SOJ40_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,291339)'); +define(`PKG_SOJ42_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',42,23622,86614,50000,291339)'); +define(`PKG_SOJ44_400', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',44,23622,86614,50000,291339)'); + +## Small outline J-leaded package (450 mil) +define(`PKG_SOJ14_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',14,23622,86614,50000,346457)'); +define(`PKG_SOJ16_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,346457)'); +define(`PKG_SOJ18_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',18,23622,86614,50000,346457)'); +define(`PKG_SOJ20_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,346457)'); +define(`PKG_SOJ22_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,346457)'); +define(`PKG_SOJ24_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,346457)'); +define(`PKG_SOJ26_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',26,23622,86614,50000,346457)'); +define(`PKG_SOJ28_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',28,23622,86614,50000,346457)'); +define(`PKG_SOJ30_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',30,23622,86614,50000,346457)'); +define(`PKG_SOJ32_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',32,23622,86614,50000,346457)'); +define(`PKG_SOJ34_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,346457)'); +define(`PKG_SOJ36_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,346457)'); +define(`PKG_SOJ38_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,346457)'); +define(`PKG_SOJ40_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,346457)'); +define(`PKG_SOJ42_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',42,23622,86614,50000,346457)'); +define(`PKG_SOJ44_450', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',44,23622,86614,50000,346457)'); + + +# +# NOTE: +# Shrink small outline SSOP is a full confusion of +# pitch 25.00 mil, 0.65mm, 0.8mm +# widths 244, 260, 311, 323 etc +# there are really too many variants, the ones listed here are +# fairly industry standard +# +## Shrink small outline package, .65mm, narrow +define(`PKG_SSOP8', `PKG_SSO( `$1', `$2', `$3', 8, 323, 2559)'); +define(`PKG_SSOP14', `PKG_SSO( `$1', `$2', `$3', 14, 323, 2559)'); +define(`PKG_SSOP16', `PKG_SSO( `$1', `$2', `$3', 16, 244, 2559)'); +define(`PKG_SSOP20', `PKG_SSO( `$1', `$2', `$3', 20, 260, 2559)'); +define(`PKG_SSOP24', `PKG_SSO( `$1', `$2', `$3', 24, 323, 2559)'); +define(`PKG_SSOP28', `PKG_SSO( `$1', `$2', `$3', 28, 323, 2559)'); + +# +## Shrink small outline package, .65mm, wide +#define(`PKG_SSOP16W', `PKG_SSO( `$1', `$2', `$3', 16, 420, 2559)'); +#define(`PKG_SSOP20W', `PKG_SSO( `$1', `$2', `$3', 20, 420, 2559)'); +#define(`PKG_SSOP28W', `PKG_SSO( `$1', `$2', `$3', 28, 420, 2559)'); +#define(`PKG_SSOP30W', `PKG_SSO( `$1', `$2', `$3', 30, 420, 2559)'); +#define(`PKG_SSOP32W', `PKG_SSO( `$1', `$2', `$3', 32, 420, 2559)'); +#define(`PKG_SSOP36W', `PKG_SSO( `$1', `$2', `$3', 36, 420, 2559)'); + +# +## Shrink small outline package, 25mil, wide +define(`PKG_SSOP48W', `PKG_SSO( `$1', `$2', `$3', 48, 420, 2500)'); +define(`PKG_SSOP56W', `PKG_SSO( `$1', `$2', `$3', 56, 420, 2500)'); + +# +## Shrink small outline package, .80mm, wider +define(`PKG_SSOP64W', `PKG_SSO( `$1', `$2', `$3', 64, 545, 3150)'); + +## Shrink small outline package, .65mm, narrow +# BUG:(`PKG_MSOP8', `PKG_SSO( `$1', `$2', `$3', 8, 323, 2559)'); +# +## Mini small outline package, .65mm +define(`PKG_MSOP8', `PKG_SSO( `$1', `$2', `$3', 8, 199, 2559)'); +## Mini small outline package, .5mm +define(`PKG_MSOP10', `PKG_TSOP( `$1', `$2', `$3', 10, 199, 1969)'); + +## Quarter size small outline package +define(`PKG_QSOP16', `PKG_SSO( `$1', `$2', `$3', 16, 244, 2500)'); +define(`PKG_QSOP20', `PKG_SSO( `$1', `$2', `$3', 20, 244, 2500)'); +define(`PKG_QSOP24', `PKG_SSO( `$1', `$2', `$3', 24, 244, 2500)'); +define(`PKG_QSOP28', `PKG_SSO( `$1', `$2', `$3', 28, 244, 2500)'); + +# +## Thin small outline package +# BUG: TSOP in 26(x2),28(x2),32,44(x2),48,50(x2),54,66,70(x2),86 +# +define(`PKG_TSOP28', `PKG_TSOP( `$1', `$2', `$3', 28, 535, 2165)'); +define(`PKG_TSOP32A', `PKG_TSOP( `$1', `$2', `$3', 32, 795, 2000)'); +define(`PKG_TSOP32B', `PKG_TSOP( `$1', `$2', `$3', 32, 559, 2000)'); + +## Thin shrink small outline package, narrow (3mm) +# +define(`PKG_TSSOP8', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 8, 33, 150, 65, 300)'); +define(`PKG_TSSOP10', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 10, 30, 150, 50, 300)'); + +## Thin shrink small outline package, standard (4.4mm) +# +define(`PKG_TSSOP8W', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 8, 33, 150, 65, 440)'); +define(`PKG_TSSOP14', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 14, 33, 150, 65, 440)'); +define(`PKG_TSSOP16', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 16, 33, 150, 65, 440)'); +define(`PKG_TSSOP20', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 20, 33, 150, 65, 440)'); +define(`PKG_TSSOP24', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 24, 33, 150, 65, 440)'); +define(`PKG_TSSOP28', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 28, 33, 150, 65, 440)'); +define(`PKG_TSSOP38N', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 38, 30, 150, 50, 440)'); +define(`PKG_TSSOP48N', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 48, 25, 150, 40, 440)'); +define(`PKG_TSSOP56N', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 56, 25, 150, 40, 440)'); + +## Thin shrink small outline package, wide (6.1mm) +# +define(`PKG_TSSOP32', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 32, 33, 150, 65, 610)'); +define(`PKG_TSSOP48', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 48, 30, 150, 50, 610)'); +define(`PKG_TSSOP56', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 56, 30, 150, 50, 610)'); +define(`PKG_TSSOP64', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 64, 30, 150, 50, 610)'); +define(`PKG_TSSOP80', `COMMON_SMT_DIL_MM(`$1',`$2',`$3', 80, 25, 150, 40, 610)'); + +# +## Plastic leadless chip carrier +# PLCC44 .. PLCC84 +# +# BUG: similar: +# QFJ 18,20,22,28,32,44,68,84 +# +define(`PKG_PLCC20', `PKG_PLCC(`$1', `$2', `$3', 20, 150)'); +define(`PKG_PLCC28', `PKG_PLCC(`$1', `$2', `$3', 28, 150)'); +define(`PKG_PLCC32', `PKG_PLCC(`$1', `$2', `$3', 32, 150)'); +define(`PKG_PLCC44', `PKG_PLCC(`$1', `$2', `$3', 44, 150)'); +define(`PKG_PLCC52', `PKG_PLCC(`$1', `$2', `$3', 52, 150)'); +define(`PKG_PLCC68', `PKG_PLCC(`$1', `$2', `$3', 68, 150)'); +define(`PKG_PLCC84', `PKG_PLCC(`$1', `$2', `$3', 84, 150)'); + +# +## Plastic leadless chip carrier with pin socket +# PLCC44X .. PLCC84X +# +define(`PKG_PLCC20X', `PKG_PLCC_SOCKET(`$1', `$2', `$3', 20, 150)'); +define(`PKG_PLCC28X', `PKG_PLCC_SOCKET(`$1', `$2', `$3', 28, 150)'); +define(`PKG_PLCC32X', `PKG_PLCC_SOCKET(`$1', `$2', `$3', 32, 150)'); +define(`PKG_PLCC44X', `PKG_PLCC_SOCKET(`$1', `$2', `$3', 44, 150)'); +define(`PKG_PLCC52X', `PKG_PLCC_SOCKET(`$1', `$2', `$3', 52, 150)'); +define(`PKG_PLCC68X', `PKG_PLCC_SOCKET(`$1', `$2', `$3', 68, 150)'); +define(`PKG_PLCC84X', `PKG_PLCC_SOCKET(`$1', `$2', `$3', 84, 150)'); + +## Square Quad Flat Nolead (QFN) package +# + +# 3x3 mm +define(`PKG_QFN16_3', `PKG_QFN_50S(`$1',`$2',`$3', `16', `3', `0')') +define(`PKG_TQFN16_3', `PKG_QFN_50S(`$1',`$2',`$3', `16', `3', `0')') +define(`PKG_QFN16_3_EP', `PKG_QFN_50S(`$1',`$2',`$3', `16', `3', `150')') +define(`PKG_TQFN16_3_EP', `PKG_QFN_50S(`$1',`$2',`$3', `16', `3', `150')') + +# 4x4 mm +define(`PKG_QFN12_4', `PKG_QFN_80(`$1',`$2',`$3', `12', `4', `0')') +define(`PKG_TQFN12_4', `PKG_QFN_80(`$1',`$2',`$3', `12', `4', `0')') +define(`PKG_QFN12_4_EP', `PKG_QFN_80(`$1',`$2',`$3', `12', `4', `210')') +define(`PKG_TQFN12_4_EP', `PKG_QFN_80(`$1',`$2',`$3', `12', `4', `210')') + +define(`PKG_QFN16_4', `PKG_QFN_65(`$1',`$2',`$3', `16', `4', `0')') +define(`PKG_TQFN16_4', `PKG_QFN_65(`$1',`$2',`$3', `16', `4', `0')') +define(`PKG_QFN16_4_EP', `PKG_QFN_65(`$1',`$2',`$3', `16', `4', `210')') +define(`PKG_TQFN16_4_EP', `PKG_QFN_65(`$1',`$2',`$3', `16', `4', `210')') + +define(`PKG_QFN20_4', `PKG_QFN_50(`$1',`$2',`$3', `20', `4', `0')') +define(`PKG_TQFN20_4', `PKG_QFN_50(`$1',`$2',`$3', `20', `4', `0')') +define(`PKG_QFN20_4_EP', `PKG_QFN_50(`$1',`$2',`$3', `20', `4', `210')') +define(`PKG_TQFN20_4_EP', `PKG_QFN_50(`$1',`$2',`$3', `20', `4', `210')') + +define(`PKG_QFN24_4', `PKG_QFN_50S(`$1',`$2',`$3', `24', `4', `0')') +define(`PKG_TQFN24_4', `PKG_QFN_50S(`$1',`$2',`$3', `24', `4', `0')') +define(`PKG_QFN24_4_EP', `PKG_QFN_50S(`$1',`$2',`$3', `24', `4', `210')') +define(`PKG_TQFN24_4_EP', `PKG_QFN_50S(`$1',`$2',`$3', `24', `4', `260')') + +# 5x5 mm +define(`PKG_QFN16_5', `PKG_QFN_80(`$1',`$2',`$3', `16', `5', `0')') +define(`PKG_TQFN16_5', `PKG_QFN_80(`$1',`$2',`$3', `16', `5', `0')') +define(`PKG_QFN16_5_EP', `PKG_QFN_80(`$1',`$2',`$3', `16', `5', `310')') +define(`PKG_TQFN16_5_EP', `PKG_QFN_80(`$1',`$2',`$3', `16', `5', `310')') + +define(`PKG_QFN20_5', `PKG_QFN_65(`$1',`$2',`$3', `20', `5', `0')') +define(`PKG_TQFN20_5', `PKG_QFN_65(`$1',`$2',`$3', `20', `5', `0')') +define(`PKG_QFN20_5_EP', `PKG_QFN_65(`$1',`$2',`$3', `20', `5', `310')') +define(`PKG_TQFN20_5_EP', `PKG_QFN_65(`$1',`$2',`$3', `20', `5', `310')') + +define(`PKG_QFN28_5', `PKG_QFN_50(`$1',`$2',`$3', `28', `5', `0')') +define(`PKG_TQFN28_5', `PKG_QFN_50(`$1',`$2',`$3', `28', `5', `0')') +define(`PKG_QFN28_5_EP', `PKG_QFN_50(`$1',`$2',`$3', `28', `5', `310')') +define(`PKG_TQFN28_5_EP', `PKG_QFN_50(`$1',`$2',`$3', `28', `5', `325')') + +define(`PKG_QFN32_5', `PKG_QFN_50S(`$1',`$2',`$3', `32', `5', `0')') +define(`PKG_TQFN32_5', `PKG_QFN_50S(`$1',`$2',`$3', `32', `5', `0')') +define(`PKG_QFN32_5_EP', `PKG_QFN_50S(`$1',`$2',`$3', `32', `5', `310')') +define(`PKG_TQFN32_5_EP', `PKG_QFN_50S(`$1',`$2',`$3', `32', `5', `310')') + +# 6x6 mm +define(`PKG_QFN36_6', `PKG_QFN_50(`$1',`$2',`$3', `36', `6', `0')') +define(`PKG_TQFN36_6', `PKG_QFN_50(`$1',`$2',`$3', `36', `6', `0')') +define(`PKG_QFN36_6_EP', `PKG_QFN_50(`$1',`$2',`$3', `36', `6', `370')') +define(`PKG_TQFN36_6_EP', `PKG_QFN_50(`$1',`$2',`$3', `36', `6', `370')') + +define(`PKG_QFN40_6', `PKG_QFN_50S(`$1',`$2',`$3', `40', `6', `0')') +define(`PKG_TQFN40_6', `PKG_QFN_50S(`$1',`$2',`$3', `40', `6', `0')') +define(`PKG_QFN40_6_EP', `PKG_QFN_50S(`$1',`$2',`$3', `40', `6', `410')') +define(`PKG_TQFN40_6_EP', `PKG_QFN_50S(`$1',`$2',`$3', `40', `6', `410')') + +# 7x7 mm +define(`PKG_QFN32_7', `PKG_QFN_65(`$1',`$2',`$3', `32', `7', `0')') +define(`PKG_TQFN32_7', `PKG_QFN_65(`$1',`$2',`$3', `32', `7', `0')') +define(`PKG_QFN32_7_EP', `PKG_QFN_65(`$1',`$2',`$3', `32', `7', `470')') +define(`PKG_TQFN32_7_EP', `PKG_QFN_65(`$1',`$2',`$3', `32', `7', `470')') + +define(`PKG_QFN44_7', `PKG_QFN_50(`$1',`$2',`$3', `44', `7', `0')') +define(`PKG_TQFN44_7', `PKG_QFN_50(`$1',`$2',`$3', `44', `7', `0')') +define(`PKG_QFN44_7_EP', `PKG_QFN_50(`$1',`$2',`$3', `44', `7', `470')') +define(`PKG_TQFN44_7_EP', `PKG_QFN_50(`$1',`$2',`$3', `44', `7', `470')') + +define(`PKG_QFN48_7', `PKG_QFN_50S(`$1',`$2',`$3', `48', `7', `0')') +define(`PKG_TQFN48_7', `PKG_QFN_50S(`$1',`$2',`$3', `48', `7', `0')') +define(`PKG_QFN48_7_EP', `PKG_QFN_50S(`$1',`$2',`$3', `48', `7', `560')') +define(`PKG_TQFN48_7_EP', `PKG_QFN_50S(`$1',`$2',`$3', `48', `7', `560')') + +# 8x8 mm +define(`PKG_QFN56_8', `PKG_QFN_50S(`$1',`$2',`$3', `56', `8', `0')') +define(`PKG_TQFN56_8', `PKG_QFN_50S(`$1',`$2',`$3', `56', `8', `0')') +define(`PKG_QFN56_8_EP', `PKG_QFN_50S(`$1',`$2',`$3', `56', `8', `665')') +define(`PKG_TQFN56_8_EP', `PKG_QFN_50S(`$1',`$2',`$3', `56', `8', `665')') + +# 10x10 mm +define(`PKG_QFN68_10', `PKG_QFN_50(`$1',`$2',`$3', `68', `10', `0')') +define(`PKG_TQFN68_10', `PKG_QFN_50(`$1',`$2',`$3', `68', `10', `0')') +define(`PKG_QFN68_10_EP', `PKG_QFN_50(`$1',`$2',`$3', `68', `10', `770')') +define(`PKG_TQFN68_10_EP', `PKG_QFN_50(`$1',`$2',`$3', `68', `10', `770')') + +# +## Square Quad-side flat pack +# QFP 32,44,56,64,80,100,128,160,208,240,272,304 +# LQFP 144,176,208 +# TQFP 44,48,64,80,120 + +define(`PKG_LQFP24_4', `PKG_LQFP_50(`$1',`$2',`$3', `24', `4',`0')'); +define(`PKG_LQFP32_5', `PKG_LQFP_50(`$1',`$2',`$3', `32', `5',`0')'); +define(`PKG_LQFP32_7', `PKG_LQFP_80(`$1',`$2',`$3', `32', `7',`0')'); +define(`PKG_LQFP44_10', `PKG_LQFP_80(`$1',`$2',`$3', `44',`10',`0')'); +define(`PKG_LQFP48_7', `PKG_LQFP_50(`$1',`$2',`$3', `48', `7',`0')'); +define(`PKG_LQFP48_12', `PKG_LQFP_80(`$1',`$2',`$3', `48',`12',`0')'); +define(`PKG_LQFP52_10', `PKG_LQFP_65(`$1',`$2',`$3', `52',`10',`0')'); +define(`PKG_LQFP64_7', `PKG_LQFP_40(`$1',`$2',`$3', `64', `7',`0')'); +define(`PKG_LQFP64_10', `PKG_LQFP_50(`$1',`$2',`$3', `64',`10',`0')'); +define(`PKG_LQFP64_14', `PKG_LQFP_80(`$1',`$2',`$3', `64',`14',`0')'); +define(`PKG_LQFP72_10', `PKG_LQFP_50(`$1',`$2',`$3', `72',`10',`0')'); +define(`PKG_LQFP80_12', `PKG_LQFP_50(`$1',`$2',`$3', `80',`12',`0')'); +define(`PKG_LQFP80_14', `PKG_LQFP_65(`$1',`$2',`$3', `80',`14',`0')'); +define(`PKG_LQFP100_10', `PKG_LQFP_40(`$1',`$2',`$3',`100',`10',`0')'); +define(`PKG_LQFP100_14', `PKG_LQFP_50(`$1',`$2',`$3',`100',`14',`0')'); +define(`PKG_LQFP112_20', `PKG_LQFP_65(`$1',`$2',`$3',`112',`20',`0')'); +define(`PKG_LQFP120_16', `PKG_LQFP_50(`$1',`$2',`$3',`120',`16',`0')'); +define(`PKG_LQFP128_14', `PKG_LQFP_40(`$1',`$2',`$3',`128',`14',`0')'); +define(`PKG_LQFP128_14_EP', `PKG_LQFP_40(`$1',`$2',`$3',`128',`14',`970')'); +define(`PKG_LQFP144_20', `PKG_LQFP_50(`$1',`$2',`$3',`144',`20',`0')'); +define(`PKG_LQFP160_24', `PKG_LQFP_50(`$1',`$2',`$3',`160',`24',`0')'); +define(`PKG_LQFP176_24', `PKG_LQFP_50(`$1',`$2',`$3',`176',`24',`0')'); + + +define(`PKG_QFP32_7', `PKG_QFP_80(`$1',`$2',`$3', `32', `7',`0')'); +define(`PKG_QFP44_10', `PKG_QFP_80(`$1',`$2',`$3', `44',`10',`0')'); +define(`PKG_QFP52_10', `PKG_QFP_65(`$1',`$2',`$3', `52',`10',`0')'); +define(`PKG_QFP64_14', `PKG_QFP_80(`$1',`$2',`$3', `64',`14',`0')'); +define(`PKG_QFP80_14', `PKG_QFP_65(`$1',`$2',`$3', `80',`14',`0')'); +define(`PKG_QFP100_14', `PKG_QFP_50(`$1',`$2',`$3',`100',`14',`0')'); +define(`PKG_QFP120_28', `PKG_QFP_80(`$1',`$2',`$3',`120',`28',`0')'); +define(`PKG_QFP128_28', `PKG_QFP_80(`$1',`$2',`$3',`128',`28',`0')'); +define(`PKG_QFP144_28', `PKG_QFP_65(`$1',`$2',`$3',`144',`28',`0')'); +define(`PKG_QFP160_28', `PKG_QFP_65(`$1',`$2',`$3',`160',`28',`0')'); +define(`PKG_QFP208_28', `PKG_QFP_50(`$1',`$2',`$3',`208',`28',`0')'); +define(`PKG_QFP160_28', `PKG_QFP_65(`$1',`$2',`$3',`160',`28',`0')'); +define(`PKG_QFP240_32', `PKG_QFP_50(`$1',`$2',`$3',`240',`32',`0')'); +define(`PKG_QFP304_40', `PKG_QFP_50(`$1',`$2',`$3',`304',`40',`0')'); + +define(`PKG_TQFP32_7', `PKG_QFP_80(`$1',`$2',`$3', `32', `7',`0')'); +define(`PKG_TQFP44_10', `PKG_QFP_80(`$1',`$2',`$3', `44',`10',`0')'); +define(`PKG_TQFP52_10', `PKG_QFP_65(`$1',`$2',`$3', `52',`10',`0')'); +define(`PKG_TQFP64_10', `PKG_QFP_50(`$1',`$2',`$3', `64',`10',`0')'); +define(`PKG_TQFP64_14', `PKG_QFP_80(`$1',`$2',`$3', `64',`14',`0')'); +define(`PKG_TQFP80_12', `PKG_QFP_50(`$1',`$2',`$3', `80',`12',`0')'); +define(`PKG_TQFP80_14', `PKG_QFP_65(`$1',`$2',`$3', `80',`14',`0')'); +define(`PKG_TQFP100_12', `PKG_QFP_40(`$1',`$2',`$3',`100',`12',`0')'); +define(`PKG_TQFP100_14', `PKG_QFP_50(`$1',`$2',`$3',`100',`14',`0')'); +define(`PKG_TQFP120_28', `PKG_QFP_80(`$1',`$2',`$3',`120',`28',`0')'); +define(`PKG_TQFP128_14', `PKG_LQFP_40(`$1',`$2',`$3',`128',`14',`0')'); +define(`PKG_TQFP128_14_EP', `PKG_LQFP_40(`$1',`$2',`$3',`128',`14',`970')'); +define(`PKG_TQFP128_28', `PKG_QFP_80(`$1',`$2',`$3',`128',`28',`0')'); +define(`PKG_TQFP144_28', `PKG_QFP_65(`$1',`$2',`$3',`144',`28',`0')'); +define(`PKG_TQFP160_28', `PKG_QFP_65(`$1',`$2',`$3',`160',`28',`0')'); +define(`PKG_TQFP208_28', `PKG_QFP_50(`$1',`$2',`$3',`208',`28',`0')'); +define(`PKG_TQFP160_28', `PKG_QFP_65(`$1',`$2',`$3',`160',`28',`0')'); +define(`PKG_TQFP240_32', `PKG_QFP_50(`$1',`$2',`$3',`240',`32',`0')'); +define(`PKG_TQFP304_40', `PKG_QFP_50(`$1',`$2',`$3',`304',`40',`0')'); + +# +## Rectangular Quad-side flat pack + +define(`PKG_QFP64_R', `PKG_QFP_100(`$1',`$2',`$3', `64',`0',`0')'); +define(`PKG_QFP80_R', `PKG_QFP_80L(`$1',`$2',`$3', `80',`0',`0')'); +define(`PKG_QFP100_R', `PKG_QFP_65L(`$1',`$2',`$3',`100',`0',`0')'); +define(`PKG_QFP128_R', `PKG_QFP_50L(`$1',`$2',`$3',`128',`0',`0')'); +define(`PKG_LQFP128_R', `PKG_LQFP_50(`$1',`$2',`$3',`128',`0',`0')'); + +## Zig-zag in-line package +# ZIP20 .. ZIP40 +# +define(`PKG_ZIP9', `PKG_SD(`$1', `$2', `$3', 9)'); +define(`PKG_ZIP12', `PKG_SD(`$1', `$2', `$3', 12)'); +define(`PKG_ZIP16', `PKG_SD(`$1', `$2', `$3', 16)'); +define(`PKG_ZIP18', `PKG_SD(`$1', `$2', `$3', 18)'); +define(`PKG_ZIP20', `PKG_SD(`$1', `$2', `$3', 20)'); +define(`PKG_ZIP24', `PKG_SD(`$1', `$2', `$3', 24)'); +define(`PKG_ZIP28', `PKG_SD(`$1', `$2', `$3', 28)'); +define(`PKG_ZIP40', `PKG_SD(`$1', `$2', `$3', 40)'); + +# +## Axial non-polar component (typically resistor or capacitor), +# 100 through 1600 mil between pins +# AXN100 .. AXN1600 +# +define(`PKG_ACY100', `PKG_AXIAL_LAY(`$1', `$2', `$3', 100)'); +define(`PKG_ACY150', `PKG_AXIAL_LAY(`$1', `$2', `$3', 150)'); +define(`PKG_ACY200', `PKG_AXIAL_LAY(`$1', `$2', `$3', 200)'); +define(`PKG_ACY300', `PKG_AXIAL_LAY(`$1', `$2', `$3', 300)'); +define(`PKG_ACY400', `PKG_AXIAL_LAY(`$1', `$2', `$3', 400)'); +define(`PKG_ACY500', `PKG_AXIAL_LAY(`$1', `$2', `$3', 500)'); +define(`PKG_ACY600', `PKG_AXIAL_LAY(`$1', `$2', `$3', 600)'); +define(`PKG_ACY700', `PKG_AXIAL_LAY(`$1', `$2', `$3', 700)'); +define(`PKG_ACY800', `PKG_AXIAL_LAY(`$1', `$2', `$3', 800)'); +define(`PKG_ACY900', `PKG_AXIAL_LAY(`$1', `$2', `$3', 900)'); +define(`PKG_ACY1000', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1000)'); +define(`PKG_ACY1100', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1100)'); +define(`PKG_ACY1200', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1200)'); +define(`PKG_ACY1300', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1300)'); +define(`PKG_ACY1400', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1400)'); +define(`PKG_ACY1500', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1500)'); +define(`PKG_ACY1600', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1600)'); + + +## Axial polar component (typically capacitor), +# 100 through 1600 mil between pins +# +define(`PKG_ACY100P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 100, 1)') +define(`PKG_ACY150P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 150, 1)') +define(`PKG_ACY200P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 200, 1)') +define(`PKG_ACY300P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 300, 1)') +define(`PKG_ACY400P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 400, 1)') +define(`PKG_ACY500P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 500, 1)') +define(`PKG_ACY600P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 600, 1)') +define(`PKG_ACY700P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 700, 1)') +define(`PKG_ACY800P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 800, 1)') +define(`PKG_ACY900P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 900, 1)') +define(`PKG_ACY1000P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1000, 1)') +define(`PKG_ACY1100P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1100, 1)') +define(`PKG_ACY1200P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1200, 1)') +define(`PKG_ACY1300P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1300, 1)') +define(`PKG_ACY1400P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1400, 1)') +define(`PKG_ACY1500P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1500, 1)') +define(`PKG_ACY1600P', `PKG_AXIAL_LAY(`$1', `$2', `$3', 1600, 1)') + +# +## Axial diode (pin 1 is cathode) +# ALF300 .. ALF1000 +# +# +define(`PKG_ALF300', `PKG_DIODE_LAY(`$1', `$2', `$3', 300)'); +define(`PKG_ALF400', `PKG_DIODE_LAY(`$1', `$2', `$3', 400)'); +define(`PKG_ALF500', `PKG_DIODE_LAY(`$1', `$2', `$3', 500)'); +define(`PKG_ALF600', `PKG_DIODE_LAY(`$1', `$2', `$3', 600)'); +define(`PKG_ALF700', `PKG_DIODE_LAY(`$1', `$2', `$3', 700)'); +define(`PKG_ALF800', `PKG_DIODE_LAY(`$1', `$2', `$3', 800)'); +define(`PKG_ALF900', `PKG_DIODE_LAY(`$1', `$2', `$3', 900)'); +define(`PKG_ALF1000',`PKG_DIODE_LAY(`$1', `$2', `$3', 1000)'); + +# +## Bottom lead non-polar circular component (typically capacitor) +# pin spacing 100 mil and up +# RCY100 .. RCY1000 +# +define(`PKG_RCY100', `PKG_RADIAL_CAN(`$1', `$2', `$3', 200)'); +define(`PKG_RCY150', `PKG_RADIAL_CAN(`$1', `$2', `$3', 300)'); +define(`PKG_RCY200', `PKG_RADIAL_CAN(`$1', `$2', `$3', 400)'); +define(`PKG_RCY250', `PKG_RADIAL_CAN(`$1', `$2', `$3', 500)'); +define(`PKG_RCY300', `PKG_RADIAL_CAN(`$1', `$2', `$3', 600)'); +define(`PKG_RCY350', `PKG_RADIAL_CAN(`$1', `$2', `$3', 700)'); +define(`PKG_RCY400', `PKG_RADIAL_CAN(`$1', `$2', `$3', 800)'); +define(`PKG_RCY500', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1000)'); +define(`PKG_RCY600', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1200)'); +define(`PKG_RCY700', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1400)'); +define(`PKG_RCY800', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1600)'); +define(`PKG_RCY900', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1800)'); +define(`PKG_RCY1000', `PKG_RADIAL_CAN(`$1', `$2', `$3', 2000)'); +define(`PKG_RCY1100', `PKG_RADIAL_CAN(`$1', `$2', `$3', 2200)'); +define(`PKG_RCY1200', `PKG_RADIAL_CAN(`$1', `$2', `$3', 2400)'); + + +## Bottom lead polar circular component (typically capacitor) +# pin spacing 100 mil and up +# +define(`PKG_RCY100P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 200, 1)'); +define(`PKG_RCY150P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 300, 1)'); +define(`PKG_RCY200P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 400, 1)'); +define(`PKG_RCY250P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 500, 1)'); +define(`PKG_RCY300P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 600, 1)'); +define(`PKG_RCY350P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 700, 1)'); +define(`PKG_RCY400P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 800, 1)'); +define(`PKG_RCY500P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1000, 1)'); +define(`PKG_RCY600P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1200, 1)'); +define(`PKG_RCY700P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1400, 1)'); +define(`PKG_RCY800P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1600, 1)'); +define(`PKG_RCY900P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 1800, 1)'); +define(`PKG_RCY1000P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 2000, 1)'); +define(`PKG_RCY1100P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 2200, 1)'); +define(`PKG_RCY1200P', `PKG_RADIAL_CAN(`$1', `$2', `$3', 2400, 1)'); + +# +## Crystals +# +# +define(`PKG_HC49U', `PKG_CRYSTAL_V(`$1', `$2', `$3', 192, 435, 183, 60, 32, 2)'); +define(`PKG_HC49UH', `PKG_CRYSTAL_H(`$1', `$2', `$3', 192, 435, 515, 60, 32, 2)'); +define(`PKG_HC49U_3', `PKG_CRYSTAL_V(`$1', `$2', `$3', 96, 435, 183, 60, 32, 3)'); +define(`PKG_HC49U_3H',`PKG_CRYSTAL_H(`$1', `$2', `$3', 96, 435, 515, 60, 32, 3)'); +define(`PKG_HC51U', `PKG_CRYSTAL_V(`$1', `$2', `$3', 485, 757, 352, 80, 40, 2)'); +define(`PKG_HC51UH', `PKG_CRYSTAL_H(`$1', `$2', `$3', 485, 757, 775, 80, 40, 2)'); +define(`PKG_UM1', `PKG_CRYSTAL_V(`$1', `$2', `$3', 148, 311, 126, 60, 32, 2)'); +define(`PKG_UM1H', `PKG_CRYSTAL_H(`$1', `$2', `$3', 148, 311, 322, 60, 32, 2)'); +define(`PKG_UM1_3', `PKG_CRYSTAL_V(`$1', `$2', `$3', 74, 311, 126, 60, 32, 3)'); +define(`PKG_UM1_3H', `PKG_CRYSTAL_H(`$1', `$2', `$3', 74, 311, 322, 60, 32, 3)'); +# +# HC49 +# +define(`PKG_HC49', `PKG_CRYSTAL(`$1', `$2', `$3', 300)'); + +# +## Crystal oscillator +# pins are NC, GND, CLK, VCC +# OSC14 +# +define(`PKG_OSC14', `PKG_OSC(`$1', `$2', `$3')'); + +# +## LED, size in mm (pin 1 is +, 2 is -) +# LED3, LED5 +# +define(`PKG_LED3', `PKG_LED(`$1', `$2', `$3', 118)'); +define(`PKG_LED5', `PKG_LED(`$1', `$2', `$3', 236)'); + +# +## Transistor +# TO3_90 +# TO3_45 +# TO126LAY +# TO126W for wide +# TO126S for standing +# TO126SW for both +# TO220 +# TO220W for wide +# TO220S for standing +# TO220SW for both +# +# as is TO3_90 +# as is TO3_45 +# as is TO5 +# as is TO92 +define(`PKG_TO126', `PKG_TO126LAY( `$1', `$2', `$3')'); +define(`PKG_TO126S', `PKG_TO126LAY_WIDE( `$1', `$2', `$3')'); +define(`PKG_TO126W', `PKG_TO126STAND( `$1', `$2', `$3')'); +define(`PKG_TO126SW',`PKG_TO126STAND_WIDE(`$1', `$2', `$3')'); +define(`PKG_TO220', `PKG_TO220LAY( `$1', `$2', `$3')'); +define(`PKG_TO220S', `PKG_TO220LAY_WIDE( `$1', `$2', `$3')'); +define(`PKG_TO220W', `PKG_TO220STAND( `$1', `$2', `$3')'); +define(`PKG_TO220SW',`PKG_TO220STAND_WIDE(`$1', `$2', `$3')'); + +# these are just wrappers around the footprints from to.inc. +# they are done here to be in a standard format that will +# make them show up in the footprint window and also get +# generated via the m4lib_to_newlib.sh script +define(`PKG_TO18', `PKG_TO18BASE(`$1', `$2', `$3')'); +define(`PKG_TO39', `PKG_TO39BASE(`$1', `$2', `$3')'); +define(`PKG_TO92', `PKG_TO92BASE(`$1', `$2', `$3')'); + +## diode in TO220 +# +define(`PKG_TO220ACS', `PKG_TO220ACSTAND(`$1', `$2', `$3')'); +define(`PKG_TO218', `GENERIC_PL_POWER(`$1', `$2', `$3', 3, 219, 615, 200, 120,100, 60, 0)'); +# aka TOP3 +define(`PKG_TO247', `GENERIC_PL_POWER(`$1', `$2', `$3', 3, 219, 630, 210, 130,100, 60, 0)'); +# diode in TO247/TOP3 +define(`PKG_TO247_2', `GENERIC_PL_POWER(`$1', `$2', `$3', 2, 438, 630, 210, 130,100, 60, 0)'); +# aka TOP3BIG +define(`PKG_TO264', `GENERIC_PL_POWER(`$1', `$2', `$3', 3, 219, 800, 210, 130,100, 60, 0)'); +# aka IPAK +define(`PKG_TO251', `GENERIC_PL_POWER(`$1', `$2', `$3', 3, 90, 265, 100, 50, 70, 40, 0)'); + +define(`PKG_TO220ACSTAND', `GENERIC_PL_POWER(`$1', `$2', `$3', 2, 200, 400, 180, 100, 80, 40, 0)') + +# the definitions of PKG_TO220STAND PKG_TO220STAND-WIDE can be replaced by following lines: +#define(`PKG_TO220STAND', `GENERIC_PL_POWER(`$1', `$2', `$3', 3, 100, 400, 180, 100, 80, 40, 0)') +#define(`PKG_TO220STAND-WIDE', `GENERIC_PL_POWER(`$1', `$2', `$3', 3, 100, 400, 180, 200, 80, 40,-100)') + +# +## Power IC, as in MULTIWATT15 +# +# See the following: +# PENTAWATT: http://www.st.com/stonline/books/pdf/docs/9262.pdf +# HEPTAWATT: http://www.st.com/stonline/books/pdf/docs/5430.pdf +# MULTIWATT8: http://www.st.com/stonline/books/pdf/docs/5437.pdf +# MULTIWATT11: http://www.st.com/stonline/books/pdf/docs/5433.pdf +# MULTIWATT15: http://www.st.com/stonline/books/pdf/docs/5439.pdf + +# GENERIC_PL_POWER +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pin count +# $5: pin spacing +# $6: body length (dimension parallel to rows of pins) +# $7: body width (dimension perpendicular to rows of pins) +# $8: pin/tab spacing +# $9: pad size +# $10: drill size +# $11: pin row spacing + +define(`PKG_PENTAWATT', `GENERIC_PL_POWER(`$1', `$2', `$3', 5, 67, 409, 189, 177, 90, 60, 157)' ); +define(`PKG_HEPTAWATT', `GENERIC_PL_POWER(`$1', `$2', `$3', 7, 50, 409, 189, 110, 90, 60, 200)' ); +define(`PKG_MULTIWATT8', `GENERIC_PL_POWER(`$1', `$2', `$3', 8, 100, 800, 200, 115, 90, 60, 0)' ); +define(`PKG_MULTIWATT11', `GENERIC_PL_POWER(`$1', `$2', `$3', 11, 67, 800, 200, 180, 90, 60, 200)' ); +define(`PKG_MULTIWATT15', `GENERIC_PL_POWER(`$1', `$2', `$3', 15, 50, 800, 200, 180, 90, 60, 200)' ); + +# +## Jumper, i.e. single row headers +# +define(`PKG_JUMPER1', `PKG_CONNECTOR(`$1', `$2', `$3', 1, 1)'); +define(`PKG_JUMPER2', `PKG_CONNECTOR(`$1', `$2', `$3', 2, 1)'); +define(`PKG_JUMPER3', `PKG_CONNECTOR(`$1', `$2', `$3', 3, 1)'); +define(`PKG_JUMPER4', `PKG_CONNECTOR(`$1', `$2', `$3', 4, 1)'); +define(`PKG_JUMPER5', `PKG_CONNECTOR(`$1', `$2', `$3', 5, 1)'); +define(`PKG_JUMPER6', `PKG_CONNECTOR(`$1', `$2', `$3', 6, 1)'); +define(`PKG_JUMPER7', `PKG_CONNECTOR(`$1', `$2', `$3', 7, 1)'); +define(`PKG_JUMPER8', `PKG_CONNECTOR(`$1', `$2', `$3', 8, 1)'); +define(`PKG_JUMPER9', `PKG_CONNECTOR(`$1', `$2', `$3', 9, 1)'); +define(`PKG_JUMPER10', `PKG_CONNECTOR(`$1', `$2', `$3', 10, 1)'); +define(`PKG_JUMPER11', `PKG_CONNECTOR(`$1', `$2', `$3', 11, 1)'); +define(`PKG_JUMPER12', `PKG_CONNECTOR(`$1', `$2', `$3', 12, 1)'); +define(`PKG_JUMPER13', `PKG_CONNECTOR(`$1', `$2', `$3', 13, 1)'); +define(`PKG_JUMPER14', `PKG_CONNECTOR(`$1', `$2', `$3', 14, 1)'); +define(`PKG_JUMPER15', `PKG_CONNECTOR(`$1', `$2', `$3', 15, 1)'); +define(`PKG_JUMPER16', `PKG_CONNECTOR(`$1', `$2', `$3', 16, 1)'); +define(`PKG_JUMPER17', `PKG_CONNECTOR(`$1', `$2', `$3', 17, 1)'); +define(`PKG_JUMPER18', `PKG_CONNECTOR(`$1', `$2', `$3', 18, 1)'); +define(`PKG_JUMPER19', `PKG_CONNECTOR(`$1', `$2', `$3', 19, 1)'); +define(`PKG_JUMPER20', `PKG_CONNECTOR(`$1', `$2', `$3', 20, 1)'); +define(`PKG_JUMPER21', `PKG_CONNECTOR(`$1', `$2', `$3', 21, 1)'); +define(`PKG_JUMPER22', `PKG_CONNECTOR(`$1', `$2', `$3', 22, 1)'); +define(`PKG_JUMPER23', `PKG_CONNECTOR(`$1', `$2', `$3', 23, 1)'); +define(`PKG_JUMPER24', `PKG_CONNECTOR(`$1', `$2', `$3', 24, 1)'); +define(`PKG_JUMPER25', `PKG_CONNECTOR(`$1', `$2', `$3', 25, 1)'); +define(`PKG_JUMPER26', `PKG_CONNECTOR(`$1', `$2', `$3', 26, 1)'); + +# +## MTA Connector, (0.100 inch pitch) +# +define(`PKG_MTA100_2', `PKG_MTA_100(`$1', `$2', `$3', 2)'); +define(`PKG_MTA100_3', `PKG_MTA_100(`$1', `$2', `$3', 3)'); +define(`PKG_MTA100_4', `PKG_MTA_100(`$1', `$2', `$3', 4)'); +define(`PKG_MTA100_5', `PKG_MTA_100(`$1', `$2', `$3', 5)'); +define(`PKG_MTA100_6', `PKG_MTA_100(`$1', `$2', `$3', 6)'); +define(`PKG_MTA100_7', `PKG_MTA_100(`$1', `$2', `$3', 7)'); +define(`PKG_MTA100_8', `PKG_MTA_100(`$1', `$2', `$3', 8)'); +define(`PKG_MTA100_9', `PKG_MTA_100(`$1', `$2', `$3', 9)'); +define(`PKG_MTA100_10', `PKG_MTA_100(`$1', `$2', `$3', 10)'); +define(`PKG_MTA100_11', `PKG_MTA_100(`$1', `$2', `$3', 11)'); +define(`PKG_MTA100_12', `PKG_MTA_100(`$1', `$2', `$3', 12)'); +define(`PKG_MTA100_13', `PKG_MTA_100(`$1', `$2', `$3', 13)'); +define(`PKG_MTA100_14', `PKG_MTA_100(`$1', `$2', `$3', 14)'); +define(`PKG_MTA100_15', `PKG_MTA_100(`$1', `$2', `$3', 15)'); + +# +## Header connector, DIP pin numbering +# Corresponding to gEDA symbols header2-1 .. header64-1 +# +define(`PKG_HEADER2_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 1)'); +define(`PKG_HEADER4_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 2)'); +define(`PKG_HEADER6_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 3)'); +define(`PKG_HEADER8_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 4)'); +define(`PKG_HEADER10_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 5)'); +define(`PKG_HEADER12_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 6)'); +define(`PKG_HEADER14_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 7)'); +define(`PKG_HEADER16_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 8)'); +define(`PKG_HEADER18_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 9)'); +define(`PKG_HEADER20_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 10)'); +define(`PKG_HEADER22_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 11)'); +define(`PKG_HEADER24_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 12)'); +define(`PKG_HEADER26_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 13)'); +define(`PKG_HEADER28_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 14)'); +define(`PKG_HEADER30_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 15)'); +define(`PKG_HEADER32_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 16)'); +define(`PKG_HEADER34_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 17)'); +define(`PKG_HEADER36_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 18)'); +define(`PKG_HEADER38_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 19)'); +define(`PKG_HEADER40_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 20)'); +define(`PKG_HEADER42_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 21)'); +define(`PKG_HEADER44_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 22)'); +define(`PKG_HEADER46_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 23)'); +define(`PKG_HEADER48_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 24)'); +define(`PKG_HEADER50_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 25)'); +define(`PKG_HEADER52_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 26)'); +define(`PKG_HEADER54_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 27)'); +define(`PKG_HEADER56_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 28)'); +define(`PKG_HEADER58_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 29)'); +define(`PKG_HEADER60_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 30)'); +define(`PKG_HEADER62_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 31)'); +define(`PKG_HEADER64_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 32)'); +define(`PKG_HEADER80_1', `PKG_CONNECTOR_DIL(`$1', `$2', `$3', 40)'); + +# +## Header connector, ribbon cable numbering +# corresponding to gEDA symbols header2-2 .. header64-2 +# +define(`PKG_HEADER2_2', `PKG_CONNECTOR(`$1', `$2', `$3', 1, 2)'); +define(`PKG_HEADER4_2', `PKG_CONNECTOR(`$1', `$2', `$3', 2, 2)'); +define(`PKG_HEADER6_2', `PKG_CONNECTOR(`$1', `$2', `$3', 3, 2)'); +define(`PKG_HEADER8_2', `PKG_CONNECTOR(`$1', `$2', `$3', 4, 2)'); +define(`PKG_HEADER10_2', `PKG_CONNECTOR(`$1', `$2', `$3', 5, 2)'); +define(`PKG_HEADER12_2', `PKG_CONNECTOR(`$1', `$2', `$3', 6, 2)'); +define(`PKG_HEADER14_2', `PKG_CONNECTOR(`$1', `$2', `$3', 7, 2)'); +define(`PKG_HEADER16_2', `PKG_CONNECTOR(`$1', `$2', `$3', 8, 2)'); +define(`PKG_HEADER18_2', `PKG_CONNECTOR(`$1', `$2', `$3', 9, 2)'); +define(`PKG_HEADER20_2', `PKG_CONNECTOR(`$1', `$2', `$3', 10, 2)'); +define(`PKG_HEADER22_2', `PKG_CONNECTOR(`$1', `$2', `$3', 11, 2)'); +define(`PKG_HEADER24_2', `PKG_CONNECTOR(`$1', `$2', `$3', 12, 2)'); +define(`PKG_HEADER26_2', `PKG_CONNECTOR(`$1', `$2', `$3', 13, 2)'); +define(`PKG_HEADER28_2', `PKG_CONNECTOR(`$1', `$2', `$3', 14, 2)'); +define(`PKG_HEADER30_2', `PKG_CONNECTOR(`$1', `$2', `$3', 15, 2)'); +define(`PKG_HEADER32_2', `PKG_CONNECTOR(`$1', `$2', `$3', 16, 2)'); +define(`PKG_HEADER34_2', `PKG_CONNECTOR(`$1', `$2', `$3', 17, 2)'); +define(`PKG_HEADER36_2', `PKG_CONNECTOR(`$1', `$2', `$3', 18, 2)'); +define(`PKG_HEADER38_2', `PKG_CONNECTOR(`$1', `$2', `$3', 19, 2)'); +define(`PKG_HEADER40_2', `PKG_CONNECTOR(`$1', `$2', `$3', 20, 2)'); +define(`PKG_HEADER42_2', `PKG_CONNECTOR(`$1', `$2', `$3', 21, 2)'); +define(`PKG_HEADER44_2', `PKG_CONNECTOR(`$1', `$2', `$3', 22, 2)'); +define(`PKG_HEADER46_2', `PKG_CONNECTOR(`$1', `$2', `$3', 23, 2)'); +define(`PKG_HEADER48_2', `PKG_CONNECTOR(`$1', `$2', `$3', 24, 2)'); +define(`PKG_HEADER50_2', `PKG_CONNECTOR(`$1', `$2', `$3', 25, 2)'); +define(`PKG_HEADER52_2', `PKG_CONNECTOR(`$1', `$2', `$3', 26, 2)'); +define(`PKG_HEADER54_2', `PKG_CONNECTOR(`$1', `$2', `$3', 27, 2)'); +define(`PKG_HEADER56_2', `PKG_CONNECTOR(`$1', `$2', `$3', 28, 2)'); +define(`PKG_HEADER58_2', `PKG_CONNECTOR(`$1', `$2', `$3', 29, 2)'); +define(`PKG_HEADER60_2', `PKG_CONNECTOR(`$1', `$2', `$3', 30, 2)'); +define(`PKG_HEADER62_2', `PKG_CONNECTOR(`$1', `$2', `$3', 31, 2)'); +define(`PKG_HEADER64_2', `PKG_CONNECTOR(`$1', `$2', `$3', 32, 2)'); +define(`PKG_HEADER80_2', `PKG_CONNECTOR(`$1', `$2', `$3', 40, 2)'); + +# +## Header connector with latches +# +define(`PKG_DIN41651_10', `PKG_DIN41_651LAY( `$1', `$2', `$3', 10)'); +define(`PKG_DIN41651_14', `PKG_DIN41_651LAY( `$1', `$2', `$3', 14)'); +define(`PKG_DIN41651_16', `PKG_DIN41_651LAY( `$1', `$2', `$3', 16)'); +define(`PKG_DIN41651_20', `PKG_DIN41_651LAY( `$1', `$2', `$3', 20)'); +define(`PKG_DIN41651_26', `PKG_DIN41_651LAY( `$1', `$2', `$3', 26)'); +define(`PKG_DIN41651_34', `PKG_DIN41_651LAY( `$1', `$2', `$3', 34)'); +define(`PKG_DIN41651_40', `PKG_DIN41_651LAY( `$1', `$2', `$3', 40)'); +define(`PKG_DIN41651_50', `PKG_DIN41_651LAY( `$1', `$2', `$3', 50)'); +define(`PKG_DIN41651_60', `PKG_DIN41_651LAY( `$1', `$2', `$3', 60)'); +define(`PKG_DIN41651_64', `PKG_DIN41_651LAY( `$1', `$2', `$3', 64)'); + +define(`PKG_DIN41651_10S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 10)'); +define(`PKG_DIN41651_14S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 14)'); +define(`PKG_DIN41651_16S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 16)'); +define(`PKG_DIN41651_20S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 20)'); +define(`PKG_DIN41651_26S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 26)'); +define(`PKG_DIN41651_34S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 34)'); +define(`PKG_DIN41651_40S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 40)'); +define(`PKG_DIN41651_50S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 50)'); +define(`PKG_DIN41651_60S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 60)'); +define(`PKG_DIN41651_64S', `PKG_DIN41_651STAND(`$1', `$2', `$3', 64)'); + +# +## DSUB connector, female/male +# DB9F .. DB37F +# DB9M .. DB37M +# +define(`PKG_DB9F', `PKG_SUBD_FEMALE_LAY(`$1', `$2', `$3', 9)'); +define(`PKG_DB15F', `PKG_SUBD_FEMALE_LAY(`$1', `$2', `$3', 15)'); +define(`PKG_DB25F', `PKG_SUBD_FEMALE_LAY(`$1', `$2', `$3', 25)'); +define(`PKG_DB37F', `PKG_SUBD_FEMALE_LAY(`$1', `$2', `$3', 37)'); +define(`PKG_DB9M', `PKG_SUBD_MALE_LAY( `$1', `$2', `$3', 9)'); +define(`PKG_DB15M', `PKG_SUBD_MALE_LAY( `$1', `$2', `$3', 15)'); +define(`PKG_DB25M', `PKG_SUBD_MALE_LAY( `$1', `$2', `$3', 25)'); +define(`PKG_DB37M', `PKG_SUBD_MALE_LAY( `$1', `$2', `$3', 37)'); + +# +## DIN connector, 96 pin housing +# DIN41612C96F ... +# +define(`PKG_DIN41612C96F', `PKG_DIN41_612FEMALE(`$1', `$2', `$3', `abc')'); +define(`PKG_DIN41612C96M', `PKG_DIN41_612MALE( `$1', `$2', `$3', `abc')'); +define(`PKG_DIN41612C64F', `PKG_DIN41_612FEMALE(`$1', `$2', `$3', `ac')'); +define(`PKG_DIN41612C64M', `PKG_DIN41_612MALE( `$1', `$2', `$3', `ac')'); + +define(`PKG_DIN41612C96FS', `PKG_DIN41_612FEMALE_SMALL(`$1', `$2', `$3', `abc')'); +define(`PKG_DIN41612C96MS', `PKG_DIN41_612MALE_SMALL( `$1', `$2', `$3', `abc')'); +define(`PKG_DIN41612C64FS', `PKG_DIN41_612FEMALE_SMALL(`$1', `$2', `$3', `ac')'); +define(`PKG_DIN41612C64MS', `PKG_DIN41_612MALE_SMALL( `$1', `$2', `$3', `ac')'); + +# +## Standard SMT resistor, capacitor etc +# 0201 .. 2706 +# +#define(`PKG_0201', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 20, 10)'); +#define(`PKG_0402', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 40, 20)'); +#define(`PKG_0603', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 60, 30)'); +#define(`PKG_0805', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 80, 50)'); +#define(`PKG_1008', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 100, 80)'); +#define(`PKG_1206', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 120, 60)'); +#define(`PKG_1210', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 120, 100)'); +#define(`PKG_1806', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 180, 60)'); +#define(`PKG_1812', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 180, 120)'); +#define(`PKG_1825', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 180, 250)'); +define(`PKG_2706', `PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 270, 60)'); + +# The following are recommendations from IPC-7351 +# The naming convention, like 'CAPC0603L' follows the IPC standard. At the end +# of each size is a package named like '0603', '1206' which is more or less a compromise part based +# on the nominal IPC footprints for that package size. Any additions to this section +# should follow the IPC naming convention and size. +# +# The base macro accepts the numbers directly (after multiplying by 100) from the IPC +# standard so they are fairly simple to add. + +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pad X (size of pad in direction perpendicular to axis of part) [1/100 mm] +# $5: pad Y (size of pad in direction parallel to axis of part) [1/100 mm] +# $6: pad center to center spacing [1/100 mm] +# $7: courtyard size in direction parallel to axis of part [1/100 mm] (V1) +# $8: courtyard size in direction perpendicular to axis of part [1/100 mm] (V2) +# $9: length of silk screen line [1/100 mm] (R1) +# $10: spacing of silk screen line [1/100 mm] (R2) + +# 01005 +# See for example Murata GRM02 series. +# package X is 0.2 mm +/- 0.02 mm +# package Y is 0.07 to 0.14 mm +# package inner pad edge to pad edge is 0.13 min +# package outer pad edge to outer pad edge is 0.4 mm +/- 0.02 mm +# package height is 0.2mm +/- 0.02 mm + +# From page 10 of IPC-7351, Feb 2005, +# Zmax = length of pattern = Lmin (overall length of the component) + 2*Jt + sqrt(Cl^2 + F^2 + P^2) +# Gmin = Distance between lands of the pattern = Smax (distance between terminals) - 2*Jh - sqrt(Cs^2 + F^2 + P^2) +# Xmax = Width of pattern = Wmin (width of lead) + 2*Js + sqrt(Cw^2 + F^2 + P^2) +# +# C = component tolerance = *max - *min +# F = PCB tolerance - used 0.05 +# P = placement tolerance - used 0.05 +# +# X = Xmax = 0.18/0.18/0.23 round to get 0.20/0.20/0.25 +# Y = 0.5 * (Zmax - Gmin) +# center to center = 0.5 * (Zmax + Gmin) +# +# +# Jt = 0.00/0.10/0.20 +# Jh = -0.05/-0.05/-0.05 +# Js = 0.00/0.00/0.05 +# Round to nearest 0.05 +# Courtyard excess 0.10/0.15/0.20 +# +# +# Note that rounding to 0.05 mm produces a roundoff error of up to +/- 1 mil. This can be significant +# when we're talking about gaps on the order of 6 mils. So for this really tiny part, round to 0.01 mm +define(`PKG_CAPC0402L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 15, 31, 56, 28, 0, 0)'); +define(`PKG_CAPC0402N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0)'); +define(`PKG_CAPC0402M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 36, 35, 51, 106, 38, 0, 0)'); + +define(`PKG_INDC0402L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 15, 31, 56, 28, 0, 0)'); +define(`PKG_INDC0402N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0)'); +define(`PKG_INDC0402M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 36, 35, 51, 106, 38, 0, 0)'); + +define(`PKG_RESC0402L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 15, 31, 56, 28, 0, 0)'); +define(`PKG_RESC0402N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0)'); +define(`PKG_RESC0402M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 36, 35, 51, 106, 38, 0, 0)'); + +define(`PKG_01005', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0)'); + +# 0201 +define(`PKG_CAPC0603L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 30, 30, 50, 100, 60, 0, 0)'); +define(`PKG_CAPC0603N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 40, 40, 60, 130, 80, 0, 0)'); +define(`PKG_CAPC0603M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 50, 50, 80, 160, 90, 0, 0)'); + +define(`PKG_RESC0603L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 30, 30, 50, 100, 60, 0, 0)'); +define(`PKG_RESC0603N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 40, 40, 60, 130, 80, 0, 0)'); +define(`PKG_RESC0603M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 50, 50, 80, 160, 90, 0, 0)'); + +define(`PKG_0201', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 40, 40, 60, 130, 80, 0, 0)'); + +# 0402 (C,L = 0.6 mm high, R = 0.4 mm high) +define(`PKG_CAPC1005L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 55, 40, 90, 150, 80, 0, 0)'); +define(`PKG_CAPC1005N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 65, 50, 90, 170, 100, 0, 0)'); +define(`PKG_CAPC1005M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 75, 60, 100, 200, 120, 0, 0)'); + +define(`PKG_INDC1005L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 60, 50, 80, 150, 90, 0, 0)'); +define(`PKG_INDC1005N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 70, 50, 80, 170, 100, 0, 0)'); +define(`PKG_INDC1005M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 80, 70, 100, 200, 120, 0, 0)'); + +define(`PKG_RESC1005L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 55, 35, 90, 150, 80, 0, 0)'); +define(`PKG_RESC1005N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 65, 45, 100, 170, 100, 0, 0)'); +define(`PKG_RESC1005M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 75, 55, 110, 200, 120, 0, 0)'); + +define(`PKG_0402', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 70, 50, 80, 170, 100, 0, 0)'); + +# 0603 (C = 0.85 mm high, L = 0.95 mm high, R = 0.60 mm high) +define(`PKG_CAPC1608L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 90, 65, 120, 200, 120, 0, 0)'); +define(`PKG_CAPC1608N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 75, 130, 230, 130, 0, 0)'); +define(`PKG_CAPC1608M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 110, 85, 140, 270, 150, 0, 0)'); + +define(`PKG_INDC1608L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 90, 65, 120, 200, 120, 0, 0)'); +define(`PKG_INDC1608N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 75, 130, 230, 130, 0, 0)'); +define(`PKG_INDC1608M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 110, 85, 140, 270, 150, 0, 0)'); + +define(`PKG_RESC1608L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 90, 50, 120, 200, 120, 0, 90)'); +define(`PKG_RESC1608N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 60, 140, 230, 130, 0, 0)'); +define(`PKG_RESC1608M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 110, 70, 150, 270, 150, 10, 110)'); + +define(`PKG_0603', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 75, 130, 230, 130, 0, 0)'); + +# 0805 (C = 1.1 mm high, L = 1.2 mm high, R = 0.65 mm high) +define(`PKG_CAPC2012L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 140, 110, 160, 280, 170, 0, 0)'); +define(`PKG_CAPC2012N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 150, 130, 180, 350, 200, 0, 0)'); +define(`PKG_CAPC2012M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 160, 150, 200, 440, 260, 0, 0)'); + +define(`PKG_INDC2012L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 140, 110, 160, 280, 170, 0, 0)'); +define(`PKG_INDC2012N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 150, 130, 180, 350, 200, 0, 0)'); +define(`PKG_INDC2012M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 160, 150, 200, 440, 260, 0, 0)'); + +define(`PKG_RESC2012L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 130, 100, 160, 280, 160, 20, 130)'); +define(`PKG_RESC2012N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 140, 120, 180, 350, 190, 20, 140)'); +define(`PKG_RESC2012M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 150, 140, 200, 440, 250, 20, 150)'); + +define(`PKG_0805', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 150, 130, 180, 350, 200, 20, 140)'); + +# 1008 (L = 2.2 mm high) +define(`PKG_INDC2520L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 220, 90, 240, 340, 250, 80, 220)'); +define(`PKG_INDC2520N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 230, 110, 260, 410, 280, 70, 230)'); +define(`PKG_INDC2520M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 240, 130, 280, 500, 340, 80, 240)'); + +define(`PKG_1008', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 230, 110, 260, 410, 280, 70, 230)'); + +# 1206 (C = 1.35 mm high, L = 1.9 mm high, R = 0.71 mm high) +define(`PKG_CAPC3216L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 170, 110, 280, 400, 200, 90, 170)'); +define(`PKG_CAPC3216N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 180, 130, 300, 470, 230, 100, 180)'); +define(`PKG_CAPC3216M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 190, 150, 320, 560, 290, 100, 190)'); + +define(`PKG_INDC3216L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 180, 100, 300, 420, 210, 120, 180)'); +define(`PKG_INDC3216N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 190, 120, 320, 490, 240, 120, 190)'); +define(`PKG_INDC3216M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 200, 140, 340, 580, 300, 130, 200)'); + +define(`PKG_RESC3216L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 170, 110, 280, 400, 200, 90, 170)'); +define(`PKG_RESC3216N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 180, 130, 300, 470, 230, 100, 180)'); +define(`PKG_RESC3216M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 190, 150, 320, 560, 290, 100, 190)'); + +define(`PKG_1206', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 190, 130, 300, 490, 240, 120, 190)'); + +# 1210 (C = 1.35 mm high, L = 1.35 mm high, R = 0.71 mm high) +define(`PKG_CAPC3225L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 260, 110, 280, 400, 290, 90, 260)'); +define(`PKG_CAPC3225N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270)'); +define(`PKG_CAPC3225M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 280, 150, 320, 560, 380, 100, 280)'); + +define(`PKG_INDC3225L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 260, 110, 280, 400, 290, 90, 260)'); +define(`PKG_INDC3225N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270)'); +define(`PKG_INDC3225M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 280, 150, 320, 560, 380, 100, 280)'); + +define(`PKG_RESC3225L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 260, 110, 280, 400, 290, 90, 260)'); +define(`PKG_RESC3225N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270)'); +define(`PKG_RESC3225M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 280, 150, 320, 560, 380, 100, 280)'); + +define(`PKG_1210', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270)'); + +# 1806 (L = 1.9 mm high) + +define(`PKG_INDC4509L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 110, 130, 400, 540, 150, 200, 110)'); +define(`PKG_INDC4509N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 120, 150, 420, 610, 170, 190, 120)'); +define(`PKG_INDC4509M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 130, 170, 440, 700, 230, 200, 130)'); + +define(`PKG_1806', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 120, 150, 420, 610, 170, 190, 120)'); + +# 1812 (C = 1.35 mm high, L = 1.75 mm high, R = 1.1 mm high) +define(`PKG_CAPC4532L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 330, 140, 380, 540, 370, 160, 330)'); +define(`PKG_CAPC4532N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340)'); +define(`PKG_CAPC4532M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 350, 180, 420, 700, 450, 160, 350)'); + +define(`PKG_INDC4532L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 330, 140, 380, 540, 370, 160, 330)'); +define(`PKG_INDC4532N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340)'); +define(`PKG_INDC4532M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 350, 180, 420, 700, 450, 160, 350)'); + +define(`PKG_RESC4532L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 330, 140, 380, 540, 370, 160, 330)'); +define(`PKG_RESC4532N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340)'); +define(`PKG_RESC4532M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 350, 180, 420, 700, 450, 160, 350)'); + +define(`PKG_1806', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340)'); + +# 1825 (C = 1.1 mm high, R = 1.35 mm high) +define(`PKG_CAPC4564L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 670, 140, 380, 540, 700, 160, 670)'); +define(`PKG_CAPC4564N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 680, 160, 400, 610, 730, 160, 680)'); +define(`PKG_CAPC4564M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 690, 180, 420, 700, 790, 160, 690)'); + +define(`PKG_RESC4564L', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 670, 140, 380, 540, 700, 160, 670)'); +define(`PKG_RESC4564N', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 680, 160, 400, 610, 730, 160, 680)'); +define(`PKG_RESC4564M', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 690, 180, 420, 700, 790, 160, 690)'); + +define(`PKG_1825', `PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 680, 160, 400, 610, 730, 160, 680)'); + + + +# +## 3-Pin SMT EMI Filter based on standard SMT sizes +# See www.murata.com for example +# +define(`PKG_EMI0603', `COMMON_SMT_3PAD_MIL( `$1', `$2', `$3', 24, 24, 63, 47, 16, 10, 10)'); +define(`PKG_EMI0805', `COMMON_SMT_3PAD_MIL( `$1', `$2', `$3', 32, 24, 79, 75, 24, 10, 10)'); +define(`PKG_EMI1206', `COMMON_SMT_3PAD_MIL( `$1', `$2', `$3', 32, 28, 126, 79, 39, 10, 10)'); +define(`PKG_EMI1806', `COMMON_SMT_3PAD_MIL( `$1', `$2', `$3', 39, 39, 177, 102, 59, 10, 10)'); + +# +## Tantalum SMT capacitor (pin 1 is +) +# pin 1 is marked (and is presumably +), pin 2 is minus +# EIA3216 .. EIA7343 +# +define(`PKG_EIA3216', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 32, 16)'); +define(`PKG_EIA3528', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 35, 28)'); +define(`PKG_EIA6032', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 60, 32)'); +define(`PKG_EIA7343', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 73, 43)'); + +define(`PKG_TANT_A', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 32, 16)'); +define(`PKG_TANT_B', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 35, 28)'); +define(`PKG_TANT_C', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 60, 32)'); +define(`PKG_TANT_D', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 73, 43)'); + +# +## Surface mount electrolytic capacitor, number is dia in mm +define(`PKG_SME3', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 33, 33)'); +define(`PKG_SME4', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 43, 43)'); +define(`PKG_SME5', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 53, 53)'); +define(`PKG_SME6', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 66, 66)'); +define(`PKG_SME8', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 84, 84)'); +define(`PKG_SME10', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3',104,104)'); + +# +## SMT diode (pin 1 is cathode) +# (pin 2 is anode) +# SOD110 .. +# +# SOD23D is a SOT23 housing with pin numbers that match diodes +# it can also be used for transistors that used this numbering +# dimensions of `PKG_SMT_DIODE( `$1', `$2', `$3', len=mm/10, wid=mm/10)'); #JG +# dimensions of `PKG_SM/EIT_2PAD_EIA( `$1', `$2', `$3', len=mm/10, wid=mm/10)'); #JG +# +define(`PKG_SOD80', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 37, 16)'); +define(`PKG_SOD87', `PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 37, 21)'); +define(`PKG_SOD106A', `PKG_SMT_DIODE( `$1', `$2', `$3', 55, 25)'); +define(`PKG_SOD110', `PKG_SMT_DIODE( `$1', `$2', `$3', 21, 14)'); +# BUG: pads are only 0.65 mm wide: +define(`PKG_SOD123', `PKG_SMT_DIODE( `$1', `$2', `$3', 40, 15)'); +# BUG: pads are only 0.60 mm wide: +define(`PKG_SOD323', `PKG_SMT_DIODE( `$1', `$2', `$3', 27, 13)'); +define(`PKG_DO214', `PKG_SMT_DIODE( `$1', `$2', `$3', 77, 33)'); #JG +define(`PKG_DO214AB', `PKG_SMT_DIODE( `$1', `$2', `$3', 79, 34)'); #JG +define(`PKG_SOT23D', `PKG_SMT_TRANSISTOR2( `$1', `$2', `$3', 20, 21)'); +define(`PKG_SOT323D', `PKG_SMT_TRANSISTOR2( `$1', `$2', `$3', 13, 18)'); + +# +## SMT transistor, 3 pins +define(`PKG_SOT23', `PKG_SMT_TRANSISTOR3( `$1', `$2', `$3', 20, 21)'); +define(`PKG_SOT323', `PKG_SMT_TRANSISTOR3( `$1', `$2', `$3', 13, 18)'); +define(`PKG_SC90', `PKG_SMT_TRANSISTOR3( `$1', `$2', `$3', 10, 15)'); +define(`PKG_SC70_3', `PKG_SMT_TRANSISTOR3( `$1', `$2', `$3', 13, 18)'); + +## SMT transistor, 4 pins +define(`PKG_SOT89', `PKG_SMT_TRANSISTOR4X(`$1', `$2', `$3', 31, 31)'); +define(`PKG_SOT143', `PKG_SMT_TRANSISTOR4( `$1', `$2', `$3', 19, 21)'); +define(`PKG_SOT223', `PKG_SMT_TRANSISTOR4X(`$1', `$2', `$3', 46, 62)'); +define(`PKG_SC70_4', `PKG_SMT_TRANSISTOR4( `$1', `$2', `$3', 13, 18)'); + +## SMT transistor, 5 pins +# aka SOT23-5 +define(`PKG_SOT25', `PKG_SMT_TRANSISTOR5( `$1', `$2', `$3', 20, 21)'); +define(`PKG_SOT325', `PKG_SMT_TRANSISTOR5( `$1', `$2', `$3', 13, 18)'); +define(`PKG_SC70_5', `PKG_SMT_TRANSISTOR5A(`$1', `$2', `$3', 13, 18)'); + +## SMT transistor, 6 pins +# aka SOT23-6 +define(`PKG_SOT26', `PKG_SMT_TRANSISTOR6( `$1', `$2', `$3', 20, 21)'); +define(`PKG_SOT326', `PKG_SMT_TRANSISTOR6( `$1', `$2', `$3', 13, 18)'); +define(`PKG_SC70_6', `PKG_SMT_TRANSISTOR6( `$1', `$2', `$3', 13, 18)'); + +## Pressure transducer +define(`PKG_MPAK', `COMMON_SMT_TRANSISTORX_MIL(`$1', `$2', `$3', 150, 437, 20, `12345')'); + Index: oldlib/lib/gen_geda_list.awk =================================================================== --- oldlib/lib/gen_geda_list.awk (nonexistent) +++ oldlib/lib/gen_geda_list.awk (revision 1033) @@ -0,0 +1,32 @@ +#!/usr/bin/awk -f +# +# $Id$ +# +# Script to regenerate geda.list from geda.inc +# +# Usage: +# +# awk -f gen_geda_list.awk geda.inc > geda.list +# + +BEGIN { + printf("#\n"); + printf("# NOTE: Auto-generated. Do not change.\n"); + printf("#"); +} + +/^\#\#/ { + printf("#\n"); + next; +} + + +/^[ \t]*define/ { + pkg = $1; + ind = index(pkg, "PKG"); + pkg = substr(pkg, ind+4); + ind = index(pkg, "'"); + pkg = substr(pkg, 1, ind-1); + printf("geda_%s:%s:%s\n", pkg, pkg, pkg); +} + Property changes on: oldlib/lib/gen_geda_list.awk ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/gen_geda_m4.awk =================================================================== --- oldlib/lib/gen_geda_m4.awk (nonexistent) +++ oldlib/lib/gen_geda_m4.awk (revision 1033) @@ -0,0 +1,40 @@ +#!/usr/bin/awk -f +# +# $Id$ +# +# Script to regenerate geda.m4 from geda.inc +# +# Usage: +# +# awk -f gen_geda_m4.awk geda.inc > geda.m4 +# + +BEGIN { + printf("divert(-1)\n"); + printf("#\n"); + printf("# NOTE: Auto-generated. Do not change.\n"); + printf("#"); +} + +/^\#\#/ { + descr = $0; + ind = index(descr, $2); + descr = substr(descr, ind); + printf("#\n"); + next; +} + + +/^[ \t]*define/ { + pkg = $1; + ind = index(pkg, "PKG"); + pkg = substr(pkg, ind+4); + ind = index(pkg, "'"); + pkg = substr(pkg, 1, ind-1); + printf("define(`Description_geda_%s',\t``%s'')\n", pkg, descr); +} + +END { + printf("divert(0)dnl\n"); +} + Property changes on: oldlib/lib/gen_geda_m4.awk ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/gen_list.awk =================================================================== --- oldlib/lib/gen_list.awk (nonexistent) +++ oldlib/lib/gen_list.awk (revision 1033) @@ -0,0 +1,64 @@ +#!/usr/bin/awk -f +# +# $Id$ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Script to regenerate geda.list from geda.inc +# +# Usage: +# +# awk -f gen_list.awk name=foo foo.inc > foo.list +# + +BEGIN { + printf("#\n"); + printf("# NOTE: Auto-generated. Do not change.\n"); + printf("#"); + do_process = 0; +} + +/^\#[ \t]*EXTRACT_BEGIN/ { + do_process = 1; +} + +/^\#[ \t]*EXTRACT_END/ { + do_process = 0; +} + +do_process == 0 { + next; +} + +/^\#\#/ { + printf("#\n"); + next; +} + + +/^[ \t]*define/ { + pkg = $1; + ind = index(pkg, "PKG"); + pkg = substr(pkg, ind+4); + ind = index(pkg, "'"); + pkg = substr(pkg, 1, ind-1); + printf("%s_%s:%s:%s\n", name, pkg, pkg, pkg); +} + Property changes on: oldlib/lib/gen_list.awk ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/gen_m4.awk =================================================================== --- oldlib/lib/gen_m4.awk (nonexistent) +++ oldlib/lib/gen_m4.awk (revision 1033) @@ -0,0 +1,72 @@ +#!/usr/bin/awk -f +# +# $Id$ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Script to regenerate *.m4 from *.inc +# +# Usage: +# +# awk -f gen_m4.awk name=foo foo.inc > foo.m4 +# + +BEGIN { + printf("divert(-1)\n"); + printf("#\n"); + printf("# NOTE: Auto-generated. Do not change.\n"); + printf("#"); + do_process = 0; +} + +/^\#[ \t]*EXTRACT_BEGIN/ { + do_process = 1; +} + +/^\#[ \t]*EXTRACT_END/ { + do_process = 0; +} + +do_process == 0 { + next; +} + +/^\#\#/ { + descr = $0; + ind = index(descr, $2); + descr = substr(descr, ind); + printf("#\n"); + next; +} + + +/^[ \t]*define/ { + pkg = $1; + ind = index(pkg, "PKG"); + pkg = substr(pkg, ind+4); + ind = index(pkg, "'"); + pkg = substr(pkg, 1, ind-1); + printf("define(`Description_%s_%s',\t``%s'')\n", name, pkg, descr); +} + +END { + printf("divert(0)dnl\n"); +} + Property changes on: oldlib/lib/gen_m4.awk ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/generic.list =================================================================== --- oldlib/lib/generic.list (nonexistent) +++ oldlib/lib/generic.list (revision 1033) @@ -0,0 +1,155 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +generic8_dil:DIL:DIL 8 +generic8_dil:N:DIL 8 +generic8smd_dil:D:SMD 8 +generic14_dil:DIL:DIL 14 +generic14_dil:N:DIL 14 +generic14smd_dil:D:SMD 14 +generic16_dil:DIL:DIL 16 +generic16_dil:N:DIL 16 +generic16smd_dil:D:SMD 16 +generic18_dil:DIL:DIL 18 +generic18_dil:N:DIL 18 +generic18smd_dil:D:SMD 18 +generic20_dil:DIL:DIL 20 +generic20_dil:N:DIL 20 +generic20smd_dil:D:SMD 20 +generic24_dil:DIL:DIL 24 +generic24_dil:N:DIL 24 +generic24smd_dil:D:SMD 24 +generic24w_dil:DIL:W-DIL 24 +generic24w_dil:N:W-DIL 24 +generic24smdw_dil:DW:SMD-W 24 +generic28_dil:DIL:DIL 28 +generic28_dil:N:DIL 28 +generic28smd_dil:D:SMD 28 +generic28w_dil:DIL:W-DIL 28 +generic28w_dil:N:W-DIL 28 +generic28smdw_dil:DW:SMD-W 28 +generic32_dil:DIL:DIL 32 +generic32_dil:N:DIL 32 +generic40_dil:DIL:DIL 40 +generic40_dil:N:DIL 40 +generic64_dil:DIL:DIL 64 +generic64_dil:N:DIL 64 +generic44_plcc:PLCC:PLCC 44 +generic44_plcc:PLCC_SOCKET:PLCC 44 socket +generic52_plcc:PLCC:PLCC 52 +generic52_plcc:PLCC_SOCKET:PLCC 52 socket +generic68_plcc:PLCC:PLCC 68 +generic68_plcc:PLCC_SOCKET:PLCC 68 socket +generic84_plcc:PLCC:PLCC 84 +generic84_plcc:PLCC_SOCKET:PLCC 84 socket + +generic44_qfp:QFP:QFP 44 +generic44_qfp:OLD_QFP:QFP 44 OLD +generic208_lqfp:208_LQFP:QFP 208 +menu_qfp:MENU_QFP:QFP menu +generic80_qfp:QFP2:QFP 80 +generic16_zif:ZIF:ZIF 16 +generic20_zif:ZIF:ZIF 20 + +generic20_zip:SD:ZIP 20 +generic24_zip:SD:ZIP 24 +generic28_zip:SD:ZIP 28 +generic40_zip:SD:ZIP 40 + +generic_diode_300:DIODE_LAY:300 +generic_diode_400:DIODE_LAY:400 +generic_diode_500:DIODE_LAY:500 +generic_diode_600:DIODE_LAY:600 +generic_diode_800:DIODE_LAY:800 + +# +oscillator_1:OSC:1 MHz OSC +oscillator_2:OSC:2 MHz OSC +oscillator_4:OSC:4 MHz OSC +oscillator_5:OSC:5 MHz OSC +oscillator_8:OSC:8 MHz OSC +oscillator_10:OSC:10 MHz OSC +oscillator_12:OSC:12 MHz OSC +oscillator_16:OSC:16 MHz OSC +oscillator_20:OSC:20 MHz OSC +oscillator_24:OSC:24 MHz OSC +oscillator_32:OSC:32 MHz OSC + +ovenized_oscillator_5:OVEN_OSC:5 MHz OSC + +r_025:R025:0.25W Resistor (300 mil) +generic_resistor_axial_300:AXIAL_LAY:300 +generic_resistor_axial_400:AXIAL_LAY:400 +generic_resistor_axial_500:AXIAL_LAY:500 +generic_resistor_axial_600:AXIAL_LAY:600 +generic_resistor_axial_800:AXIAL_LAY:800 + +generic_capacitor_axial_300:AXIAL_LAY:300 +generic_capacitor_axial_400:AXIAL_LAY:400 +generic_capacitor_axial_500:AXIAL_LAY:500 +generic_capacitor_axial_600:AXIAL_LAY:600 +generic_capacitor_axial_800:AXIAL_LAY:800 + +generic_capacitor_radial_200:RADIAL_CAN:200 +generic_capacitor_radial_300:RADIAL_CAN:300 +generic_capacitor_radial_400:RADIAL_CAN:400 +generic_capacitor_radial_500:RADIAL_CAN:500 +generic_capacitor_radial_600:RADIAL_CAN:600 + +generic_smd_chip_402:SMD_CHIP:Resistor:Capacitor +generic_smd_chip_603:SMD_CHIP:Resistor:Capacitor +generic_smd_chip_805:SMD_CHIP:Resistor:Capacitor +generic_smd_chip_1206:SMD_CHIP:Resistor:Capacitor +generic_smd_chip_1210:SMD_CHIP:Resistor:Capacitor + +smd_resistor_603:SMD_SIMPLE:603 +smd_resistor_805:SMD_SIMPLE:805 +smd_resistor_1206:SMD_SIMPLE:1206 + +smd_capacitor_603:SMD_SIMPLE:603 +smd_capacitor_805:SMD_SIMPLE:805 +smd_capacitor_1206:SMD_SIMPLE:1206 + +smd_capacitor_polarized_603:SMD_POLAR:603 +smd_capacitor_polarized_805:SMD_POLAR:805 +smd_capacitor_polarized_1206:SMD_POLAR:1206 + +smd_EIA_A_capacitor:SMD_POLAR:EIA A +smd_EIA_B_capacitor:SMD_POLAR:EIA B +smd_EIA_C_capacitor:SMD_POLAR:EIA C +smd_EIA_D_capacitor:SMD_POLAR:EIA D + +smd_diode_603:SMD_DIODE:603 +smd_diode_805:SMD_DIODE:805 +smd_diode_1206:SMD_DIODE:1206 + +isa_eight_bit:ISA8:XT8BIT + +sot_23:SOT23:SOT-23 +sot_323:SOT323:SOT-323 +sot_nec19:NEC19:SOT-NE19 Property changes on: oldlib/lib/generic.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/generic.m4 =================================================================== --- oldlib/lib/generic.m4 (nonexistent) +++ oldlib/lib/generic.m4 (revision 1033) @@ -0,0 +1,469 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +define(`Description_generic8_dil', ``generic'') +define(`Param1_generic8_dil', 8) +define(`Param2_generic8_dil', 300) + +define(`Description_generic8smd_dil', ``generic'') +define(`Param1_generic8smd_dil', 8) + +define(`Description_generic14_dil', ``generic'') +define(`Param1_generic14_dil', 14) +define(`Param2_generic14_dil', 300) + +define(`Description_generic14smd_dil', ``generic'') +define(`Param1_generic14smd_dil', 14) + +define(`Description_generic16_dil', ``generic'') +define(`Param1_generic16_dil', 16) +define(`Param2_generic16_dil', 300) + +define(`Description_generic16smd_dil', ``generic'') +define(`Param1_generic16smd_dil', 16) + +define(`Description_generic18_dil', ``generic'') +define(`Param1_generic18_dil', 18) +define(`Param2_generic18_dil', 300) + +define(`Description_generic18smd_dil', ``generic'') +define(`Param1_generic18smd_dil', 18) + +define(`Description_generic20_dil', ``generic'') +define(`Param1_generic20_dil', 20) +define(`Param2_generic20_dil', 300) + +define(`Description_generic20smd_dil', ``generic'') +define(`Param1_generic20smd_dil', 20) + +define(`Description_generic24_dil', ``generic'') +define(`Param1_generic24_dil', 24) +define(`Param2_generic24_dil', 300) + +define(`Description_generic24smd_dil', ``generic'') +define(`Param1_generic24smd_dil', 24) + +define(`Description_generic24w_dil', ``generic'') +define(`Param1_generic24w_dil', 24) +define(`Param2_generic24w_dil', 600) + +define(`Description_generic24smdw_dil', ``generic'') +define(`Param1_generic24smdw_dil', 24) + +define(`Description_generic28_dil', ``generic'') +define(`Param1_generic28_dil', 28) +define(`Param2_generic28_dil', 300) + +define(`Description_generic28smd_dil', ``generic'') +define(`Param1_generic28smd_dil', 28) + +define(`Description_generic28w_dil', ``generic'') +define(`Param1_generic28w_dil', 28) +define(`Param2_generic28w_dil', 600) + +define(`Description_generic28smdw_dil', ``generic'') +define(`Param1_generic28smdw_dil', 28) + +define(`Description_generic32_dil', ``generic'') +define(`Param1_generic32_dil', 32) +define(`Param2_generic32_dil', 600) + +define(`Description_generic40_dil', ``generic'') +define(`Param1_generic40_dil', 40) +define(`Param2_generic40_dil', 600) + +define(`Description_generic64_dil', ``generic'') +define(`Param1_generic64_dil', 64) +define(`Param2_generic64_dil', 900) + +define(`Description_generic44_plcc', ``generic'') +define(`Param1_generic44_plcc', 44) +define(`Param2_generic44_plcc', 150) + +define(`Description_generic52_plcc', ``generic'') +define(`Param1_generic52_plcc', 52) +define(`Param2_generic52_plcc', 150) + +define(`Description_generic68_plcc', ``generic'') +define(`Param1_generic68_plcc', 68) +define(`Param2_generic68_plcc', 150) + +define(`Description_generic84_plcc', ``generic'') +define(`Param1_generic84_plcc', 84) +define(`Param2_generic84_plcc', 150) + +define(`Description_generic44_qfp', ``generic'') +define(`Param1_generic44_qfp', 44) +define(`Param2_generic44_qfp', 150) + +define(`Description_generic80_qfp', ``generic'') +define(`Param1_generic80_qfp', 80) +define(`Param2_generic80_qfp', 150) + +define(`Description_generic208_lqfp', ``generic'') + +define(`Description_menu_qfp', ``generic'') + +define(`Description_generic16_zif', ``generic'') +define(`Param1_generic16_zif', 16) + +define(`Description_generic20_zif', ``generic'') +define(`Param1_generic20_zif', 20) + +define(`Description_generic208_lqfp', ``generic'') + +define(`Description_menu_qfp', ``generic'') + +define(`Description_generic208_lqfp', ``generic'') + +define(`Description_menu_qfp', ``generic'') + +define(`Description_generic20_zip', ``generic'') +define(`Param1_generic20_zip', 20) + +define(`Description_generic24_zip', ``generic'') +define(`Param1_generic24_zip', 24) + +define(`Description_generic28_zip', ``generic'') +define(`Param1_generic28_zip', 28) + +define(`Description_generic40_zip', ``generic'') +define(`Param1_generic40_zip', 40) + +define(`Description_generic_diode_300', ``diode'') +define(`Param1_generic_diode_300', 300) + +define(`Description_generic_diode_400', ``diode'') +define(`Param1_generic_diode_400', 400) + +define(`Description_generic_diode_500', ``diode'') +define(`Param1_generic_diode_500', 500) + +define(`Description_generic_diode_600', ``diode'') +define(`Param1_generic_diode_600', 600) + +define(`Description_generic_diode_800', ``diode'') +define(`Param1_generic_diode_800', 800) + +define(`Description_oscillator_1', ``oscillator'') +define(`Param1_oscillator_1', 1) + +define(`Description_oscillator_2', ``oscillator'') +define(`Param1_oscillator_2', 2) + +define(`Description_oscillator_4', ``oscillator'') +define(`Param1_oscillator_4', 4) + +define(`Description_oscillator_5', ``oscillator'') +define(`Param1_oscillator_5', 5) + +define(`Description_oscillator_8', ``oscillator'') +define(`Param1_oscillator_8', 8) + +define(`Description_oscillator_10', ``oscillator'') +define(`Param1_oscillator_10', 10) + +define(`Description_oscillator_12', ``oscillator'') +define(`Param1_oscillator_12', 12) + +define(`Description_oscillator_16', ``oscillator'') +define(`Param1_oscillator_16', 16) + +define(`Description_oscillator_20', ``oscillator'') +define(`Param1_oscillator_20', 20) + +define(`Description_oscillator_24', ``oscillator'') +define(`Param1_oscillator_24', 24) + +define(`Description_oscillator_32', ``oscillator'') +define(`Param1_oscillator_32', 32) + +define(`Description_ovenized_oscillator_5', ``ovenized'') +define(`Param1_ovenized_oscillator_5', 5) + +define(`Description_generic_resistor_axial_300', ``resistor_axial'') +define(`Param1_generic_resistor_axial_300', 300) + +define(`Description_generic_resistor_axial_400', ``resistor_axial'') +define(`Param1_generic_resistor_axial_400', 400) + +define(`Description_generic_resistor_axial_500', ``resistor_axial'') +define(`Param1_generic_resistor_axial_500', 500) + +define(`Description_generic_resistor_axial_600', ``resistor_axial'') +define(`Param1_generic_resistor_axial_600', 600) + +define(`Description_generic_resistor_axial_800', ``resistor_axial'') +define(`Param1_generic_resistor_axial_800', 800) + +define(`Description_generic_capacitor_axial_300', ``capacitor_axial'') +define(`Param1_generic_capacitor_axial_300', 300) + +define(`Description_generic_capacitor_axial_400', ``capacitor_axial'') +define(`Param1_generic_capacitor_axial_400', 400) + +define(`Description_generic_capacitor_axial_500', ``capacitor_axial'') +define(`Param1_generic_capacitor_axial_500', 500) + +define(`Description_generic_capacitor_axial_600', ``capacitor_axial'') +define(`Param1_generic_capacitor_axial_600', 600) + +define(`Description_generic_capacitor_axial_800', ``capacitor_axial'') +define(`Param1_generic_capacitor_axial_800', 800) + +define(`Description_generic_capacitor_radial_200', ``capacitor_radial'') +define(`Param1_generic_capacitor_radial_200', 200) + +define(`Description_generic_capacitor_radial_300', ``capacitor_radial'') +define(`Param1_generic_capacitor_radial_300', 300) + +define(`Description_generic_capacitor_radial_400', ``capacitor_radial'') +define(`Param1_generic_capacitor_radial_400', 400) + +define(`Description_generic_capacitor_radial_500', ``capacitor_radial'') +define(`Param1_generic_capacitor_radial_500', 500) + +define(`Description_generic_capacitor_radial_600', ``capacitor_radial'') +define(`Param1_generic_capacitor_radial_600', 600) + +define(`Description_generic_smd_chip_402', `smd chip 402') +define(`Param1_generic_smd_chip_402', 402) + +define(`Description_generic_smd_chip_603', `smd chip 603') +define(`Param1_generic_smd_chip_603', 603) + +define(`Description_generic_smd_chip_805', `smd chip 805') +define(`Param1_generic_smd_chip_805', 805) + +define(`Description_generic_smd_chip_1206', `smd chip 1206') +define(`Param1_generic_smd_chip_1206', 1206) + +define(`Description_generic_smd_chip_1210', `smd chip 1210') +define(`Param1_generic_smd_chip_1210', 1210) + +define(`Description_isa_eight_bit', ``isa_eight_bit'') + +define(`Description_smd_resistor_603', ``chip_resistor'') +define(`Param1_smd_resistor_603', 60) +define(`Param2_smd_resistor_603', 30) + +define(`Description_smd_resistor_805', ``chip_resistor'') +define(`Param1_smd_resistor_805', 80) +define(`Param2_smd_resistor_805', 50) + +define(`Description_smd_resistor_1206', ``chip_resistor'') +define(`Param1_smd_resistor_1206', 120) +define(`Param2_smd_resistor_1206', 60) + +define(`Description_smd_capacitor_603', ``chip_capacitor'') +define(`Param1_smd_capacitor_603', 60) +define(`Param2_smd_capacitor_603', 30) + +define(`Description_smd_capacitor_805', ``chip_capacitor'') +define(`Param1_smd_capacitor_805', 80) +define(`Param2_smd_capacitor_805', 50) + +define(`Description_smd_capacitor_1206', ``chip_capacitor'') +define(`Param1_smd_capacitor_1206', 120) +define(`Param2_smd_capacitor_1206', 60) + +define(`Description_smd_capacitor_polarized_603', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_603', 60) +define(`Param2_smd_capacitor_polarized_603', 30) + +define(`Description_smd_capacitor_polarized_805', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_805', 80) +define(`Param2_smd_capacitor_polarized_805', 50) + +define(`Description_smd_capacitor_polarized_1206', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_1206', 120) +define(`Param2_smd_capacitor_polarized_1206', 60) + +define(`Description_smd_EIA_A_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_A_capacitor', 120) +define(`Param2_smd_EIA_A_capacitor', 50) + +define(`Description_smd_EIA_B_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_B_capacitor', 140) +define(`Param2_smd_EIA_B_capacitor', 90) + +define(`Description_smd_EIA_C_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_C_capacitor', 240) +define(`Param2_smd_EIA_C_capacitor', 90) + +define(`Description_smd_EIA_D_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_D_capacitor', 280) +define(`Param2_smd_EIA_D_capacitor', 100) + +define(`Description_smd_diode_603', ``chip_diode'') +define(`Param1_smd_diode_603', 60) +define(`Param2_smd_diode_603', 30) + +define(`Description_smd_diode_805', ``chip_diode'') +define(`Param1_smd_diode_805', 80) +define(`Param2_smd_diode_805', 50) + +define(`Description_smd_diode_1206', ``chip_diode'') +define(`Param1_smd_diode_1206', 120) +define(`Param2_smd_diode_1206', 60) + +define(`Description_smd_resistor_603', ``chip_resistor'') +define(`Param1_smd_resistor_603', 60) +define(`Param2_smd_resistor_603', 30) + +define(`Description_smd_resistor_805', ``chip_resistor'') +define(`Param1_smd_resistor_805', 80) +define(`Param2_smd_resistor_805', 50) + +define(`Description_smd_resistor_1206', ``chip_resistor'') +define(`Param1_smd_resistor_1206', 120) +define(`Param2_smd_resistor_1206', 60) + +define(`Description_smd_capacitor_603', ``chip_capacitor'') +define(`Param1_smd_capacitor_603', 60) +define(`Param2_smd_capacitor_603', 30) + +define(`Description_smd_capacitor_805', ``chip_capacitor'') +define(`Param1_smd_capacitor_805', 80) +define(`Param2_smd_capacitor_805', 50) + +define(`Description_smd_capacitor_1206', ``chip_capacitor'') +define(`Param1_smd_capacitor_1206', 120) +define(`Param2_smd_capacitor_1206', 60) + +define(`Description_smd_capacitor_polarized_603', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_603', 60) +define(`Param2_smd_capacitor_polarized_603', 30) + +define(`Description_smd_capacitor_polarized_805', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_805', 80) +define(`Param2_smd_capacitor_polarized_805', 50) + +define(`Description_smd_capacitor_polarized_1206', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_1206', 120) +define(`Param2_smd_capacitor_polarized_1206', 60) + +define(`Description_smd_EIA_A_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_A_capacitor', 120) +define(`Param2_smd_EIA_A_capacitor', 50) + +define(`Description_smd_EIA_B_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_B_capacitor', 140) +define(`Param2_smd_EIA_B_capacitor', 90) + +define(`Description_smd_EIA_C_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_C_capacitor', 240) +define(`Param2_smd_EIA_C_capacitor', 90) + +define(`Description_smd_EIA_D_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_D_capacitor', 280) +define(`Param2_smd_EIA_D_capacitor', 100) + +define(`Description_smd_diode_603', ``chip_diode'') +define(`Param1_smd_diode_603', 60) +define(`Param2_smd_diode_603', 30) + +define(`Description_smd_diode_805', ``chip_diode'') +define(`Param1_smd_diode_805', 80) +define(`Param2_smd_diode_805', 50) + +define(`Description_smd_diode_1206', ``chip_diode'') +define(`Param1_smd_diode_1206', 120) +define(`Param2_smd_diode_1206', 60) + +define(`Description_smd_resistor_603', ``chip_resistor'') +define(`Param1_smd_resistor_603', 60) +define(`Param2_smd_resistor_603', 30) + +define(`Description_smd_resistor_805', ``chip_resistor'') +define(`Param1_smd_resistor_805', 80) +define(`Param2_smd_resistor_805', 50) + +define(`Description_smd_resistor_1206', ``chip_resistor'') +define(`Param1_smd_resistor_1206', 120) +define(`Param2_smd_resistor_1206', 60) + +define(`Description_smd_capacitor_603', ``chip_capacitor'') +define(`Param1_smd_capacitor_603', 60) +define(`Param2_smd_capacitor_603', 30) + +define(`Description_smd_capacitor_805', ``chip_capacitor'') +define(`Param1_smd_capacitor_805', 80) +define(`Param2_smd_capacitor_805', 50) + +define(`Description_smd_capacitor_1206', ``chip_capacitor'') +define(`Param1_smd_capacitor_1206', 120) +define(`Param2_smd_capacitor_1206', 60) + +define(`Description_smd_capacitor_polarized_603', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_603', 60) +define(`Param2_smd_capacitor_polarized_603', 30) + +define(`Description_smd_capacitor_polarized_805', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_805', 80) +define(`Param2_smd_capacitor_polarized_805', 50) + +define(`Description_smd_capacitor_polarized_1206', ``chip_capacitor_polarized'') +define(`Param1_smd_capacitor_polarized_1206', 120) +define(`Param2_smd_capacitor_polarized_1206', 60) + +define(`Description_smd_EIA_A_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_A_capacitor', 120) +define(`Param2_smd_EIA_A_capacitor', 50) + +define(`Description_smd_EIA_B_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_B_capacitor', 140) +define(`Param2_smd_EIA_B_capacitor', 90) + +define(`Description_smd_EIA_C_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_C_capacitor', 240) +define(`Param2_smd_EIA_C_capacitor', 90) + +define(`Description_smd_EIA_D_capacitor', ``chip_capacitor_polarized'') +define(`Param1_smd_EIA_D_capacitor', 280) +define(`Param2_smd_EIA_D_capacitor', 100) + +define(`Description_smd_diode_603', ``chip_diode'') +define(`Param1_smd_diode_603', 60) +define(`Param2_smd_diode_603', 30) + +define(`Description_smd_diode_805', ``chip_diode'') +define(`Param1_smd_diode_805', 80) +define(`Param2_smd_diode_805', 50) + +define(`Description_smd_diode_1206', ``chip_diode'') +define(`Param1_smd_diode_1206', 120) +define(`Param2_smd_diode_1206', 60) + +define(`Description_sot_23', ``SOT-23 package'') +define(`Description_sot_323', ``SOT-323 package'') +define(`Description_sot_nec19', ``NEC SOT-NE19 package'') + +define(`Description_crystal_300', `crystal 300mil') +define(`Param1_crystal_300', 300) + +divert(0) Property changes on: oldlib/lib/generic.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/gtag.list =================================================================== --- oldlib/lib/gtag.list (nonexistent) +++ oldlib/lib/gtag.list (revision 1033) @@ -0,0 +1,5 @@ +cy7c64603_qfp:PQFP52_10X10:cy7c64603 +conn_usb:CONN_USB:conn_usb +conn_jtag:CONN_JTAG:conn_jtag +sm0805:SM0805:sm0805 +sm1206:SM1206:sm1206 Index: oldlib/lib/gtag.m4 =================================================================== --- oldlib/lib/gtag.m4 (nonexistent) +++ oldlib/lib/gtag.m4 (revision 1033) @@ -0,0 +1,147 @@ +divert(-1) +# +# gTag components +# +define(`PKG_PQFP52_10X10', +`Element(0x00 "$1" "`$2'" "$3" 250 200 0 150 0x00) +( + Pad(0 129 80 129 16 "1" 0x00000101) + Pad(0 155 80 155 16 "2" 0x00000001) + Pad(0 180 80 180 16 "3" 0x00000001) + Pad(0 206 80 206 16 "4" 0x00000001) + Pad(0 232 80 232 16 "5" 0x00000001) + Pad(0 257 80 257 16 "6" 0x00000001) + Pad(0 283 80 283 16 "7" 0x00000001) + Pad(0 308 80 308 16 "8" 0x00000001) + Pad(0 334 80 334 16 "9" 0x00000001) + Pad(0 359 80 359 16 "10" 0x00000001) + Pad(0 385 80 385 16 "11" 0x00000001) + Pad(0 411 80 411 16 "12" 0x00000001) + Pad(0 436 80 436 16 "13" 0x00000001) + + Pad(129 565 129 485 16 "14" 0x00000001) + Pad(155 565 155 485 16 "15" 0x00000001) + Pad(180 565 180 485 16 "16" 0x00000001) + Pad(206 565 206 485 16 "17" 0x00000001) + Pad(232 565 232 485 16 "18" 0x00000001) + Pad(257 565 257 485 16 "19" 0x00000001) + Pad(283 565 283 485 16 "20" 0x00000001) + Pad(308 565 308 485 16 "21" 0x00000001) + Pad(334 565 334 485 16 "22" 0x00000001) + Pad(359 565 359 485 16 "23" 0x00000001) + Pad(385 565 385 485 16 "24" 0x00000001) + Pad(411 565 411 485 16 "25" 0x00000001) + Pad(436 565 436 485 16 "26" 0x00000001) + + Pad(485 436 565 436 16 "27" 0x00000001) + Pad(485 411 565 411 16 "28" 0x00000001) + Pad(485 385 565 385 16 "29" 0x00000001) + Pad(485 359 565 359 16 "30" 0x00000001) + Pad(485 334 565 334 16 "31" 0x00000001) + Pad(485 308 565 308 16 "32" 0x00000001) + Pad(485 283 565 283 16 "33" 0x00000001) + Pad(485 257 565 257 16 "34" 0x00000001) + Pad(485 232 565 232 16 "35" 0x00000001) + Pad(485 206 565 206 16 "36" 0x00000001) + Pad(485 180 565 180 16 "37" 0x00000001) + Pad(485 155 565 155 16 "38" 0x00000001) + Pad(485 129 565 129 16 "39" 0x00000001) + + Pad(436 0 436 80 16 "40" 0x00000001) + Pad(411 0 411 80 16 "41" 0x00000001) + Pad(385 0 385 80 16 "42" 0x00000001) + Pad(359 0 359 80 16 "43" 0x00000001) + Pad(334 0 334 80 16 "44" 0x00000001) + Pad(308 0 308 80 16 "45" 0x00000001) + Pad(283 0 283 80 16 "46" 0x00000001) + Pad(257 0 257 80 16 "47" 0x00000001) + Pad(232 0 232 80 16 "48" 0x00000001) + Pad(206 0 206 80 16 "49" 0x00000001) + Pad(180 0 180 80 16 "50" 0x00000001) + Pad(155 0 155 80 16 "51" 0x00000001) + Pad(129 0 129 80 16 "52" 0x00000001) + + ElementLine( 135 129 436 129 20) + ElementLine( 129 135 129 436 20) + ElementLine( 129 436 436 436 20) + ElementLine( 436 436 436 129 20) + ElementLine( 129 135 135 129 20) + + Mark(0 0) +)') + +define(`Description_cy7c64603_qfp', ``USB Microcontroller'') +define(`Param1_cy7c64603_qfp', 52) +define(`PinList_cy7c64603_qfp', ``1',`2',`3',`4',`5',`6',`7',`8',`9',`10',`11',`12',`13',`14',`15',`16',`17',`18',`19',`20',`21',`22',`23',`24',`25',`26',`27',`28',`29',`30',`31',`32',`33',`34',`35',`36',`37',`38',`39',`40',`41',`42',`43',`44',`45',`46',`47',`48',`49',`50',`51',`52'') + +define(`PKG_CONN_USB', +`Element(0x00 "$1" "`$2'" "$3" 4140 2050 0 100 0x00) +( + Pin(4140 2050 60 28 "1" "1" 0x00000101) + Pin(4040 2050 60 28 "2" "2" 0x00000001) + Pin(4040 2130 60 28 "3" "3" 0x00000001) + Pin(4140 2130 60 28 "4" "4" 0x00000001) + Pin(3850 2240 90 90 "" "5" 0x00000009) + Pin(4330 2240 90 90 "" "6" 0x00000009) + + ElementLine( 3850 1900 4330 1900 20) + ElementLine( 3850 1900 3850 2150 20) + ElementLine( 4330 1900 4330 2150 20) + + Mark (4140 2050) +)') + +define(`Description_conn_usb',``USB Male Connector'') +define(`PinList_conn_usb', ``1', `2', `3', `4'') + +define(`PKG_SM0805', +`Element(0x00 "$1" "`$2'" "$3" 0 100 0 100 0x00) +( + Pad(100 100 100 100 50 "1" 0x00000100) + Pad(100 180 100 180 50 "2" 0x00000100) + + Mark(100 100) +)') + +define(`PKG_SM1206', +`Element(0x00 "$1" "`$2'" "$3" 0 100 0 100 0x00) +( + Pad(100 100 100 100 60 "1" 0x00000100) + Pad(100 230 100 230 60 "2" 0x00000100) + + Mark(100 100) +)') + +define(`Description_sm0805',``SMT 0805'') +define(`PinList_sm0805', ``1', `2'') + +define(`Description_sm1206',``SMT 1206'') +define(`PinList_sm1206', ``1', `2'') + + +define(`PKG_CONN_JTAG', +`Element(0x00 "$1" "`$2'" "$3" 0 0 0 100 0x00) +( + Pin(100 100 60 28 "1" "1" 0x00000101) + Pin(100 200 60 28 "2" "2" 0x00000001) + Pin(100 300 60 28 "3" "3" 0x00000001) + Pin(100 400 60 28 "4" "4" 0x00000001) + Pin(100 500 60 28 "5" "5" 0x00000001) + Pin(100 600 60 28 "6" "6" 0x00000001) + Pin(100 700 60 28 "7" "7" 0x00000001) + Pin(100 800 60 28 "8" "8" 0x00000001) + + ElementLine( 0 0 200 0 20) + ElementLine( 0 150 200 150 10) + ElementLine( 200 0 200 900 20) + ElementLine( 200 900 0 900 20) + ElementLine( 0 900 0 0 20) + + Mark (0 0) +)') + +define(`Description_conn_jtag',``JTAG Connector'') +define(`PinList_conn_jtag', ``1', `2', `3', `4', `5', `6', `7', `8'') + + +divert(0) Index: oldlib/lib/jerry.list =================================================================== --- oldlib/lib/jerry.list (nonexistent) +++ oldlib/lib/jerry.list (revision 1033) @@ -0,0 +1,51 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ + +# Arcade machine parts needed by Scott "Jerry" Lawrence +# jsl@absynth.com + +# this should be in the lsi file perhaps. +z80_dil:N:Z80 + +# these should be in the memory file. + +# RAM +4016_dil:N:4016 +2114_dil:N:2114 +6116_dil:N:6116 + +# NVSRAM +DS1220_dil:N:DS1220 +DS1225_dil:N:DS1225 +DS1230_dil:N:DS1230 + +# EPROM +2532_dil:N:2532 +2716_dil:N:2716 +2732_dil:N:2732 +2764_dil:N:2764 +27128_dil:N:27128 +27256_dil:N:27256 Index: oldlib/lib/jerry.m4 =================================================================== --- oldlib/lib/jerry.m4 (nonexistent) +++ oldlib/lib/jerry.m4 (revision 1033) @@ -0,0 +1,115 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# + +# Arcade machine parts needed by Scott "Jerry" Lawrence +# jsl@absynth.com + + +# this should be in the lsi file perhaps. + +define(`Description_z80_dil', ``Zilog Z80'') +define(`Param1_z80_dil', 40) +define(`Param2_z80_dil', 600) +define(`PinList_z80_dil', ``A11', `A12', `A13', `A14', `A15', `theta', `D3', `D4', `D5', `D6', `+5v', `D2', `D7', `D0', `D1', `/INT', `/NMI', `/HALT', `/MREQ', `/IORQ', `/RD', `/WR', `/BUSAK', `/WAIT', `/BUSRQ', `/RESET', `/M1', `/RFSH', `GND', `A0', `A1', `A2', `A3', `A4', `A5', `A6', `A7', `A8', `A9', `A10'') + + + +# these should be in the memory file. + +# RAM + +define(`Description_4016_dil', ``Static RAM 2Kx8'') +define(`Param1_4016_dil', 24) +define(`Param2_4016_dil', 600) +define(`PinList_4016_dil', ``A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`/W',`A9',`A8',`Vcc'') + +define(`Description_6116_dil', ``Static RAM 2Kx8'') +define(`Param1_6116_dil', 24) +define(`Param2_6116_dil', 600) +define(`PinList_6116_dil', ``A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`/We',`A9',`A8',`Vcc'') + + +define(`Description_2114_dil', ``Static RAM 1Kx4'') +define(`Param1_2114_dil', 18) +define(`Param2_2114_dil', 300) +define(`PinList_2114_dil', ``A6', `A5', `A4', `A3', `A0', `A1', `A2', `/Ce', `Gnd', `/We', `D3', `D2', `D1', `D0', `A9', `A8', `A7', `Vcc'') + + +# some Dallas Semiconductor parts: +# http://www.dalsemi.com/products/memory/index.html +# Battery Backed NVSRAM + +define(`Description_DS1220_dil', ``NVSRAM 2Kx8'') +define(`Param1_DS1220_dil', 24) +define(`Param2_DS1220_dil', 600) +define(`PinList_DS1220_dil', ``A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Ce',`A10',`/Oe',`/We',`A9',`A8',`Vcc'') + +define(`Description_DS1225_dil', ``NVSRAM 8Kx8'') +define(`Param1_DS1225_dil', 28) +define(`Param2_DS1225_dil', 600) +define(`PinList_DS1225_dil', ``n/c', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Ce',`A10',`/Oe',`A11',`A9',`A8',`n/c', `/We', `Vcc'') + +define(`Description_DS1230_dil', ``NVSRAM 32Kx8'') +define(`Param1_DS1230_dil', 28) +define(`Param2_DS1230_dil', 600) +define(`PinList_DS1230_dil', ``A14', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Ce',`A10',`/Oe',`A11',`A9',`A8',`A13', `/We', `Vcc'') + + +# EPROM + +define(`Description_2532_dil', ``EPROM 4Kx8'') +define(`Param1_2532_dil', 24) +define(`Param2_2532_dil', 600) +define(`PinList_2532_dil', ``A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`A11',`A10',`/Oe',`Vpp',`A9',`A8',`Vcc'') + +define(`Description_2716_dil', ``EPROM 2Kx8'') +define(`Param1_2716_dil', 24) +define(`Param2_2716_dil', 600) +define(`PinList_2716_dil', ``n/c',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/CeP',`A10',`/Oe',`Vpp',`A9',`A8',`Vcc'') + +define(`Description_2732_dil', ``EPROM 4Kx8'') +define(`Param1_2732_dil', 24) +define(`Param2_2732_dil', 600) +define(`PinList_2732_dil', ``A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8',`Vcc'') + +define(`Description_2764_dil', ``EPROM 8Kx8'') +define(`Param1_2764_dil', 28) +define(`Param2_2764_dil', 600) +define(`PinList_2764_dil', ``Vpp', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8', `n/c', `/PGM', `Vcc'') + +define(`Description_27128_dil', ``EPROM 16Kx8'') +define(`Param1_27128_dil', 28) +define(`Param2_27128_dil', 600) +define(`PinList_27128_dil', ``Vpp', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8', `A13', `A14', `Vcc'') + +define(`Description_27256_dil', ``EPROM 32Kx8'') +define(`Param1_27256_dil', 28) +define(`Param2_27256_dil', 600) +define(`PinList_27256_dil', ``Vpp', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8', `A13', `A14', `Vcc'') + +divert(0)dnl Index: oldlib/lib/johnstech.inc =================================================================== --- oldlib/lib/johnstech.inc (nonexistent) +++ oldlib/lib/johnstech.inc (revision 1033) @@ -0,0 +1,326 @@ +# -*- m4 -*- +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# +# Johnstech Evaluation Socket for QFN packages +# Johnstech Socket Part Numbers 724810 through 724839 +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: body size (mm) +# $6: pad pitch (1/100 mm) +# $7: PCB pad width (1/100 mm) +# $8: Package pad length (1/100 mm) +# $9: Exposed paddle size, 0 for no exposed paddle (1/100 mm) + +define(`PKG_GENERIC_JOHNSTECH7248_QFN', + ` + # number of pins on left/right sides (pin1 is upper pin on left side) + define(`LRPINS', `eval($4 / 4)') + # number of pins on top/bottom sides + define(`TBPINS', `eval(`$4' / 2 - LRPINS)') + # pin pitch (1/1000 mil) + define(`PITCH',`eval(`$6'*100000/254)') + # y-coordinate for upper pin on left/right sides (1/1000 mil) + define(`LRYMAX', `eval((LRPINS-1)*PITCH/2)') + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + define(`TBXMAX', `eval((TBPINS-1)*PITCH/2)') + # total horizontal package width (1/1000 mil) + define(`LRWIDTHMM', `ifelse(0,`$5',`787',`eval($5)')') + define(`LRWIDTH', `ifelse(0,`$5',`787',`eval(`$5'*10000000/254)')') + # total vertical package width (1/1000 mil) + define(`TBWIDTHMM', `ifelse(0,`$5',`551',`eval($5)')') + define(`TBWIDTH',`ifelse(0,`$5',`551',`eval(`$5'*10000000/254)')') + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + define(`EXTOUT', eval(75*100000/254)) + # how much pads extend inward from the package pad edge (1/1000 mil) + define(`EXTIN', eval(5*100000/254)) + # pad length/width (1/1000 mil) + define(`PADLENGTH', `eval(`$8'*100000/254)') + define(`PADWIDTH', `eval(`$7'*100000/254)') + # pad width (mil/100) + define(`PADWIDTHMIL100', `eval(PADWIDTH/10)') + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + define(`LRXMAX',`eval((LRWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`LRXMIN',`eval((LRWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + define(`TBYMAX',`eval((TBWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`TBYMIN',`eval((TBWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + + # pad size and drill size (mil/100) for the mounting holes + define(`MOUNTPAD', 7700) + define(`MOUNTDRILL', 2000) + # soldermask relief size for mounting holes (mil/100) + define(`MOUNTMASK', `eval(MOUNTPAD + 1000)') + + # silkscreen width (mils/100) + define(`SILKW', 1000) + # how much the silk screen is moved away from the package (1/1000 mil) + define(`SILKEXT', `eval(200*100000/254 + SILKW*10/2 + 5*1000)') + # upper right corner for silk screen (mil/100) + define(`SILKX', `eval((LRWIDTH/2 + SILKEXT )/10)') + define(`SILKY', `eval((TBWIDTH/2 + SILKEXT )/10)') + # refdes text size (mil/100) + define(`TEXTSIZE', 100) + # x,y coordinates for refdes label (mil/100) + define(`TEXTX', -SILKX) + define(`TEXTY', `eval(-SILKY - 1000 - TEXTSIZE/2)') + # square exposed paddle size (mil/100) + define(`EPSIZE', `eval(`$9'*10000/254)') + + # location of mounting holes (mil/100) + define(`MOUNTX', `eval((LRWIDTH/2 + 39370)/10)') + define(`MOUNTY', `eval((TBWIDTH/2 + 39370)/10)') + + # latch silkscreen width (mils/100) + define(`LSILKW', 100) + # points for latch silk on the left/right sides of the part (mil/100) + define(`LATCHLRYMIN', `eval((-TBWIDTH/2 - 25*100000/254 )/10)') + define(`LATCHLRYMAX', `eval(( TBWIDTH/2 + 25*100000/254 )/10)') + define(`LATCHLRXMIN', SILKX) + define(`LATCHLRXMAX', `eval(( LRWIDTH/2 + 400*100000/254 )/10)') + # points for latch silk on the top/bottom sides of the part (mil/100) + define(`LATCHTBXMIN', `eval((-LRWIDTH/2 - 25*100000/254 )/10)') + define(`LATCHTBXMAX', `eval(( LRWIDTH/2 + 25*100000/254 )/10)') + define(`LATCHTBYMIN', SILKY) + define(`LATCHTBYMAX', `eval(( TBWIDTH/2 + 400*100000/254 )/10)') + + # points for silk showing where the exposed paddle contacts are (mil/100) + define(`CONTL', 100) + ifelse(1, eval(TBWIDTHMM>4), define(`CONTL', 200)) + ifelse(1, eval(TBWIDTHMM>5), define(`CONTL', 300)) + + # spacing between rows of EP contacts in 1/100 mm. + define(`CONTS', 0) + ifelse(1, eval(TBWIDTHMM>5), define(`CONTS', `eval(TBWIDTHMM*100 - 430)')) + + define(`EPY', `eval((CONTL*100000/254)/10)') + define(`EPXMIN', `eval(((CONTS/2 - 20/2)*100000/254)/10)') + define(`EPXMAX', `eval(((CONTS/2 + 20/2)*100000/254)/10)') + + # soldermask opening (mil/100) + define(`MASKSIZE', `eval((400*100000/254 + TBWIDTH)/10)') + + +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "$1" "`$2'" "$3" 0 0 TEXTX TEXTY 0 TEXTSIZE 0x00000000] +( + +# left row +define(`CURPIN', 1) +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval((-LRYMAX + PITCH*idx)/10)')' + `Pad[-LRXMAX Y -LRXMIN Y PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000000]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# bottom row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((-TBXMAX + PITCH*idx)/10)')' + `Pad[X TBYMAX X TBYMIN PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000800]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# right row +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval(( LRYMAX - PITCH*idx)/10)')' + `Pad[LRXMAX Y LRXMIN Y PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000000]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# top row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((TBXMAX - PITCH*idx)/10)')' + `Pad[X -TBYMAX X -TBYMIN PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000800]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 EPSIZE 0 MASKSIZE "CURPIN" "CURPIN" 0x00000100] +define(`CURPIN', incr(CURPIN)) +# Mounting pins + +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ MOUNTX MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount1" "CURPIN" 0x0] +define(`CURPIN', incr(CURPIN)) +Pin[ -MOUNTX MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount2" "CURPIN" 0x0] +define(`CURPIN', incr(CURPIN)) +Pin[ -MOUNTX -MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount3" "CURPIN" 0x0] +define(`CURPIN', incr(CURPIN)) +Pin[ MOUNTX -MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount4" "CURPIN" 0x0] + +# Silk screen around package +ElementLine[ SILKX SILKY SILKX -SILKY SILKW] +ElementLine[ SILKX -SILKY -SILKX -SILKY SILKW] +ElementLine[-SILKX -SILKY -SILKX SILKY SILKW] +ElementLine[-SILKX SILKY SILKX SILKY SILKW] + +# Pin 1 indicator +ElementLine[-SILKX -SILKY eval(-SILKX - 1500) eval(-SILKY - 1500) SILKW] + +# Silk showing latch area + +# top +ElementLine[ LATCHTBXMIN -LATCHTBYMIN LATCHTBXMIN -LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMIN -LATCHTBYMAX LATCHTBXMAX -LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMAX -LATCHTBYMIN LATCHTBXMAX -LATCHTBYMAX LSILKW ] + +# bottom +ElementLine[ LATCHTBXMIN LATCHTBYMIN LATCHTBXMIN LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMIN LATCHTBYMAX LATCHTBXMAX LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMAX LATCHTBYMIN LATCHTBXMAX LATCHTBYMAX LSILKW ] + +# left +ElementLine[ -LATCHLRXMIN LATCHLRYMAX -LATCHLRXMAX LATCHLRYMAX LSILKW ] +ElementLine[ -LATCHLRXMAX LATCHLRYMAX -LATCHLRXMAX LATCHLRYMIN LSILKW ] +ElementLine[ -LATCHLRXMIN LATCHLRYMIN -LATCHLRXMAX LATCHLRYMIN LSILKW ] + +# right +ElementLine[ LATCHLRXMIN LATCHLRYMAX LATCHLRXMAX LATCHLRYMAX LSILKW ] +ElementLine[ LATCHLRXMAX LATCHLRYMAX LATCHLRXMAX LATCHLRYMIN LSILKW ] +ElementLine[ LATCHLRXMIN LATCHLRYMIN LATCHLRXMAX LATCHLRYMIN LSILKW ] + +# Silk showing area for exposed paddle socket contacts +ElementLine[ EPXMIN -EPY EPXMIN EPY LSILKW ] +ElementLine[ EPXMAX -EPY EPXMAX EPY LSILKW ] +ElementLine[ EPXMIN EPY EPXMAX EPY LSILKW ] +ElementLine[ EPXMIN -EPY EPXMAX -EPY LSILKW ] + +# packages with width >= 6.0 mm have 2 rows of contacts +ifelse(1, eval(TBWIDTHMM>5), +ElementLine[ -EPXMIN -EPY -EPXMIN EPY LSILKW ] +ElementLine[ -EPXMAX -EPY -EPXMAX EPY LSILKW ] +ElementLine[ -EPXMIN EPY -EPXMAX EPY LSILKW ] +ElementLine[ -EPXMIN -EPY -EPXMAX -EPY LSILKW ] +) + +)') + +# ------------------------------------------------------------------- + +# The following macros take: +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: body size (mm) +# $6: exposed paddle size (1/100 mm). 0 for no exposed paddle + + +# For an exposed paddle package, the paddle for an 'n' pin package is pin 'n+1' + +# 0.8 mm pitch +define(`PKG_JOHNSTECH_QFN_80', `PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `80',`36',`50', `$6')') +# 0.65 mm pitch +define(`PKG_JOHNSTECH_QFN_65', `PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `65',`36',`50', `$6')') +# 0.50 mm pitch, 0.6 mm pad length +define(`PKG_JOHNSTECH_QFN_50', `PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `50',`36',`50', `$6')') +# 0.50 mm pitch, 0.4 mm pad length +define(`PKG_JOHNSTECH_QFN_50S',`PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `50',`36',`50', `$6')') +# 0.40 mm pitch +define(`PKG_JOHNSTECH_QFN_40', `PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `40',`36',`50', `$6')') + + +# PKG_GENERIC_JOHNSTECH7248_QFN +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: body size (mm) +# $6: pad pitch (1/100 mm) +# $7: PCB pad width (1/100 mm) +# $8: Package pad length (1/100 mm) +# $9: Exposed paddle size, 0 for no exposed paddle (1/100 mm) +# ------------------------------------------------------------------- + +# EXTRACT_BEGIN + +## Johnstech QFN Socket, Series 1MM (724812-724839) + +# 4x4 mm +define(`PKG_JOHNSTECH_QFN12_4', `PKG_JOHNSTECH_QFN_80(`$1',`$2',`$3', `12', `4', `210')') +#define(`PKG_JOHNSTECH_TQFN12_4', `PKG_JOHNSTECH_QFN_80(`$1',`$2',`$3', `12', `4', `210')') + +define(`PKG_JOHNSTECH_QFN16_4', `PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `16', `4', `210')') +#define(`PKG_JOHNSTECH_TQFN16_4', `PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `16', `4', `210')') + +define(`PKG_JOHNSTECH_QFN20_4', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `20', `4', `210')') +#define(`PKG_JOHNSTECH_TQFN20_4', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `20', `4', `210')') + +define(`PKG_JOHNSTECH_QFN24_4', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `24', `4', `210')') +#define(`PKG_JOHNSTECH_TQFN24_4', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `24', `4', `260')') + +# 5x5 mm +define(`PKG_JOHNSTECH_QFN16_5', `PKG_JOHNSTECH_QFN_80(`$1',`$2',`$3', `16', `5', `310')') +#define(`PKG_JOHNSTECH_TQFN16_5', `PKG_JOHNSTECH_QFN_80(`$1',`$2',`$3', `16', `5', `310')') + +define(`PKG_JOHNSTECH_QFN20_5', `PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `20', `5', `310')') +#define(`PKG_JOHNSTECH_TQFN20_5', `PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `20', `5', `310')') + +define(`PKG_JOHNSTECH_QFN28_5', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `28', `5', `310')') +#define(`PKG_JOHNSTECH_TQFN28_5', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `28', `5', `325')') + +define(`PKG_JOHNSTECH_QFN32_5', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `32', `5', `310')') +#define(`PKG_JOHNSTECH_TQFN32_5', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `32', `5', `310')') + +# 6x6 mm +define(`PKG_JOHNSTECH_QFN36_6', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `36', `6', `370')') +#define(`PKG_JOHNSTECH_TQFN36_6', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `36', `6', `370')') + +define(`PKG_JOHNSTECH_QFN40_6', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `40', `6', `410')') +#define(`PKG_JOHNSTECH_TQFN40_6', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `40', `6', `410')') + +# 7x7 mm +define(`PKG_JOHNSTECH_QFN32_7', `PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `32', `7', `470')') +#define(`PKG_JOHNSTECH_TQFN32_7', `PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `32', `7', `470')') + +define(`PKG_JOHNSTECH_QFN44_7', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `44', `7', `470')') +#define(`PKG_JOHNSTECH_TQFN44_7', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `44', `7', `470')') + +define(`PKG_JOHNSTECH_QFN48_7', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `48', `7', `560')') +#define(`PKG_JOHNSTECH_TQFN48_7', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `48', `7', `560')') + +# 8x8 mm +define(`PKG_JOHNSTECH_QFN56_8', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `56', `8', `665')') +#define(`PKG_JOHNSTECH_TQFN56_8', `PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `56', `8', `665')') + +# 10x10 mm +define(`PKG_JOHNSTECH_QFN68_10', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `68', `10', `770')') +#define(`PKG_JOHNSTECH_TQFN68_10', `PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `68', `10', `770')') + +# EXTRACT_END + Index: oldlib/lib/linear.list =================================================================== --- oldlib/lib/linear.list (nonexistent) +++ oldlib/lib/linear.list (revision 1033) @@ -0,0 +1,41 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +L297_dil:N:L297 +L297A_dil:N:L297A +L298_multiwatt:MULTIWATT15:L298 +MAX222_dil:N:MAX222 +MAX232_dil:N:MAX232 +MAX232A_dil:N:MAX232A +MAX233_dil:N:MAX233 +MAX233A_dil:N:MAX233A +MAX667_dil:N:MAX667 +MAX680_dil:N:MAX680 +MAX690_dil:N:MAX690 +MAX691_dil:N:MAX691 +NE4558_dil:N:NE4558 Property changes on: oldlib/lib/linear.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/linear.m4 =================================================================== --- oldlib/lib/linear.m4 (nonexistent) +++ oldlib/lib/linear.m4 (revision 1033) @@ -0,0 +1,94 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +define(`Description_MAX222_dil', `high-speed dual RS232 driver w. shutdown') +define(`Param1_MAX222_dil', 18) +define(`Param2_MAX222_dil', 300) +define(`PinList_MAX222_dil', ``NC',`C1+',`V+',`C1-',`C2+',`C2-',`V-',`T2out',`R2in',`R2out',`T2in',`T1in',`R1out',`R1in',`T1out',`GND',`Vcc',`/Shdn'') + +define(`Description_MAX232_dil', `dual RS232 driver') +define(`Param1_MAX232_dil', 16) +define(`Param2_MAX232_dil', 300) +define(`PinList_MAX232_dil', ``C1+',`V+',`C1-',`C2+',`C2-',`V-',`T2out',`R2in',`R2out',`T2in',`T1in',`R1out',`R1in',`T1out',`GND',`Vcc'') + +define(`Description_MAX232A_dil', `high-speed dual RS232 driver') +define(`Param1_MAX232A_dil', 16) +define(`Param2_MAX232A_dil', 300) +define(`PinList_MAX232A_dil', ``C1+',`V+',`C1-',`C2+',`C2-',`V-',`T2out',`R2in',`R2out',`T2in',`T1in',`R1out',`R1in',`T1out',`GND',`Vcc'') + +define(`Description_MAX233_dil', `dual RS232 driver without external components') +define(`Param1_MAX233_dil', 20) +define(`Param2_MAX233_dil', 300) +define(`PinList_MAX233_dil', ``T2in',`T1in',`R1out',`R1in',`T1out',`Gnd',`Vcc',`C1+',`Gnd',`C2-',`C2+',`V-',`C1-',`V+',`C2+',`C2-',`V-',`T2out',`R2in',`R2out'') + +define(`Description_MAX233A_dil', `high-speed dual RS232 driver without external components') +define(`Param1_MAX233A_dil', 20) +define(`Param2_MAX233A_dil', 300) +define(`PinList_MAX233A_dil', ``T2in',`T1in',`R1out',`R1in',`T1out',`Gnd',`Vcc',`C1+',`Gnd',`C2-',`C2+',`V-',`C1-',`V+',`C2+',`C2-',`V-',`T2out',`R2in',`R2out'') + +define(`Description_MAX667_dil', `5V/adjustable low-dropout linear regulator') +define(`Param1_MAX667_dil', 8) +define(`Param2_MAX667_dil', 300) +define(`PinList_MAX667_dil', ``DD',`OUT',`LBI',`GND',`SHDN',`SET',`LBO',`IN'') + +define(`Description_MAX680_dil', `+-10V voltage converter') +define(`Param1_MAX680_dil', 8) +define(`Param2_MAX680_dil', 300) +define(`PinList_MAX680_dil', ``C1-',`C2+',`C2-',`V-',`GND',`Vcc',`C1+',`V+'') + +define(`Description_MAX690_dil', `uP supervisor w. watchdog and power-fail signal') +define(`Param1_MAX690_dil', 8) +define(`Param2_MAX690_dil', 300) +define(`PinList_MAX690_dil', ``Vout',`Vcc',`Gnd',`PFI',`/PFO',`WDI',`/RESET',`VBatt'') + +define(`Description_MAX691_dil', `uP supervisor w. watchdog, chip-enable and power-fail signal') +define(`Param1_MAX691_dil', 16) +define(`Param2_MAX691_dil', 300) +define(`PinList_MAX691_dil', ``Vbatt',`Vout',`Vcc',`Gnd',`BattOn',`/LowLine',`OSC_In',`OSC_Out',`PFI',`/PFO',`WDI',`/CE_Out',`/CE_In',`/WDO',`/Reset',`Reset'') + +# -------------------------------------------------------------------- +# based on data mailed by Olaf Kaluza (olaf@criseis.ruhr.de) +# +define(`Description_L297_dil', `stepper-motor controller') +define(`Param1_L297_dil', 20) +define(`Param2_L297_dil', 300) +define(`PinList_L297_dil', ``Sync',`Gnd',`Home',`A',`/Inh1',`B',`C',`/Inh2',`D',`Enable',`Control',`Vs',`Sens2',`Sens1',`Vref',`Osc',`CW/CCW',`/Clock',`Half/Full',`/Reset'') + +define(`Description_L297A_dil', `stepper-motor controller') +define(`Param1_L297A_dil', 20) +define(`Param2_L297A_dil', 300) +define(`PinList_L297A_dil', ``Sync',`Gnd',`Home',`A',`/Inh1',`B',`C',`/Inh2',`D',`Enable',`Dir-Mem',`Vs',`Sens2',`Sens1',`Vref',`Osc',`CW/CCW',`/Clock',`Half/Full',`/Reset'') + +define(`Description_NE4558_dil', `dual operating-amplifier') +define(`Param1_NE4558_dil', 8) +define(`Param2_NE4558_dil', 300) +define(`PinList_NE4558_dil', ``Out1',`Inv1',`NoInv1',`-Us',`NoInv2',`Inv2',`Out2',`+Us'') + +define(`Description_L298_multiwatt', `dual full-bridge driver') +define(`PinList_L298_multiwatt', ``I-Sens1', `Out1', `Out2', `Vcc', `In1', `Enable A', `In2', `Gnd', `Logic-Vcc', `In3', `Enable B', `In4', `Out3', `Out4', `I-Sens2'') + +divert(0)dnl Property changes on: oldlib/lib/linear.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/logic.list =================================================================== --- oldlib/lib/logic.list (nonexistent) +++ oldlib/lib/logic.list (revision 1033) @@ -0,0 +1,31 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +GAL16V8_dil:N:GAL16V8 +GAL20V8_dil:N:GAL20V8 +GAL22V10_dil:N:GAL22V10 Property changes on: oldlib/lib/logic.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/logic.m4 =================================================================== --- oldlib/lib/logic.m4 (nonexistent) +++ oldlib/lib/logic.m4 (revision 1033) @@ -0,0 +1,46 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# ------------------------------------------------------------------------ +# based on mail by Olaf Kaluza (olaf@criseis.ruhr.de) +# +define(`Description_GAL16V8_dil', `generic PAL replacement') +define(`Param1_GAL16V8_dil', 20) +define(`Param2_GAL16V8_dil', 300) +define(`PinList_GAL16V8_dil', ``CLK/I1',`I2',`I3',`I4',`I5',`I6',`I7',`I8',`I9',`Gnd',`I10',`I/O/Qh',`I/O/Qg',`I/O/Qf',`I/O/Qe',`I/O/Qd',`I/O/Qc',`I/O/Qb',`I/O/Qa',`Vcc'') + +define(`Description_GAL20V8_dil', `generic PAL replacement') +define(`Param1_GAL20V8_dil', 24) +define(`Param2_GAL20V8_dil', 300) +define(`PinList_GAL20V8_dil', ``CLK/I1',`I2',`I3',`I4',`I5',`I6',`I7',`I8',`I9',`I10',`I11',`Gnd',`I12/OE',`I13',`I/O/Qh',`I/O/Qg',`I/O/Qf',`I/O/Qe',`I/O/Qd',`I/O/Qc',`I/O/Qb',`I/O/Qa',`I14',`Vcc'') + +define(`Description_GAL22V10_dil', `generic PAL replacement') +define(`Param1_GAL22V10_dil', 24) +define(`Param2_GAL22V10_dil', 300) +define(`PinList_GAL22V10_dil', ``CLK/I1',`I2',`I3',`I4',`I5',`I6',`I7',`I8',`I9',`I10',`I11',`Gnd',`I12',`I/O/Qj',`I/O/Qi',`I/O/Qh',`I/O/Qg',`I/O/Qf',`I/O/Qe',`I/O/Qd',`I/O/Qc',`I/O/Qb',`I/O/Qa',`Vcc'') + +divert(0)dnl Property changes on: oldlib/lib/logic.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/lsi.list =================================================================== --- oldlib/lib/lsi.list (nonexistent) +++ oldlib/lib/lsi.list (revision 1033) @@ -0,0 +1,72 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +6551_dil:N:6551 +6801_dil:N:6801 +81C17_dil:N:81C17 +AT90S1200_dil:N:AT90S1200 +AT90S1300_dil:N:AT90S1300 +AT90S2313_dil:N:AT90S2313 +BT484_plcc:PLCC:BT484 +BT484_plcc:PLCC_SOCKET:BT484 socket +I82077_plcc:PLCC:I82077 +I82077_plcc:PLCC_SOCKET:I82077 socket +MAB8031AH_dil:N:MAB8031AH +MC68000_dil:N:MC68000 +MC68008_plcc:PLCC:MC68008 +MC68008_plcc:PLCC_SOCKET:MC68008 socket +MC68030_pga:MC68030_pga:MC68030 +MC68332_qfp:QFP132:MC68332 +MC68681_dil:N:MC68681 DIL +MC68681_plcc:PLCC:MC68681 PLCC +MC68681_plcc:PLCC_SOCKET:MC68681 PLCC socket +PEB2086N_plcc:PLCC:PEB2086N PLCC +PEB2086N_plcc:PLCC_SOCKET:PEB2086N PLCC socket +MC68881_pga:MC68881_pga:MC68881 +MC68881_pga:MC68881_pga:MC68882 +MC68HC11_plcc:PLCC:MC68HC11 +MC68HC11_plcc:PLCC_SOCKET:MC68HC11 socket +PIC16C54_dil:N:PIC16C54:PIC16C55:PIC16C58 +PIC16C55_dil:N:PIC16C55:PIC16C57 +PIC16C61_dil:N:PIC16C61 +PIC16C64_dil:N:PIC16C64 +PIC16C71_dil:N:PIC16C71 +PIC16C74_dil:N:PIC16C74 +PIC16C84_dil:N:PIC16C84 +PIC17C42_dil:N:PIC17C42 +RTC62421_dil:N:RTC62421 +TI34010_plcc:PLCC:TI34010 +TI34010_plcc:PLCC_SOCKET:TI34010 socket +WD33C93A_dil:N:WD33C93A +Z8536_dil:N:Z8536 +AT90S8535_plcc:PLCC:AT90S8535 PLCC +AT90S8535_plcc:PLCC_SOCKET:AT90S8535 PLCC socket +AT90S8535_dil:N:AT90S8535 dil +AT90S4434_dil:N:AT90S4434 dil + + Property changes on: oldlib/lib/lsi.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/lsi.m4 =================================================================== --- oldlib/lib/lsi.m4 (nonexistent) +++ oldlib/lib/lsi.m4 (revision 1033) @@ -0,0 +1,422 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# ---------------------------------------------------------------------- +# several different microcontrollers from the PIC family +# donated by ?!?, thanks anyway +# +define(`Description_BT484_plcc', `color lookup table') +define(`Param1_BT484_plcc', 84) +define(`Param2_BT484_plcc', 150) +define(`PinList_BT484_plcc', ``PA0',`PA1',`PA2',`PA3',`PA4',`PA5',`PA6',`PA7',`PB0',`PB1',`PB2',`PB3',`PB4',`PB5',`PB6',`PB7',`PC0',`PC1',`PC2',`PC3',`PC4',`PC5',`PC6',`PC7',`PD0',`PD1',`PD2',`PD3',`PD4',`PD5',`PD6',`PD7',`Adjust',`Gnd',`Red',`Gnd',`Green',`Gnd',`Blue',`Vaa',`Comp',`Vaa',`VRefIn',`VRefOut',`Vaa',`/Sense',`/Reset',`/Wr',`/Rd',`RS0',`RS1',`RS2',`RS3',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`/OddEven',`CDE',`/CSync',`/CBlank',`PortSel',`V0',`V1',`V2',`V3',`V4',`V5',`V6',`V7',`Vaa',`PClk1',`Vaa',`PClk0',`Vaa',`Gnd',`LClk',`Gnd',`SClk',`Gnd'') + +define(`Description_I82077_plcc', `floppy controller') +define(`Param1_I82077_plcc', 68) +define(`Param2_I82077_plcc', 150) +define(`PinList_I82077_plcc', ``WP',`Trk0',`/Dack',`/RD',`/WR',`/CS',`A0',`A1',`Gnd',`A2',`D0',`Gnd',`D1',`D2',`D3',`Gnd',`D4',`Vcc',`D5',`D6',`Gnd',`D7',`Int',`Drq',`TC',`INDX',`IDENT',`DRate0',`DRate1',`DRV2',`DskChg',`Reset',`X1',`X2',`/Invert',`Gnd',`LoFil',`HiFil',`PLL0',`Vcc',`RdData',`NC',`NC',`NC',`AGnd',`AVcc',`NC',`MFM',`DenSel',`Gnd',`HDSel',`We',`WrData',`Gnd',`Step',`Dir',`ME0',`DS0',`Gnd',`Vcc',`ME1',`DS1',`ME2',`DS2',`Gnd',`ME3',`DS3',`Vcc'') + +define(`Description_MC68000_dil', `CPU') +define(`Param1_MC68000_dil', 64) +define(`Param2_MC68000_dil', 900) +define(`PinList_MC68000_dil', ``D4',`D3',`D2',`D1',`D0',`/As',`/Uds',`/Lds',`R/W',`/Dtack',`/Bg',`/Bgack',`/Br',`Vcc',`Clk',`Gnd',`/Halt',`/Reset',`/Vma',`E',`/Vpa',`/Berr',`/Ipl2',`/Ipl1',`/Ipl0',`Fc2',`Fc1',`Fc0',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`A9',`A10',`A11',`A12',`A13',`A14',`A15',`A16',`A17',`A18',`A19',`A20',`Vcc',`A21',`A22',`A23',`Gnd',`D15',`D14',`D13',`D12',`D11',`D10',`D9',`D8',`D7',`D6',`D5'') + +define(`Description_MC68008_plcc', `CPU') +define(`Param1_MC68008_plcc', 52) +define(`Param2_MC68008_plcc', 150) +define(`PinList_MC68008_plcc', ``A2',`A3',`A4',`A5',`A6',`A7',`A8',`A9',`A10',`A11',`A12',`A13',`A21',`A14',`Vcc',`A15',`Gnd',`A16',`A17',`A18',`A19',`A20',`D7',`D6',`D5',`D4',`D3',`D2',`D1',`D0',`/As',`/Ds',`R/W',`/Dtack',`/Bg',`/BgAck',`/Br',`Clk',`Gnd',`/Halt',`/Reset',`E',`/Vpa',`/Berr',`/Ipl1',`/Ipl2',`/Ipl0',`Fc2',`Fc1',`Fc0',`A0',`A1'') + +define(`Description_MC68681_dil', `DUART') +define(`Param1_MC68681_dil', 40) +define(`Param2_MC68681_dil', 600) +define(`PinList_MC68681_dil', ``Rs1',`Ip3',`Rs2',`Ip1',`Rs3',`Rs4',`Ip0',`R/W',`/Dtack',`RxDB',`TxDB',`Op1',`Op3',`Op5',`Op7',`D1',`D3',`D5',`D7',`Gnd',`/Irq',`D6',`D4',`D2',`D0',`Op6',`Op4',`Op2',`Op0',`TxDA',`RxDA',`X1/Clk',`X2',`/Reset',`/Cs',`Ip2',`/Iack',`Ip5',`Ip4',`Vcc'') + +define(`Description_MC68681_plcc', `DUART') +define(`Param1_MC68681_plcc', 44) +define(`Param2_MC68681_plcc', 150) +define(`PinList_MC68681_plcc', ``NC',`RS1',`IP3',`RS2',`IP1',`RS3',`RS4',`IP0',`R-/W',`/DTAck',`RxD1',`NC',`TxD1',`OP1',`OP3',`OP5',`OP7',`D1',`D3',`D5',`D7',`Gnd',`NC',`/Irq',`D6',`D4',`D2',`D0',`OP6',`OP4',`OP2',`OP0',`TxD0',`NC',`RxD0',`X1-Clk',`X2',`/Reset',`/CS',`IP2',`/IAck',`IP5',`IP4',`Vcc'') + +define(`Description_PEB2086N_plcc', `ISAC-S') +define(`Param1_PEB2086N_plcc', 44) +define(`Param2_PEB2086N_plcc', 150) +define(`PinList_PEB2086N_plcc', ``AD4',`AD5',`AD6',`AD7',`SDAR',`A1',`SDAX/SDS1',`SCA/SDS2',`RST',`A5',`Vssd',`DCL',`FSC1',`FSC2',`M1',`X2',`A4',`A3',`NC',`X1',`M0',`CP/BCL',`/INT',`Vssa',`XTAL2',`XTAL1',`SR2',`SR1',`NC',`NC',`Vdd',`SX1',`SX2',`IDP0',`IDP1',`ALE',`/CS',`/WR',`/RD',`A0',`AD0',`AD1',`AD2',`AD3'') + +define(`Description_MC68HC11_plcc', `micro controller') +define(`Param1_MC68HC11_plcc', 52) +define(`Param2_MC68HC11_plcc', 150) +define(`PinList_MC68HC11_plcc', ``Vss',`MdB_StB',`MdA_/LIR',`StA_/AS',`E',`StB_R/W',`EXTAL',`XTAL',`PC0_AD0',`PC1_AD1',`PC2_AD2',`PC3_AD3',`PC4_AD4',`PC5_AD5',`PC6_AD6',`PC7_AD7',`/RESET',`/XIRQ',`/IRQ',`PD0_RxD',`PD1_Txd',`PD2_MISO',`PD3_MOSI',`PD4_SCK',`PD5_/SS',`Vdd',`PA7_OCAI',`PA6_OC2',`PA5_OC3',`PA4_OC4',`PA3_OCIC',`PA2_IC1',`PA1_IC2',`PA0_IC3',`PB7_A15',`PB6_A14',`PB5_A13',`PB4_A12',`PB3_A11',`PB2_A10',`PB1_A9',`PB0_A8',`PE0_AN0',`PE4_AN4',`PE1_AN1',`PE5_AN5',`PE2_AN2',`PE6_AN6',`PE3_AN3',`PE7_AN7',`VRef_l',`VRef_h'') + +define(`Description_PIC16C54_dil', `micro controller') +define(`Param1_PIC16C54_dil', 18) +define(`Param2_PIC16C54_dil', 300) +define(`PinList_PIC16C54_dil', ``RA2',`RA3',`RTCC',`/MCLR',`VSS',`RB0',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`VDD',`OSC2',`OSC1',`RA0',`RA1'') + +define(`Description_PIC16C55_dil', `micro controller') +define(`Param1_PIC16C55_dil', 28) +define(`Param2_PIC16C55_dil', 600) +define(`PinList_PIC16C55_dil', ``RTCC',`VDD',`nc',`VSS',`nc',`RA0',`RA1',`RA2',`RA3',`RB0',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`RC0',`RC1',`RC2',`RC3',`RC4',`RC5',`RC6',`RC7',`OSC2',`OSC1',`/MCLR'') + +define(`Description_PIC16C61_dil', `micro controller') +define(`Param1_PIC16C61_dil', 18) +define(`Param2_PIC16C61_dil', 300) +define(`PinList_PIC16C61_dil', ``RA2',`RA3',`RA4',`/MCLR',`VSS',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`VDD',`OSC2',`OSC1',`RA0',`RA1'') + +define(`Description_PIC16C64_dil', `micro controller') +define(`Param1_PIC16C64_dil', 40) +define(`Param2_PIC16C64_dil', 600) +define(`PinList_PIC16C64_dil', ``/MCLR',`RA0',`RA1',`RA2',`RA3',`RA4/T0CKI',`RA5/SS',`RE0/RD',`RE1/WR',`RE2/CS',`VDD',`VSS',`OSC1',`OSC2',`RC0/T0OSO/T1CKI',`RC1/T0OSI',`RC2/CCPI',`RC3/SCK/SCL',`RD0/PSP0',`RD1/PSP1',`RD2/PSP2',`RD3/PSP3',`RC4/SDI/SDA',`RC5/SDO',`RC6',`RC7',`RD4/PSP4',`RD5/PSP5',`RD6/PSP6',`RD7/PSP7',`VSS',`VDD',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7'') + +define(`Description_PIC16C71_dil', `micro controller') +define(`Param1_PIC16C71_dil', 18) +define(`Param2_PIC16C71_dil', 300) +define(`PinList_PIC16C71_dil', ``RA2/AIN2',`RA3/AIN3',`RA4/T0CKI',`/MCLR',`VSS',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`VDD',`OSC2',`OSC1',`RA0/AIN0',`RA1/AIN1'') + +define(`Description_PIC16C74_dil', `micro controller') +define(`Param1_PIC16C74_dil', 40) +define(`Param2_PIC16C74_dil', 600) +define(`PinList_PIC16C74_dil', ``/MCLR',`RA0/AN0',`RA1/AN1',`RA2/AN2',`RA3/AN3',`RA4/T0CKI',`RA5/AN4/SS',`RE0/RD/AN5',`RE1/WR/AN6',`RE2/CS/AN7',`VDD',`VSS',`OSC1',`OSC2',`RC0/T1OSO/T1CKI',`RC1/T0OSI/CCP2',`RC2/CCPI',`RC3/SCK/SCL',`RD0/PSP0',`RD1/PSP1',`RD2/PSP2',`RD3/PSP3',`RC4/SDI/SDA',`RC5/SDO',`RC6/TX/CK',`RC7/RX/DT',`RD4/PSP4',`RD5/PSP5',`RD6/PSP6',`RD7/PSP7',`VSS',`VDD',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7'') + +define(`Description_PIC16C84_dil', `micro controller') +define(`Param1_PIC16C84_dil', 18) +define(`Param2_PIC16C84_dil', 300) +define(`PinList_PIC16C84_dil', ``RA2',`RA3',`RA4/T0CKI',`/MCLR',`VSS',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`VDD',`OSC2',`OSC1',`RA0',`RA1'') + +define(`Description_PIC17C42_dil', `micro controller') +define(`Param1_PIC17C42_dil', 40) +define(`Param2_PIC17C42_dil', 600) +define(`PinList_PIC17C42_dil', ``VDD',`RC0/AD0',`RC1/AD1',`RC2/AD2',`RC3/AD3',`RC4/AD4',`RC5/AD5',`RC6/AD6',`RC7/AD7',`VSS',`RB0/CAP1',`RB1/CAP2',`RB2/PWM1',`RB3/PWM2',`RB4/TCLK12',`RB5/TCLK3',`RB6',`RB7',`OSC1',`OSC2',`RA5/TX/CK',`RA4/RX/DT',`RA3',`RA2',`RA1/T0CKI',`RA0/INT',`TEST',`RE2/WR',`RE1/OE',`RE0/ALE',`VSS',`/MCLR',`RD7/AD15',`RD6/AD14',`RD5/AD13',`RD4/AD12',`RD3/AD11',`RD2/AD10',`RD1/AD9',`RD0/AD8'') + +define(`Description_RTC62421_dil', `real-time clock') +define(`Param1_RTC62421_dil', 18) +define(`Param2_RTC62421_dil', 300) +define(`PinList_RTC62421_dil', ``Std.P',`/Cs0',`Ale',`A0',`A1',`A2',`A3',`/Read',`Gnd',`/Write',`D3',`D2',`D1',`D0',`Cs1',`(Vdd)',`(Vdd)',`Vdd'') + +define(`Description_TI34010_plcc', `graphic processor') +define(`Param1_TI34010_plcc', 68) +define(`Param2_TI34010_plcc', 150) +define(`PinList_TI34010_plcc', ``Gnd',`Run/Emu',`/Reset',`VClk',`InClk',`/LInt1',`/LInt2',`/Hold',`LRdy',`LAD0',`LAD1',`LAD2',`LAD3',`LAD4',`LAD5',`LAD6',`LAD7',`Gnd',`LAD8',`LAD9',`LAD10',`LAD11',`LAD12',`LAD13',`LAD14',`LAD15',`Vcc',`LClk1',`LClk2',`/HSync',`/VSync',`/Blank',`/Hlda/Emu',`/LAL',`Gnd',`DDout',`/DEn',`/RAS',`/CAS',`/We',`/TRQE',`/HInt',`HRdy',`HD15',`HD14',`HD13',`HD12',`HD11',`HD10',`HD9',`HD8',`Gnd',`HD7',`HD6',`HD5',`HD4',`HD3',`HD2',`HD1',`HD0',`Vcc',`/HUDS',`/HLDS',`/HRead',`/HWrite',`/HCs',`HFS0',`HFS1'') + +define(`Description_WD33C93A_dil', `SE-SCSI-I controller') +define(`Param1_WD33C93A_dil', 40) +define(`Param2_WD33C93A_dil', 600) +define(`PinList_WD33C93A_dil', ``I/O',`/MSG',`GND',`C/D',`/BSY',`/SEL',`CLK',`/DRQ',`/DACK',`INTRQ',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`A0',`GND',`/CS',`/WE',`/RE',`ALE',`/SDP',`/SD0',`/SD1',`GND',`/SD2',`/SD3',`/SD4',`/SD5',`/SD6',`/SD7',`GND',`/RESET',`/ATN',`/ACK',`/REQ',`Vcc'') + +# ---------------------------------------------------------------------- +# use a special definition for some PGA packaged circuits +# +define(`Description_MC68030_pga', `CPU') +define(`PKG_MC68030_pga', + `Element(0x00 "$1" "`$2'" "$3" 450 650 0 100 0x00) + ( + Pin(50 50 50 20 "/BR" 0x201) + Pin(150 50 50 20 "A0" 0x01) + Pin(250 50 50 20 "A30" 0x01) + Pin(350 50 50 20 "A28" 0x01) + Pin(450 50 50 20 "A26" 0x01) + Pin(550 50 50 20 "A24" 0x01) + Pin(650 50 50 20 "A23" 0x01) + Pin(750 50 50 20 "A21" 0x01) + Pin(850 50 50 20 "A19" 0x01) + Pin(950 50 50 20 "A17" 0x01) + Pin(1050 50 50 20 "A15" 0x01) + Pin(1150 50 50 20 "A13" 0x01) + Pin(1250 50 50 20 "A10" 0x01) + Pin(50 150 50 20 "/RMC" 0x01) + Pin(150 150 50 20 "/BG" 0x01) + Pin(250 150 50 20 "A31" 0x01) + Pin(350 150 50 20 "A29" 0x01) + Pin(450 150 50 20 "A27" 0x01) + Pin(550 150 50 20 "A25" 0x01) + Pin(650 150 50 20 "A22" 0x01) + Pin(750 150 50 20 "A20" 0x01) + Pin(850 150 50 20 "A16" 0x01) + Pin(950 150 50 20 "A14" 0x01) + Pin(1050 150 50 20 "A12" 0x01) + Pin(1150 150 50 20 "A8" 0x01) + Pin(1250 150 50 20 "A7" 0x01) + Pin(50 250 50 20 "FC1" 0x01) + Pin(150 250 50 20 "/CIOUT" 0x01) + Pin(250 250 50 20 "/BGACK" 0x01) + Pin(350 250 50 20 "A1" 0x01) + Pin(450 250 50 20 "GND" 0x01) + Pin(550 250 50 20 "VCC" 0x01) + Pin(650 250 50 20 "GND" 0x01) + Pin(750 250 50 20 "A18" 0x01) + Pin(850 250 50 20 "GND" 0x01) + Pin(950 250 50 20 "A11" 0x01) + Pin(1050 250 50 20 "A9" 0x01) + Pin(1150 250 50 20 "A5" 0x01) + Pin(1250 250 50 20 "A4" 0x01) + Pin(50 350 50 20 "FC2" 0x01) + Pin(150 350 50 20 "FC0" 0x01) + Pin(250 350 50 20 "/OCS" 0x01) + Pin(350 350 50 20 "VCC" 0x01) + Pin(450 350 50 20 "NC" 0x01) + Pin(950 350 50 20 "VCC" 0x01) + Pin(1050 350 50 20 "A6" 0x01) + Pin(1150 350 50 20 "A3" 0x01) + Pin(1250 350 50 20 "A2" 0x01) + Pin(50 450 50 20 "CLK" 0x01) + Pin(150 450 50 20 "/AVEC" 0x01) + Pin(250 450 50 20 "GND" 0x01) + Pin(1050 450 50 20 "GND" 0x01) + Pin(1150 450 50 20 "NC" 0x01) + Pin(1250 450 50 20 "/IPEND" 0x01) + Pin(50 550 50 20 "/DSACK0" 0x01) + Pin(150 550 50 20 "VCC" 0x01) + Pin(250 550 50 20 "GND" 0x01) + Pin(350 550 50 20 "NC" 0x01) + Pin(950 550 50 20 "NC" 0x01) + Pin(1050 550 50 20 "VCC" 0x01) + Pin(1150 550 50 20 "/RESET" 0x01) + Pin(1250 550 50 20 "/MMUDIS" 0x01) + Pin(50 650 50 20 "/STERM" 0x01) + Pin(150 650 50 20 "/DSACK1" 0x01) + Pin(250 650 50 20 "GND" 0x01) + Pin(1050 650 50 20 "GND" 0x01) + Pin(1150 650 50 20 "/IPL2" 0x01) + Pin(1250 650 50 20 "/IPL1" 0x01) + Pin(50 750 50 20 "/BERR" 0x01) + Pin(150 750 50 20 "/HALT" 0x01) + Pin(250 750 50 20 "VCC" 0x01) + Pin(1050 750 50 20 "VCC" 0x01) + Pin(1150 750 50 20 "/CDIS" 0x01) + Pin(1250 750 50 20 "/IPL0" 0x01) + Pin(50 850 50 20 "/CBACK" 0x01) + Pin(150 850 50 20 "/AS" 0x01) + Pin(250 850 50 20 "GND" 0x01) + Pin(1050 850 50 20 "GND" 0x01) + Pin(1150 850 50 20 "/STATUS" 0x01) + Pin(1250 850 50 20 "/REFILL" 0x01) + Pin(50 950 50 20 "/CBREQ" 0x01) + Pin(150 950 50 20 "/DS" 0x01) + Pin(250 950 50 20 "SIZ1" 0x01) + Pin(350 950 50 20 "VCC" 0x01) + Pin(450 950 50 20 "NC" 0x01) + Pin(950 950 50 20 "VCC" 0x01) + Pin(1050 950 50 20 "D5" 0x01) + Pin(1150 950 50 20 "D1" 0x01) + Pin(1250 950 50 20 "D0" 0x01) + Pin(50 1050 50 20 "/CIIN" 0x01) + Pin(150 1050 50 20 "SIZ0" 0x01) + Pin(250 1050 50 20 "R/W" 0x01) + Pin(350 1050 50 20 "D30" 0x01) + Pin(450 1050 50 20 "GND" 0x01) + Pin(550 1050 50 20 "VCC" 0x01) + Pin(650 1050 50 20 "GND" 0x01) + Pin(750 1050 50 20 "GND" 0x01) + Pin(850 1050 50 20 "GND" 0x01) + Pin(950 1050 50 20 "D10" 0x01) + Pin(1050 1050 50 20 "D7" 0x01) + Pin(1150 1050 50 20 "D4" 0x01) + Pin(1250 1050 50 20 "D2" 0x01) + Pin(50 1150 50 20 "/DBEN" 0x01) + Pin(150 1150 50 20 "/ECS" 0x01) + Pin(250 1150 50 20 "D29" 0x01) + Pin(350 1150 50 20 "D27" 0x01) + Pin(450 1150 50 20 "D24" 0x01) + Pin(550 1150 50 20 "D22" 0x01) + Pin(650 1150 50 20 "D20" 0x01) + Pin(750 1150 50 20 "D17" 0x01) + Pin(850 1150 50 20 "D14" 0x01) + Pin(950 1150 50 20 "D12" 0x01) + Pin(1050 1150 50 20 "D9" 0x01) + Pin(1150 1150 50 20 "D6" 0x01) + Pin(1250 1150 50 20 "D3" 0x01) + Pin(50 1250 50 20 "D31" 0x01) + Pin(150 1250 50 20 "D28" 0x01) + Pin(250 1250 50 20 "D26" 0x01) + Pin(350 1250 50 20 "D25" 0x01) + Pin(450 1250 50 20 "D23" 0x01) + Pin(550 1250 50 20 "D21" 0x01) + Pin(650 1250 50 20 "D19" 0x01) + Pin(750 1250 50 20 "D18" 0x01) + Pin(850 1250 50 20 "D16" 0x01) + Pin(950 1250 50 20 "D15" 0x01) + Pin(1050 1250 50 20 "D13" 0x01) + Pin(1150 1250 50 20 "D11" 0x01) + Pin(1250 1250 50 20 "D8" 0x01) + ElementLine(30 0 1300 0 20) + ElementLine(1300 0 1300 1300 20) + ElementLine(1300 1300 0 1300 20) + ElementLine(0 1300 0 30 20) + ElementLine(0 30 30 0 20) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) + ) +') + +define(`Description_MC68881_pga', `FPU') +define(`PKG_MC68881_pga', + `Element(0x00 "$1" "`$2'" "$3" 350 450 0 100 0x00) + ( + Pin(50 50 50 20 "VCC" 0x201) + Pin(150 50 50 20 "GND" 0x01) + Pin(250 50 50 20 "D0" 0x01) + Pin(350 50 50 20 "D1" 0x01) + Pin(450 50 50 20 "D3" 0x01) + Pin(550 50 50 20 "D4" 0x01) + Pin(650 50 50 20 "D6" 0x01) + Pin(750 50 50 20 "D7" 0x01) + Pin(850 50 50 20 "D8" 0x01) + Pin(950 50 50 20 "GND" 0x01) + Pin(50 150 50 20 "VCC" 0x01) + Pin(150 150 50 20 "GND" 0x01) + Pin(250 150 50 20 "GND" 0x01) + Pin(350 150 50 20 "/SENSE" 0x01) + Pin(450 150 50 20 "D2" 0x01) + Pin(550 150 50 20 "D5" 0x01) + Pin(650 150 50 20 "GND" 0x01) + Pin(750 150 50 20 "VCC" 0x01) + Pin(850 150 50 20 "D10" 0x01) + Pin(950 150 50 20 "D11" 0x01) + Pin(50 250 50 20 "GND" 0x01) + Pin(150 250 50 20 "CLK" 0x01) + Pin(250 250 50 20 "GND" 0x01) + Pin(750 250 50 20 "D9" 0x01) + Pin(850 250 50 20 "D13" 0x01) + Pin(950 250 50 20 "D14" 0x01) + Pin(50 350 50 20 "/RESET" 0x01) + Pin(150 350 50 20 "GND" 0x01) + Pin(850 350 50 20 "D12" 0x01) + Pin(950 350 50 20 "D15" 0x01) + Pin(50 450 50 20 "NC" 0x01) + Pin(150 450 50 20 "VCC" 0x01) + Pin(850 450 50 20 "VCC" 0x01) + Pin(950 450 50 20 "GND" 0x01) + Pin(50 550 50 20 "/SIZE" 0x01) + Pin(150 550 50 20 "GND" 0x01) + Pin(850 550 50 20 "D17" 0x01) + Pin(950 550 50 20 "D16" 0x01) + Pin(50 650 50 20 "/DS" 0x01) + Pin(150 650 50 20 "A4" 0x01) + Pin(850 650 50 20 "D20" 0x01) + Pin(950 650 50 20 "D18" 0x01) + Pin(50 750 50 20 "/AS" 0x01) + Pin(150 750 50 20 "A2" 0x01) + Pin(250 750 50 20 "A0" 0x01) + Pin(750 750 50 20 "VCC" 0x01) + Pin(850 750 50 20 "GND" 0x01) + Pin(950 750 50 20 "D19" 0x01) + Pin(50 850 50 20 "A3" 0x01) + Pin(150 850 50 20 "VCC" 0x01) + Pin(250 850 50 20 "/CS" 0x01) + Pin(350 850 50 20 "/DSACK0" 0x01) + Pin(450 850 50 20 "D31" 0x01) + Pin(550 850 50 20 "D28" 0x01) + Pin(650 850 50 20 "D25" 0x01) + Pin(750 850 50 20 "GND" 0x01) + Pin(850 850 50 20 "D23" 0x01) + Pin(950 850 50 20 "D21" 0x01) + Pin(50 950 50 20 "A1" 0x01) + Pin(150 950 50 20 "R/W" 0x01) + Pin(250 950 50 20 "GND" 0x01) + Pin(350 950 50 20 "/DSACK1" 0x01) + Pin(450 950 50 20 "D30" 0x01) + Pin(550 950 50 20 "D29" 0x01) + Pin(650 950 50 20 "D27" 0x01) + Pin(750 950 50 20 "D26" 0x01) + Pin(850 950 50 20 "D24" 0x01) + Pin(950 950 50 20 "D22" 0x01) + ElementLine(30 0 1000 0 20) + ElementLine(1000 0 1000 1000 20) + ElementLine(1000 1000 0 1000 20) + ElementLine(0 1000 0 30 20) + ElementLine(0 30 30 0 20) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) + ) +') + +# ------------------------------------------------------------------------ +# based on mail by Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +# +define(`Description_MC68332_qfp', `micro controller') +define(`Param1_MC68332_qfp', 132) +define(`PinList_MC68332_qfp', ``Vdd',`Vss(g)',`TpuCh11',`TpuCh10',`TpuCh9',`TpuCh8',`Vdd',`Vss(g)',`TpuCh7',`TpuCh6',`TpuCh5',`TpuCh4',`TpuCh3',`TpuCh2',`TpuCh1',`TpuCh0',`Vss(g)',`Vdd',`Vstby',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Vdd',`Vss(g)',`A9',`A10',`A11',`A12',`Vss(g)',`A13',`A14',`A15',`A16',`Vdd',`Vss(g)',`A17',`A18',`MISO',`MOSI',`SCk',`/SS',`PCS1',`PCS2',`PCS3',`Vdd',`Vss(g)',`TxD',`RxD',`DSO',`DSI',`DSClk',`TSC',`Freeze',`Vss(g)',`Xtal',`VddSyn',`EXtal',`Vdd',`XFc',`Vdd',`ClkOut',`Vss(g)',`/Res',`/Halt',`/BErr',`PF7',`PF6',`PF5',`PF4',`PF3',`PF2',`PF1',`PF0',`R/W',`PE7',`PE6',`PE5',`Vss(g)',`Vdd',`PE4',`PE3',`PE2',`PE1',`PE0',`A0',`D15',`D14',`D13',`D12',`Vss(g)',`Vdd',`D11',`D10',`D9',`D8',`Vss(g)',`D7',`D6',`D5',`D4',`Vss(g)',`Vdd',`D3',`D2',`D1',`D0',`/CSboot',`/CS0',`/CS1',`/CS2',`Vdd',`Vss(g)',`/CS3',`/CS4',`/CS5',`/CS6',`/CS7',`/CS8',`/CS9',`/CS10',`Vdd',`Vss(g)',`T2Clk',`TpuCh15',`TpuCh14',`TpuCh13',`TpuCh12'') + +# ------------------------------------------------------------------------ +# based on mail by Olaf Kaluza (olaf@criseis.ruhr.de) +# +define(`Description_MAB8031AH_dil', `micro controller') +define(`Param1_MAB8031AH_dil', 40) +define(`Param2_MAB8031AH_dil', 600) +define(`PinList_MAB8031AH_dil', ``P1.0',`P1.1',`P1.2',`P1.3',`P1.4',`P1.5',`P1.6',`P1.7',`RST',`RxD/P3.0',`TxD/P3.1',`/INT0/P3.2',`/INT1/P3.3',`T0/P3.4',`T1/P3.5',`/WR/P3.6',`/RD/P3.7',`Xtal2',`Xtal1',`Uss',`P2.0/A8',`P2.1/A9',`P2.2/A10',`P2.3/A11',`P2.4/A12',`P2.5/A13',`P2.6/A14',`P2.7/A15',`/PSEN',`ALE',`/EA',`AD7',`AD6',`AD5',`AD4',`AD3',`AD2',`AD1',`AD0',`Ucc'') + +define(`Description_Z8536_dil', `CIO counter/timer with parallel I/O unit') +define(`Param1_Z8536_dil', 40) +define(`Param2_Z8536_dil', 600) +define(`PinList_Z8536_dil', ``D4',`D5',`D6',`D7',`/RD',`/WR',`Gnd',`PB0',`PB1',`PB2',`PB3',`PB4',`PB5',`PB6',`PB7',`PCLK',`IEI',`IEO',`PC0',`PC1',`PC2',`PC3',`/Vcc',`/INT',`/INTACK',`PA7',`PA6',`PA5',`PA4',`PA3',`PA2',`PA1',`PA0',`A0',`A1',`/CE',`D0',`D1',`D2',`D3'') + +define(`Description_6551_dil', `ACIA for 65xx series') +define(`Param1_6551_dil', 28) +define(`Param2_6551_dil', 600) +define(`PinList_6551_dil', ``Vss',`CS0',`/CS1',`/Reset',`RxC',`XTLI',`XTLO',`/RTS',`/CTS',`TxD',`/DTR',`RxD',`RS0',`RS1',`Vcc',`/DCD',`/DSR',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`/Irq',`Phi2',`R-/W'') + +define(`Description_6801_dil', `micro controller') +define(`Param1_6801_dil', 40) +define(`Param2_6801_dil', 600) +define(`PinList_6801_dil', ``Vss',`XTAL1',`EXTAL2',`/NMI',`/IRQ1',`/Reset',`Vcc',`P20-Mode0',`P21-Mode1',`P22-Mode2',`P23-RxD',`P24-TxD',`P10',`P11',`P12',`P13',`P14',`P15',`P16',`P17',`Vcc-StdBy',`P47-A15',`P46-A14',`P45-A13',`P44-A12',`P43-A11',`P42-A10',`P41-A9',`P40-A8',`P37-A7-D7',`P36-A6-D6',`P35-A5-D5',`P34-A4-D4',`P33-A3-D3',`P32-A2-D2',`P31-A1-D1',`P30-A0-D0',`SC2-R-/W',`SC1-/AS',`E'') + +define(`Description_81C17_dil', `UART') +define(`Param1_81C17_dil', 20) +define(`Param2_81C17_dil', 300) +define(`PinList_81C17_dil', ``D=',`D1',`/CS',`/Rd',`D2',`D3',`D4',`/Wr',`D5',`Gnd',`D6',`D7',`Clk',`/Int',`RS',`RxD',`TxD',`/CP1',`/CP2',`Vcc'') + + +define(`Description_AT90S1200_dil', `AVR Enhanced RISC microcontroller') +define(`Param1_AT90S1200_dil', 20) +define(`Param2_AT90S1200_dil', 300) +define(`PinList_AT90S1200_dil', ``/Reset',`PD0',`PD1',`XTAL2',`XTAL1',`PD2/INT0',`PD3',`PD4/T0',`PD5',`Gnd' ,`PD6',`PB0/AIN0',`PB1/AIN1',`PB2',`PB3',`PB4',`PB5/MOSI',`PB6/MISO',`PB7/S CK',`Vcc'') + +define(`Description_AT90S1300_dil', `AVR Enhanced RISC microcontroller') +define(`Param1_AT90S1300_dil', 20) +define(`Param2_AT90S1300_dil', 300) +define(`PinList_AT90S1300_dil', ``/Reset',`PD0',`PD1',`XTAL2',`XTAL1',`PD2/INT0',`PD3',`PD4/T0',`PD5',`Gnd' ,`PD6',`PB0/AIN0',`PB1/AIN1',`PB2',`PB3',`PB4',`PB5/MOSI',`PB6/MISO',`PB7/S CK',`Vcc'') + +define(`Description_AT90S2313_dil', `AVR Enhanced RISC microcontroller') +define(`Param1_AT90S2313_dil', 20) +define(`Param2_AT90S2313_dil', 300) +define(`PinList_AT90S2313_dil', ``/Reset',`PD0/RxD',`PD1/TxD',`XTAL2',`XTAL1',`PD2/INT0',`PD3/INT1',`PD4/T0',`PD5/T1',`Gnd',`PD6/ICP',`PB0/AIN0',`PB1/AIN1',`PB2/OC0',`PB3/OC1',`PB4', `PB5/MOSI',`PB6/MISO',`PB7/SCK',`Vcc'') + +define(`Description_AT90S4434_dil', `AVR Enhanced RISC microcontroller') +define(`Param1_AT90S4434_dil', 28) +define(`Param2_AT90S4434_dil', 300) +define(`PinList_AT90S4434_dil', ``/Reset',`PD0/RxD',`PD1/TxD',`PD2/INT0',`PD3/INT1',`PD4/T0',`Vcc',`Gnd',`XTAL1',`XTAL2',`PD5/T1',`PD6/AIN0',`PD7/AIN1',`PB0/ICP',`PB1/OC1',`PB2//SS', `PB3/MOSI',`PB4/MISO',`PB5/SCK',`AVcc',`AREF',`AGnd',`PC0/ADC0',`PC1/ADC1',`PC2/ADC2',`PC3/ADC3',`PC4/ADC4',`PC5/ADC5'') + +define(`Description_AT90S8535_dil', `AVR Enhanced RISC microcontroller') +define(`Param1_AT90S8535_dil', 40) +define(`Param2_AT90S8535_dil', 600) +define(`PinList_AT90S8535_dil', ``PB0/T0',`PB1/T1',`PB2/AIN0',`PB3/AIN1',`PB4//SS',`PB5/MOSI',`PB6/MISO',`PB7/SCK',`/Reset',`Vcc',`Gnd',`XTAL2',`XTAL1',`PD0/RxD',`PD1/TxD',`PD2/INT0', `PD3/INT1',`PD4/OC1B',`PD5/OC1A',`PD6/ICP',`PD7/OC2',`PC0',`PC1',`PC2',`PC3',`PC4',`PC5',`PC6/TOSC1',`PC7/TOSC2',`AVcc',`AGnd',`AREF',`PA7/ADC7',`PA6/ADC6',`PA5/ADC5',`PA4/ADC4',`PA3/ADC3',`PA2/ADC2',`PA1/ADC1',`PA0/ADC0'') + +define(`Description_AT90S8535_plcc', `AVR Enhanced RISC microcontroller') +define(`Param1_AT90S8535_plcc', 44) +define(`Param2_AT90S8535_plcc', 150) +define(`PinList_AT90S8535_plcc', ``Gnd',`PB0/T0',`PB1/T1',`PB2/AIN0',`PB3/AIN1',`PB4//SS',`PB5/MOSI',`PB6/MISO',`PB7/SCK',`/Reset',`Vcc',`Gnd',`XTAL2',`XTAL1',`PD0/RxD',`PD1/TxD',`PD2/INT0', `PD3/INT1',`PD4/OC1B',`PD5/OC1A',`PD6/ICP',`PD7/OC2',`Vcc',`Gnd',`PC0',`PC1',`PC2',`PC3',`PC4',`PC5',`PC6/TOSC1',`PC7/TOSC2',`AVcc',`AGnd',`AREF',`PA7/ADC7',`PA6/ADC6',`PA5/ADC5',`PA4/ADC4',`PA3/ADC3',`PA2/ADC2',`PA1/ADC1',`PA0/ADC0',`Vcc'') + +divert(0)dnl Property changes on: oldlib/lib/lsi.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/m4lib_to_newlib.sh =================================================================== --- oldlib/lib/m4lib_to_newlib.sh (nonexistent) +++ oldlib/lib/m4lib_to_newlib.sh (revision 1033) @@ -0,0 +1,338 @@ +#!/bin/sh +# +# $Id$ +# + +# This script is used to extract all elements from an "oldlib" (M4) +# style library and place them in individual "newlib" style files. + +outd=/tmp/newlib +contents=pcblib.contents +AWK=${AWK:-awk} +PCB=${PCB:-pcb} + +usage() { +cat << EOF +Usage: + $0 [-h | --help] + $0 [-v | --version] + $0 [-c|--contents contents_file] [-o|--output output_directory] [-p|--png] [-d|--dpi] + +Extracts all footprints from an m4 library and creates a "newlib" style +library. + +The following options are supported: + + -a | --awk awk : Specifies the awk implementation to use. Defaults to "${AWK}". + + -c | --contents file : Specifies the contents file to be use as an input. + Default is "${contents}". + + -d | --dpi : Specifies that the png output should use a fixed pixels + per inch scaling instead of a fixed maximum size. This + option is useful when comparing the before and after footprints + when making footprint library changes. + + -h | --help : Outputs this message and exits. + + -o | --output dir : Specifies the directory that the newlib library will be + written to. This directory must exist and be empty. + Default is "${outd}". + + -P | --pcb pcb : Specifies the pcb binary to use for creating png previews. Defaults to + "${PCB}" + + -p | --png : Generates png previews for all the footprints. + + -v | --version : Displays the version of this script and exits. + +EOF +} + +version() { + $AWK '/# [\$]Id:.*$/ {sub(/,v/,""); \ + print $3 " Version "$4", "$5}' $0 +} + +do_png=0 +png_flag="--xy-max 200" + +while test $# -gt 0 ; do + case $1 in + -a|--awk ) + AWK="$2" + shift 2 + ;; + + -c|--contents ) + contents=$2 + shift 2 + ;; + + -d|--dpi ) + png_flag="--dpi 1000" + shift + ;; + + -h|--help ) + usage + exit 0 + ;; + + -o|--output ) + outd=$2 + shift 2 + ;; + + -P|--pcb ) + PCB="$2" + shift 2 + ;; + + -p|--png ) + do_png=1 + shift + ;; + + -v|--version ) + version + exit 0 + ;; + + -* ) + echo "ERROR: $0: Unknown option $1" + usage + exit 1 + ;; + + * ) + break + ;; + esac +done + + +if test -d ${outd} ; then + echo "Output directory ${outd} already exists" + exit 1 +else + mkdir -p ${outd} +fi +outd_full="`cd $outd && pwd`" + +$AWK ' + +BEGIN { + first = 1; + libind = ""; +} + +# we have to use this trick because variables like outd are not yet defined +# in BEGIN. +first == 1 { + brokenurl = "broken.html"; + broken = outd "/" brokenurl; + print "" > broken; + + ind = outd "/index.html"; + + print "" > ind; + print "PCB Footprint Library" >> ind; + print "" >> ind; + print "

PCB Footprint Library

" >> ind; + print "
    " >> ind; + print "" >> ind; + + print "" > broken; + print "" >> broken; + print "" >> broken; + print "" >> broken; + print " " >> broken; + print " " >> broken; + print " " >> broken; + print "" >> broken; + print "" >> broken; + + first = 0; +} + +# we are starting a new library +/^TYPE=/ { + finish_libind(); + lib=$0; + gsub(/TYPE=~/, "", lib); + txtdir = lib; + urldir = lib; + gsub(/ /, "%20", urldir); + + libind = outd "/" lib "/index.html"; + #gsub(/ /, "\\ ", libind); + + dir = outd "/" lib ; + gsub(/ /,"\\ ", dir); + print "Processing library: " lib " and creating " libind; + system("mkdir -p " dir); + + print "" > libind; + print "PCB " lib " Footprint Library" >> libind; + print "" >> libind; + print "

    PCB " lib " Footprint Library

    " >> libind; + + print "
    LibraryCommentFootprint NameBroken Command
    " >> libind; + print "" >> libind; + print " " >> libind; + print " " >> libind; + print "" >> libind; + print "" >> libind; + + print "
  • ~" lib "
  • " >> ind; + + next; +} + +{ + line=$0; + split(line, a, "[:]"); + + template = a[1]; + package = a[2]; + comp = a[3]; + comment = a[4]; + + # pick out the name of the footprint + match (comment, /(.*)\[(.*)\]/, fp); + comp = fp[2]; + comment = a[3] ", " fp[1]; + + txtcomp = comp; + urlcomp = comp; + + # escape the spaces in for URLs and also filenames + gsub(/ /, "%20", urlcomp); + gsub(/ /, "\\ ", comp); + + + # extract the footprint + # path library template value package + templ = a[1]; + gsub(/ /, "\\ ", templ); + + pkg = a[2]; + gsub(/ /, "\\ ", pkg); + + + # skip the QFP builder menu + skip = 0; + if( templ == "menu_qfp" ) { + cmd1 = "Skipping QFP builder menu"; + rc = 1; + } else { + cmd1 = "sh " cmd_path "/QueryLibrary.sh . pcblib " templ " " comp " " pkg; + cmd = cmd1 " > " dir "/" comp ".fp"; + rc = system( cmd ); + } + + if( rc != 0) { + printf("\n", lib) >> broken; + printf(" \n", comp) >> broken; + printf(" \n", comment) >> broken; + printf(" \n", cmd1) >> broken; + printf("\n") >> broken; + + # no need to go further with this footprint. It is broken. + next; + } else { + # generate the web index + printf(" \n \n", comment) >> libind; + printf(" \n \n") >> libind; + } + + # Now create a layout with that element and print it. + if( do_png ) { + layout = "temp.pcb" ; + laytmpl = "footprint.pcb" ; + compfile = dir "/" comp ".fp"; + pngfile = dir "/" comp ".png"; + compfile2 = compfile; + gsub(/\\/, "", compfile2); + + printf(" ===> %s\n", compfile); + printf("") > layout; + pok = 1; + while ( (getline < laytmpl) == 1 ) { + if( $0 ~ /ELEMENT/ ) { + pok = 0; + } + if( pok ) { + print >> layout ; + } + } + close( laytmpl ); + + while( (x = getline < compfile2) == 1 ) { + print >> layout; + } + close( compfile2 ); + + pok = 0; + while( (getline < laytmpl) == 1 ) { + if( pok ) { + print >> layout; + } + if( $0 ~ /ELEMENT/ ) { + pok = 1; + } + } + close( laytmpl ); + close( layout ); + + cmd = PCB " -x png --outfile temp.png ${png_flag} --only-visible " layout " 2>&1 > /dev/null" ; + rc = system( cmd ); + if( rc != 0) { + printf("\n", lib) >> broken; + printf(" \n", comp) >> broken; + printf(" \n", comment) >> broken; + printf(" \n", cmd) >> broken; + printf("\n") >> broken; + } else { + system( "mv temp.png " pngfile " ; rm " layout); + } + } +} + +END { + print "" >> ind; + print "" >> ind; + print "" >> ind; + print "

    For a list of footprints with either m4 syntax errors" >> ind; + print "or PCB syntax errors see the broken log file.

    " >> ind; + print "" >> ind; + close( ind ); + + print "" >> broken; + print "
    CommentFootprint Name
    ~%s%s%s%s
    %s%s.fp", txtcomp, txtcomp) >> libind; + if( do_png ) { + printf("(preview)", txtcomp) >> libind; + } + printf("
    ~%s%s%s%s
    " >> broken; + print "" >> broken; + print "" >> broken; + close( broken ); + + finish_libind(); +} + +function finish_libind() { + if(libind != "") { + print "" >> libind; + print "" >> libind; + print "" >> libind; + print "" >> libind; + close( libind ); + } +} + +' cmd_path=./ do_png=$do_png outd="$outd_full" awk=$AWK PCB="${PCB}" $contents + + + Index: oldlib/lib/memory.list =================================================================== --- oldlib/lib/memory.list (nonexistent) +++ oldlib/lib/memory.list (revision 1033) @@ -0,0 +1,34 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +27512_dil:N:27512 +43256_dil:N:43256:62256 +44251_zip:SD:44251 +511000_dil:N:511000 +514100_dil:N:514100 +628128_dil:N:628128 Property changes on: oldlib/lib/memory.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/memory.m4 =================================================================== --- oldlib/lib/memory.m4 (nonexistent) +++ oldlib/lib/memory.m4 (revision 1033) @@ -0,0 +1,57 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +define(`Description_27512_dil', `EPROM 64Kx8') +define(`Param1_27512_dil', 28) +define(`Param2_27512_dil', 600) +define(`PinList_27512_dil', ``A15',`A12',`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8',`A13',`A14',`Vcc'') + +define(`Description_511000_dil', `DRAM 1Mx1') +define(`Param1_511000_dil', 18) +define(`Param2_511000_dil', 300) +define(`PinList_511000_dil', ``Din',`/We',`/Ras',`TF',`A0',`A1',`A2',`A3',`Vcc',`A4',`A5',`A6',`A7',`A8',`A9',`/Cas',`Dout',`Gnd'') + +define(`Description_514100_dil', `DRAM 4Mx1') +define(`Param1_514100_dil', 18) +define(`Param2_514100_dil', 300) +define(`PinList_514100_dil', ``Din',`/We',`/Ras',`A0',`A1',`A2',`A3',`A4',`Vcc',`A5',`A6',`A7',`A8',`A9',`A10',`/Cas',`Dout',`Gnd'') + +define(`Description_43256_dil', `SRAM 32Kx8') +define(`Param1_43256_dil', 28) +define(`Param2_43256_dil', 600) +define(`PinList_43256_dil', ``A14',`A12',`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`GND',`D3',`D4',`D5',`D6',`D7',`/CS',`A10',`/OE',`A11',`A9',`A8',`A13',`/WE',`Vcc'') + +define(`Description_628128_dil', `SRAM 128Kx8') +define(`Param1_628128_dil', 32) +define(`Param2_628128_dil', 600) +define(`PinList_628128_dil', ``NC',`A16',`A14',`A12',`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`GND',`D3',`D4',`D5',`D6',`D7',`/CS',`A10',`/OE',`A11',`A9',`A8',`A13',`/WE',`NC',`A15',`Vcc'') + +define(`Description_44251_zip', `VRAM 256Kx4') +define(`Param1_44251_zip', 28) +define(`PinList_44251_zip', ``DSF',`DQ2',`DQ3',`/SE',`SDQ2',`SDQ3',`Vss',`SC',`SDQ0',`SDQ1',`/TRG',`DQ0',`DQ1',`/W',`NC-Gnd',`/RAS',`A8',`A6',`A5',`A4',`Vcc',`A7',`A3',`A2',`A1',`A0',`QSF',`/CAS'') + +(divert(0)dnl Property changes on: oldlib/lib/memory.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/minicircuits.inc =================================================================== --- oldlib/lib/minicircuits.inc (nonexistent) +++ oldlib/lib/minicircuits.inc (revision 1033) @@ -0,0 +1,66 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# +# Mini-Circuits Specific Footprints + + +# EXTRACT_BEGIN + +# COMMON_SMT_DIL_{MIL,MM} +# $4: number of pins +# $5: pad width (1/1000 mil or 1/100 mm) +# $6: pad length (1/1000 mil or 1/100 mm) +# $7: pad pitch (1/1000 mil 1/100 mm) +# $8: pad seperation for pads on opposite sides of +# the package (1/1000 mil or 1/100 mm) +# $9: define to make the pins get numbered starting with the highest pin +# instead of pin 1. Needed for certain brain damaged packages like +# the Mini-Circuits KK81 + +# +## Mini-Circuits CA Style Package +# +define(`PKG_MINICIRCUITS_CA531', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,20000,50000,37000,40000)') + +# +## Mini-Circuits CB Style Package +# +define(`PKG_MINICIRCUITS_CB518', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,30000,90000,50000,110000)') +define(`PKG_MINICIRCUITS_CB539', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,30000,90000,50000,135000)') + +# +## Mini-Circuits CD Style Package +# +define(`PKG_MINICIRCUITS_CD541', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000)') +define(`PKG_MINICIRCUITS_CD542', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000)') +define(`PKG_MINICIRCUITS_CD636', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000)') +define(`PKG_MINICIRCUITS_CD637', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000)') + +# +## Mini-Circuits KK Style Package +# +define(`PKG_MINICIRCUITS_KK81', `COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,50000,126000,100000,354000,"reverse")') + +# EXTRACT_END + Index: oldlib/lib/misc.inc =================================================================== --- oldlib/lib/misc.inc (nonexistent) +++ oldlib/lib/misc.inc (revision 1033) @@ -0,0 +1,733 @@ +.# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# misc packages +# + +# ------------------------------------------------------------------- +# the definition of a SD (ZIP) package +# based on 'old style format' by Olaf Kaluza (olaf@criseis.ruhr.de) +# +# For example, see http://focus.ti.com/lit/ml/mczi002/mczi002.pdf +# for the Texas Instruments SDZ (R-PZIP-T16) Ceramic Zig Zag package +# That drawing shows the pin width varying from 0.45mm to 0.65mm +# (18 to 26 mils) and the width in the other dimension from .23mm +# to .35mm. The cross section is rectangular. This gives a diagonal +# from 0.505mm (19.9 mil) to 0.738mm (29 mil). +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# +define(`PKG_SD', + `define(`MAXY', `eval(`$4' / 2 * 100 + 50)') +Element(0x00 "$1" "`$2'" "$3" 275 50 3 100 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(50, eval(100*(i-1)+50), 60, 35, eval(2*i-1)) + PIN(150, eval(100*(i-1)+100), 60, 35, eval(2*i)) + ') + + ElementLine(0 0 0 MAXY 20) + ElementLine(0 MAXY 200 MAXY 20) + ElementLine(200 MAXY 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + + Mark(50 50) +)') + +# ------------------------------------------------------------------- +# the definition of a plastic power package vertical +# for TO220 (2-7pins), TO251, TOP3, MULTIWATT(8-15pins) +# based on 'old style format' by Olaf Kaluza (olaf@criseis.ruhr.de) +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pin count +# $5: pin spacing +# $6: body length (dimension parallel to rows of pins) +# $7: body width (dimension perpendicular to rows of pins) +# $8: pin/tab spacing +# $9: pad size +# $10: drill size +# $11: pin row spacing + +define(`GENERIC_PL_POWER', + `define(`pin1X', `eval((`$6' - (`$4' - 1) * `$5')/2)') +Element(0x00 "$1" "`$2'" "$3" eval(`$6'+60) 50 3 100 0x00) +( + forloop(`i', 1, `$4', + `PIN(eval(pin1X + (i-1)*`$5'), ifelse(eval(i % 2 == 0), 0, eval(`$8'+`$11'), `$8'), `$9', `$10', i) + ') + ElementLine(0 0 0 `$7' 20) + ElementLine(0 `$7' `$6' `$7' 20) + ElementLine(`$6' `$7' `$6' 0 20) + ElementLine(`$6' 0 0 0 20) + ElementLine(0 50 `$6' 50 10) + ElementLine(eval(`$6'/2 - 75) 0 eval(`$6'/2 - 75) 50 10) + ElementLine(eval(`$6'/2 + 75) 0 eval(`$6'/2 + 75) 50 10) + Mark(pin1X eval(`$7'+`$10')) + )') + +# ------------------------------------------------------------------- +# the definition of a resistor (0.25W) package +# $1: canonical name +# $2: name on PCB +# $3: value +define(`PKG_R025', +`Element(0x00 "$1" "`$2'" "$3" 120 30 0 100 0x00) +( + PIN(0, 50, 68, 38, 1) + PIN(400, 50, 68, 38, 2) + ElementLine(100 0 300 0 20) + ElementLine(300 0 300 100 20) + ElementLine(300 100 100 100 20) + ElementLine(100 100 100 0 20) + ElementLine(0 50 100 50 20) + ElementLine(300 50 400 50 20) + Mark(0 50) +)') + +# ------------------------------------------------------------------- +# the definition of a SIL package without a common pin +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +define(`PKG_SIL', + `define(`MAXY', `eval(`$4' * 100 -50)') +Element(0x00 "$1" "`$2'" "$3" 160 10 3 100 0x00) +( + forloop(`i', 1, $4, + `PIN(50, eval(i * 100 -50), 60, 28, i) + ') + ElementLine( 0 50 0 MAXY 20) + ElementLine(100 50 100 MAXY 20) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 MAXY 50 50 0 180 20) + forloop(`i', 1, eval($4 /2 -1), + `ElementLine(0 eval(i * 200) 100 eval(i * 200) 10) + ') + Mark(50 50) +)') + +# ------------------------------------------------------------------- +# the definition of a SIL package with a common pin +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +define(`PKG_CSIL', + `define(`MAXY', `eval(`$4' * 100 -50)') +Element(0x00 "$1" "`$2'" "$3" 160 10 3 100 0x00) +( + forloop(`i', 1, $4, + `PIN(50, eval(i * 100 -50), 60, 28, i) + ') + ElementLine( 0 50 0 MAXY 20) + ElementLine(100 50 100 MAXY 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 MAXY 50 50 0 180 20) + Mark(50 50) +)') + +# ------------------------------------------------------------------- +# a QFP-132 pin flat pack +# +# $1: canonical name +# $2: name on PCB +# $3: value +# +# based on mail by Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +define(`PKG_QFP132', +`Element(0x00 "$1" "`$2'" "$3" 250 200 0 150 0x00) +( + forloop(`i', 1, 17, + `define(`XPOS', eval(625 -i*25))' + `PAD(XPOS, 40, XPOS, 90, 15, i) + ') + forloop(`i', 1, 16, + `define(`XPOS', eval(1025 -i*25))' + `PAD(XPOS, 40, XPOS, 90, 15, eval(i+116)) + ') + forloop(`i', 1, 33, + `define(`YPOS', eval(175 +i*25))' + `PAD(30, YPOS, 80, YPOS, 15, i) + ') + forloop(`i', 1, 33, + `define(`XPOS', eval(175 +i*25))' + `PAD(XPOS, 1160, XPOS, 1110, 15, eval(i+50)) + ') + forloop(`i', 1, 33, + `define(`YPOS', eval(1025 -i*25))' + `PAD(1120, YPOS, 1170, YPOS, 15, i) + ') + + # Markierung pin 1 + ElementArc(600 150 10 10 0 360 5) + + # Nase links oben (PIN 17/18) + ElementLine( 125 175 75 150 20) + ElementLine( 75 150 75 125 20) + ElementLine( 75 125 125 75 20) + ElementLine( 125 75 150 75 20) + ElementLine( 150 75 175 125 20) + + # Verbindungsline zur Ecke rechts oben + ElementLine( 175 125 1025 125 20) + + # Nase rechts oben (PIN 116/117) + ElementLine(1025 125 1050 75 20) + ElementLine(1050 75 1075 75 20) + ElementLine(1075 75 1125 125 20) + ElementLine(1125 125 1125 150 20) + ElementLine(1125 150 1075 175 20) + + # Verbindungsline zur Ecke rechts unten + ElementLine(1075 175 1075 1025 20) + + # Nase rechts unten (PIN 83/84) + ElementLine(1075 1025 1125 1050 20) + ElementLine(1125 1050 1125 1075 20) + ElementLine(1125 1075 1075 1125 20) + ElementLine(1075 1125 1050 1125 20) + ElementLine(1050 1125 1025 1075 20) + + # Verbindungsline zur Ecke links unten + ElementLine(1025 1075 175 1075 20) + + # Nase links unten (PIN 50/51) + ElementLine( 175 1075 150 1125 20) + ElementLine( 150 1125 125 1125 20) + ElementLine( 125 1125 75 1075 20) + ElementLine( 75 1075 75 1050 20) + ElementLine( 75 1050 125 1025 20) + + # Verbindungsline zur Ecke links oben + ElementLine( 125 1025 125 175 20) + + # Markierung so anordnen, dass Pinanchse im 25-MIL-Raster zu liegen kommt + Mark(200 200) +)') + +# ------------------------------------------------------------------- +# LED +# Pin 1 is -, 2 is + +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: diameter +# +# based on mail by Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +define(`PKG_LED', + `define(`RADIUS1', `eval(`$4' /2)') + define(`RADIUS2', `eval(`$4' /2 +20)') +Element(0x00 "$1" "`$2'" "$3" 100 70 0 100 0x00) +( +# typical LED is 0.5 mm or 0.020" square pin. See for example +# http://www.lumex.com and part number SSL-LX3054LGD. +# 0.020" square is 0.0288" diagonal. A number 57 drill is +# 0.043" which should be enough. a 65 mil pad gives 11 mils +# of annular ring. + + PIN(-50, 0, 65, 43, 1) + PIN(50, 0, 65, 43, 2) + ifelse( eval(RADIUS1 - 10 > 85), 1, + ElementArc(0 0 RADIUS1 RADIUS1 0 360 10) +, + ElementArc(0 0 RADIUS1 RADIUS1 45 90 10) + ElementArc(0 0 RADIUS1 RADIUS1 225 90 10) +) + ifelse( eval(RADIUS2 - 10 > 85), 1, + ElementArc(0 0 RADIUS2 RADIUS2 0 360 10) +, + ElementArc(0 0 RADIUS2 RADIUS2 45 90 10) + ElementArc(0 0 RADIUS2 RADIUS2 225 90 10) +) + Mark(0 0) +)') + +# ------------------------------------------------------------------- +# diodes +# Pin 1 is K, 2 is A +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: distance in mil +# +define(`PKG_DIODE_LAY', + `define(`X1', `eval(`$4' /3)') + define(`X2', `eval(`$4' -X1)') + define(`Y', `eval(`$4' /2)') + define(`DELTA', `eval(X1 /2)') + define(`PINSIZE', `ifelse(eval($4 >= 500), 1, 80, 50)') +Element(0x00 "$1" "`$2'" "$3" eval(X2+20) eval(Y-DELTA) 0 100 0x00) +( + PIN(0, Y, PINSIZE, 20, 1) + PIN($4, Y, PINSIZE, 20, 2) + + ElementLine(0 Y X1 Y 10) + ElementLine(X2 Y $4 Y 10) + + ElementLine(X1 Y X2 eval(Y-DELTA) 10) + ElementLine(X2 eval(Y-DELTA) X2 eval(Y+DELTA) 10) + ElementLine(X2 eval(Y+DELTA) X1 Y 10) + ElementLine(X1 eval(Y-DELTA) X1 eval(Y+DELTA) 10) + Mark(0 Y) +)') + +# ------------------------------------------------------------------- +# the definition of a general axial package +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: distance in mil +# $5: 1 for polarized, 0 for non polarized +define(`PKG_AXIAL_LAY', + `define(`X1', `eval(`$4' /4)') + define(`X2', `eval(`$4' -X1)') + define(`Y1', `eval(X1 /3)') + define(`Y2', `eval(Y1 *2)') + define(`PINSIZE', `ifelse(eval($4 >= 600), 1, 80, 55)') + define(`DRILLSIZE', `ifelse(eval($4 >= 600), 1, 50, 30)') + define(`YPT', `eval(Y1 - PINSIZE/2 - 10)') + define(`YPC', `eval(YPT - 20)') + define(`YPB', `eval(YPT - 40)') +Element(0x00 "$1" "`$2'" "$3" eval(X2+20) eval(Y2+20) 0 100 0x00) +( + PIN(0, Y1, PINSIZE, DRILLSIZE, 1) + PIN($4, Y1, PINSIZE, DRILLSIZE, 2) + + ElementLine(0 Y1 X1 Y1 10) + ElementLine(X2 Y1 $4 Y1 10) + + ElementLine(X1 0 X2 0 10) + ElementLine(X2 0 X2 Y2 10) + ElementLine(X2 Y2 X1 Y2 10) + ElementLine(X1 Y2 X1 0 10) + + ifelse(1, $5, + ElementLine(0 YPB 0 YPT 10) + ElementLine(-20 YPC 20 YPC 10) + ElementLine(`eval($4 - 20)' YPC `eval($4 + 20)' YPC 10) + ) + +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + + Mark(0 Y1) +)') + +# ------------------------------------------------------------------- +# +# general purpose crystal standing, 2 or 3 pins +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pin spacing in mil +# $5: length of component in mil +# $6: width of component in mil +# $7: diametr of pad in mil +# $8: diametr of drill in mil +# $9: number of pins +# +define(`PKG_CRYSTAL_V', + `define(`spacing', `$4') + define(`sizX', `$5') + define(`sizY', `$6') + define(`pad', `$7') + define(`drill', `$8') + define(`centerY', `eval(sizY / 2)') + define(`pinX', `eval((sizX - spacing * (`$9'-1)) /2)') + +Element(0x00 "$1" "$2" "$3" 0 eval(0 - 60) 0 100 0x00) +( + PIN(pinX, centerY, pad, drill, 1) + PIN(eval(pinX + spacing), centerY, pad, drill, 2) + ifelse(eval(`$9' == 3), 1, + PIN(eval(pinX + 2 * spacing), centerY, pad, drill, 3)) + + ElementLine(centerY 0 eval(sizX-centerY) 0 20) + ElementArc(eval(sizX-centerY) centerY centerY centerY 90 180 20) + ElementLine(eval(sizX-centerY) sizY centerY sizY 20) + ElementArc(centerY centerY centerY centerY 270 180 20) + + Mark(pinX centerY) +)') +# +# +# general purpose crystal laying, 2 or 3 pins +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pin spacing in mil +# $5: length of component in mil +# $6: height of component in mil +# $7: diametr of pad in mil +# $8: diametr of drill in mil +# $9: number of pins +# +define(`PKG_CRYSTAL_H', + `define(`base', `$4') + define(`sizX', `$5') + define(`sizY', `$6') + define(`pad', `$7') + define(`drill', `$8') + define(`pinX', `eval((sizX - base * (`$9'-1)) /2)') + define(`pinY', `ifelse(eval(sizX > 500),1,eval(sizY+200),eval(sizY+100))') + define(`maxY', `eval(sizY + offset)') + +Element(0x00 "$1" "$2" "$3" 0 eval(0 - 60) 0 100 0x00) +( + PIN(pinX, pinY, pad, drill, 1) + PIN(eval(pinX + base), pinY, pad, drill, 2) + ifelse(eval(`$9' == 3), 1, + PIN(eval(pinX + 2 * base), pinY, pad, drill, 3)) + + ElementLine(0 0 sizX 0 20) + ElementLine(sizX 0 sizX sizY 20) + ElementLine(sizX sizY 0 sizY 20) + ElementLine(0 sizY 0 0 20) + + Mark(pinX pinY) +)') + +# a crystal package for backward compatibility +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: package width in MIL +# +define(`PKG_CRYSTAL',`PKG_CRYSTAL_V(`$1',`$2',`$3',`eval($4-100)',`$4',`100',`60',`28',`2')') + + +# ------------------------------------------------------------------- +# a can oscillator package +# +# $1: canonical name +# $2: name on PCB +# $3: value +# +define(`PKG_OSC', +`Element(0x00 "$1" "`$2'" "$3" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + + Mark(100 100) +)') + +# ------------------------------------------------------------------- +# 8 bit ISA Slot card +# +# Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de), 12/95 +# +# $1: canonical name +# $2: name on PCB +# $3: value +# +define(`PKG_ISA8', +`Element(0x00 "$1" "`$2'" "$3" 4000 0 0 100 0x00) +( + # Pins, entspr. Anschl. auf Loetseite (b31..b1) + Pin( 200 3850 60 35 "Gnd (b31)" 0x01) + Pin( 300 3850 60 35 "Osc" 0x01) + Pin( 400 3850 60 35 "+5V" 0x01) + Pin( 500 3850 60 35 "BALE" 0x01) + Pin( 600 3850 60 35 "TC" 0x01) + Pin( 700 3850 60 35 "/DAck2" 0x01) + Pin( 800 3850 60 35 "IRq3" 0x01) + Pin( 900 3850 60 35 "IRq4" 0x01) + Pin(1000 3850 60 35 "IRq5" 0x01) + Pin(1100 3850 60 35 "IRq6" 0x01) + Pin(1200 3850 60 35 "IRq7" 0x01) + Pin(1300 3850 60 35 "Clock" 0x01) + Pin(1400 3850 60 35 "/Ref" 0x01) + Pin(1500 3850 60 35 "DRq1" 0x01) + Pin(1600 3850 60 35 "/DAck1" 0x01) + Pin(1700 3850 60 35 "DRq3" 0x01) + Pin(1800 3850 60 35 "/DAck3" 0x01) + Pin(1900 3850 60 35 "/IOR" 0x01) + Pin(2000 3850 60 35 "/IOW" 0x01) + Pin(2100 3850 60 35 "/SMEMR" 0x01) + Pin(2200 3850 60 35 "/SMEMW" 0x01) + Pin(2300 3850 60 35 "Gnd" 0x01) + Pin(2400 3850 60 35 "+12V" 0x01) + Pin(2500 3850 60 35 "/0WS" 0x01) + Pin(2600 3850 60 35 "-12V" 0x01) + Pin(2700 3850 60 35 "DRq2" 0x01) + Pin(2800 3850 60 35 "-5V" 0x01) + Pin(2900 3850 60 35 "IEQ2" 0x01) + Pin(3000 3850 60 35 "+5V" 0x01) + Pin(3100 3850 60 35 "ResDrv" 0x01) + Pin(3200 3850 60 35 "Gnd (b1)" 0x01) + + # Pins, entspr. Anschl. auf Bestueckseite + Pin( 200 3950 60 35 "SA0 (a31)" 0x01) + Pin( 300 3950 60 35 "SA1" 0x01) + Pin( 400 3950 60 35 "SA2" 0x01) + Pin( 500 3950 60 35 "SA3" 0x01) + Pin( 600 3950 60 35 "SA4" 0x01) + Pin( 700 3950 60 35 "SA5" 0x01) + Pin( 800 3950 60 35 "SA6" 0x01) + Pin( 900 3950 60 35 "SA7" 0x01) + Pin(1000 3950 60 35 "SA8" 0x01) + Pin(1100 3950 60 35 "SA9" 0x01) + Pin(1200 3950 60 35 "SA10" 0x01) + Pin(1300 3950 60 35 "SA11" 0x01) + Pin(1400 3950 60 35 "SA12" 0x01) + Pin(1500 3950 60 35 "SA13" 0x01) + Pin(1600 3950 60 35 "SA14" 0x01) + Pin(1700 3950 60 35 "SA15" 0x01) + Pin(1800 3950 60 35 "SA16" 0x01) + Pin(1900 3950 60 35 "SA17" 0x01) + Pin(2000 3950 60 35 "SA18" 0x01) + Pin(2100 3950 60 35 "SA19" 0x01) + Pin(2200 3950 60 35 "AEN" 0x01) + Pin(2300 3950 60 35 "IOChRdy" 0x01) + Pin(2400 3950 60 35 "SD0" 0x01) + Pin(2500 3950 60 35 "SD1" 0x01) + Pin(2600 3950 60 35 "SD2" 0x01) + Pin(2700 3950 60 35 "SD3" 0x01) + Pin(2800 3950 60 35 "SD4" 0x01) + Pin(2900 3950 60 35 "SD5" 0x01) + Pin(3000 3950 60 35 "SD6" 0x01) + Pin(3100 3950 60 35 "SD7" 0x01) + Pin(3200 3950 60 35 "/IOChCk (a1)" 0x01) + + # Umrahmung + ElementLine( 0 100 100 100 2) + ElementLine( 100 0 100 100 2) + ElementLine( 100 100 4250 100 5) + ElementLine(4250 100 4250 3810 5) + ElementLine(4250 3810 3620 3810 5) + ElementLine(3620 3810 3620 3510 5) + ElementLine(3620 3510 3300 3510 5) + ElementLine(3300 3510 3300 3810 5) + ElementLine(3300 3810 100 3810 5) + ElementLine( 100 3810 100 3510 5) + ElementLine( 100 3510 0 3510 2) + + # Markierung == Pin B1 + Mark(3200 3850) +)') + +# ------------------------------------------------------------------- +# an ovenized-oscillator package +# +# $1: canonical name +# $2: name on PCB +# $3: value +# Text(620 320 0 100 "GROUND" 0x0001) +# Text(620 520 0 100 "VECTRON LABORATORIES, INC." 0x0001) +# Text(620 720 0 100 "CO711 SERIES OSC" 0x0001) +# Text(620 920 0 100 "711-04-006" 0x0001) +# Text(620 1680 0 100 "+12 -> 15 VOLTS DC" 0x0001) +define(`PKG_OVEN_OSC', +`Element(0x00 "$1" "`$2'" "$3" 580 280 0 100 0x00) +( + Pin(320 320 150 35 "NC" 0x01) + Pin(320 1000 150 35 "VCC" 0x01) + Pin(320 1680 150 35 "CLK" 0x01) + Pin(1680 320 150 35 "+12V" 0x01) + Pin(1680 1680 150 35 "GND" 0x01) + + ElementLine(2020 1125 2020 875 10) + ElementLine(2100 875 2020 875 10) + ElementLine(2100 975 2100 875 10) + ElementLine(2075 975 2100 975 10) + ElementLine(2075 1025 2075 975 10) + ElementLine(2100 1025 2075 1025 10) + ElementLine(2100 1125 2100 1025 10) + ElementLine(2100 1125 2020 1125 10) + + ElementLine(2000 320 2000 1680 10) + ElementLine(320 0 1680 0 10) + ElementLine(0 1680 0 320 10) + ElementLine(1680 2000 320 2000 10) + + ElementArc(320 320 320 320 270 90 10) + ElementArc(320 1680 320 320 0 90 10) + ElementArc(1680 320 320 320 180 90 10) + ElementArc(1680 1680 320 320 90 90 10) + + Mark(320 320) +)') + +# a radial capacitor package +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: package width in MIL +# $5: set to 1 if a polarized part +define(`PKG_RADIAL_CAN', + `define(`X1', `eval(`$4' /4)') + define(`X2', `eval(`$4' -X1)') + define(`X3', `eval(`$4' /2)') + define(`Y', `eval(`$4' /2)') + define(`R', `eval(`$4' /2)') + define(`PINSIZE', `ifelse(eval($4 >= 600), 1, 80, 55)') + define(`DRILLSIZE', `ifelse(eval($4 >= 600), 1, 50, 30)') +Element(0x00 "$1" "`$2'" "$3" eval(`$4') 0 0 100 0x00) +( + PIN(X1, Y, 60, DRILLSIZE, 1) + PIN(X2, Y, 60, DRILLSIZE, 2) + ElementArc(X3 Y R R 0 360 10) + + ifelse( 1, $5, + ElementLine(-60 Y -20 Y 10) + ElementLine(-40 `eval(Y-20)' -40 `eval(Y+20)' 10) + ElementLine(`eval($4 + 20)' Y `eval($4 + 60)' Y 10) + ) + + Mark (X1 Y) +)') + +# a core surface mount package +# 12/99 Larry Doolittle +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: device length in MIL +# $5: device width in MIL +# +# Note that the pad width, length, and separation are derived from +# the given device dimensions. I don't +# claim to have followed any industry standards here, these sizes +# are based on someone else's measurements: +# 0603 is 30x40 mil pads on 60 mil pad ctr +# 0805 is 44x60 mil pads on 80 mil pad ctr +# on a PC motherboard. If you know the "real" values, please educate +# me and/or adjust this code. +# +define(`PKG_SMD_BASE', + `define(`T', `eval(`$4'/4+`$5'/2)') + define(`W', `eval(T/2+15)') + define(`X1', `0') + define(`X2', ``$4'') + define(`Y', `0') + define(`Y1', `eval(Y-(`$5'-T)/2-5)') + define(`Y2', `eval(Y+(`$5'-T)/2+5)') + # Silkscreen box coordinates + define(`X1L', `eval(X1-W)') + define(`X2L', `eval(X2+W)') + define(`Y1L', `eval(Y1-W)') + define(`Y2L', `eval(Y2+W)') +Element(0x00 "$1" "`$2'" "$3" eval(10+T/2) eval(Y2L+15) 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(X1 Y1 X1 Y2 T "1" 0x100) + Pad(X2 Y1 X2 Y2 T "2" 0x100) + ElementLine(X1L Y1L X1L Y2L 8) + ElementLine(X1L Y2L X2L Y2L 8) + ElementLine(X2L Y2L X2L Y1L 8) + ElementLine(X2L Y1L X1L Y1L 8) + SMD_OUTLINE_EXTRA +)') + +define(`PKG_SMD_SIMPLE', + `define(`SMD_OUTLINE_EXTRA', `') + PKG_SMD_BASE(`$1', `$2', `$3', `$4', `$5') +') + +define(`PKG_SMD_DIODE', + `define(`SMD_OUTLINE_EXTRA', + ` + define(`XBAR', `eval(X1L+10)') + ElementLine( XBAR Y1L XBAR Y2L 8 ) + ') + PKG_SMD_BASE(`$1', `$2', `$3', `$4', `$5') +') + +define(`PKG_SMD_POLAR', + `define(`SMD_OUTLINE_EXTRA', + ` + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + define(`XBAR', `eval(X1L+10)') + ElementLine( XBAR Y1L XBAR Y2L 8 ) + + ') + PKG_SMD_BASE(`$1', `$2', `$3', `$4', `$5') +') + +# a smd chip package, capacitor or resistor +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: package designator length in MIL *100 + width in MIL /10 +# ie 402 == 40 X 20; 603 == 60 X 30 +# +define(`PKG_SMD_CHIP', + `define(`X1', `eval(eval(`$4' /100)*10)') + define(`Y1', `eval(eval(`$4'- X1 *10) *10)') +# line radius (LR) depicts offset to pads lines and pad "band width" + define(`LR', `ifelse(eval(Y1 < 40), 1, eval(Y1/4), 10)') +Element(0x00 "$1" "`$2'" "$3" 0 0 0 25 0x00) +( + PAD(LR LR LR eval(Y1-LR) eval(LR*2) ) + PAD(eval(X1-LR) LR eval(X1-LR) eval(Y1-LR) eval(LR*2) ) + + ElementLine( 0 0 X1 0 5) + ElementLine(X1 0 X1 Y1 5) + ElementLine(X1 Y1 0 Y1 5) + ElementLine( 0 Y1 0 0 5) + + Mark(eval(X1/2) eval(Y1/2)) +)') + Property changes on: oldlib/lib/misc.inc ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/nichicon.inc =================================================================== --- oldlib/lib/nichicon.inc (nonexistent) +++ oldlib/lib/nichicon.inc (revision 1033) @@ -0,0 +1,155 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2007 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; version 2 of the License. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# The symbols produced by this file may be used and redistributed +# without restriction as part of a PCB layout file. Distribution +# of footprints produced by this file as part of a footprint +# library is governed by the same license as this source file. +# + +# Nichicon Specific Footprints + + +# Surface mount electrolytic capacitors. WT series. + +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: pin width (1/100 mm) (max dimension "H" on datasheet * 100) +# $5: pin length (1/100 mm) (max dimension "A" on datasheet * 100) +# $6: gap between pins (1/100 mm) (min dimension "E" on datasheet * 100) +# $7: package width (1/100 mm) (max dimension "C" on datasheet * 100) +# $8: package height (1/100 mm) (max dimension "B" on datasheet * 100) +# $9: package vertical height (1/100mm) -- vertical height off board +# (max dimension "L" on datasheet * 100) + +define(`PKG_NICHICON_WT_CAP', + ` + # max pin width (perpendicular to axis of package) (1/100 mil) + define(`PINW', `eval($4*10000/254)') + + # max pin length (parallel to axis of package) (1/100 mil) + define(`PINL', `eval($5*10000/254)') + + # gap between the pads (1/100 mil) + define(`PINS', `eval($6*10000/254)') + + # package width (1/100 mil) + define(`PACKW', `eval($7*10000/254)') + + # package height (1/100 mil) + define(`PACKH', `eval($8*10000/254)') + + # component veritcal height off board (1/100 mil) + define(`COMPH', `eval($9*10000/254)') + + # pad width and length + define(`PADW', `eval(PINW + 2000)') + define(`PADL', `eval(PINL + 2000)') + + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + define(`PY1', `eval((PINL + PINS + PADL - PADW)/2)') + define(`PY2', `eval((PINL + PINS - PADL + PADW)/2)') + + # width of soldermask relief (5 mil on each side) + define(`MASKW', `eval(PADW + 1000)') + + # silkscreen width (1/100 mils) + define(`SILKW', `1000') + + # how much space to leave around the part before the + # silk screen (1/100 mils) + define(`SILKS', `800') + + # lower left corner for silk screen (1/100 mil) + define(`LLX', `eval( (PACKW + 2*SILKS + SILKW)/2)') + define(`LLY', `eval( (PACKH + 2*SILKS + SILKW)/2)') + + # upper right corner for silk screen (1/100 mil) + define(`URX', `eval( (PADW + 2*SILKS + SILKW)/2)') + define(`URY', `eval( -LLY)') + + # how much to notch the corners by in silk to indicate polarity + define(`NOTCH', `3000') + define(`NOTCHX', `eval(LLX - NOTCH)') + define(`NOTCHY', `eval(URY + NOTCH)') + +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "`$1'" "`$2'" "`$3'" 0 0 0 0 0 100 ""] +( + +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -PY1 0 -PY2 PADW 1000 MASKW "Plus" "1" "square"] +Pad[ 0 PY1 0 PY2 PADW 1000 MASKW "Minus" "2" "square"] + +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] + +ElementLine[ LLX LLY URX LLY SILKW] +ElementLine[ LLX LLY LLX NOTCHY SILKW] +ElementLine[ LLX NOTCHY NOTCHX URY SILKW] +ElementLine[ NOTCHX URY URX URY SILKW] + +ElementLine[ -LLX LLY -URX LLY SILKW] +ElementLine[ -LLX LLY -LLX NOTCHY SILKW] +ElementLine[ -LLX NOTCHY -NOTCHX URY SILKW] +ElementLine[ -NOTCHX URY -URX URY SILKW] + + + +)') + +# ------------------------------------------------------------------- + +# EXTRACT_BEGIN + + +# +## Nichicon WT series SMT Aluminum Electrolytic Capacitor +# + +# See Nichicon publication CAT.8100S + +# datasheet dimensions Hmax, Amax, E, Cmax, Bmax, Lmax +define(`PKG_NICHICON_WT_CAP_3_5p4', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `170', `80', `350', `350', `590')') +define(`PKG_NICHICON_WT_CAP_4_5p4', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `200', `100', `450', `450', `590')') +define(`PKG_NICHICON_WT_CAP_5_5p4', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `230', `130', `550', `550', `590')') +define(`PKG_NICHICON_WT_CAP_6p3_5p4', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `260', `220', `680', `680', `590')') +define(`PKG_NICHICON_WT_CAP_6p3_5p8', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `260', `220', `680', `680', `630')') +define(`PKG_NICHICON_WT_CAP_6p3_7p7', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `260', `220', `680', `680', `820')') +define(`PKG_NICHICON_WT_CAP_8_5p4', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `350', `230', `850', `850', `590')') +define(`PKG_NICHICON_WT_CAP_8_10', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `110', `310', `310', `850', `850', `1050')') +define(`PKG_NICHICON_WT_CAP_10_10', `PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `110', `340', `450', `1050', `1050', `1050')') + + +# EXTRACT_END + Index: oldlib/lib/optek.inc =================================================================== --- oldlib/lib/optek.inc (nonexistent) +++ oldlib/lib/optek.inc (revision 1033) @@ -0,0 +1,113 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2007 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; version 2 of the License. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# The symbols produced by this file may be used and redistributed +# without restriction as part of a PCB layout file. Distribution +# of footprints produced by this file as part of a footprint +# library is governed by the same license as this source file. +# + +# Optek Specific Footprints -- see www.optekinc.com + + +# Surface mount LED lamps, Package "RA 2.8x1.2x.8" for example part number OVSRWACR6 + +# $1: canonical name +# $2: name on PCB +# $3: value + +define(`PKG_OPTEK_RA_2p8_1p2_0p8', + ` + # pad width and length (1/100 mil) + define(`PADW', `eval(140 * 10000/254)') + define(`PADL', `eval(90 * 10000/254)') + + # pad center (X) (1/100 mil) + define(`PADC', `eval(120 * 10000/254)') + + # x values for the pads + define(`PX1', `eval(PADC - (PADL - PADW)/2)') + define(`PX2', `eval(PADC + (PADL - PADW)/2)') + + # package width (1/100 mil) + define(`PACKW', `39500') + + # package height (1/100 mil) + define(`PACKH', `36000') + + # width of soldermask relief (5 mil on each side) + define(`MASKW', `eval(PADL + 1000)') + + # silkscreen width (1/100 mils) + define(`SILKW', `1000') + + # how much space to leave around the part before the + # silk screen (1/100 mils) + define(`SILKS', `800') + + # top edge silk + define(`SXTOP', `eval( 50*10000/254 - SILKS - SILKW/2)') + define(`SYTOP', `eval( -45 * 10000/254)') + + # bottom edge silk + define(`SXBOT', `eval( 5500 + SILKS + SILKW/2 )') + define(`SYBOT1', `eval( PADL/2 + SILKS + SILKW/2 )') + define(`SYBOT2', `eval( -1400 + 4700 + SILKS + SILKW/2 )') + +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "`$1'" "`$2'" "`$3'" 0 0 0 0 0 100 ""] +( + +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] + +# the pads +Pad[ -PX1 0 -PX2 0 PADL 1000 MASKW "K" "1" "square"] +Pad[ PX1 0 PX2 0 PADL 1000 MASKW "A" "2" "square"] + + +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] + +# top edge +ElementLine[ -SXTOP SYTOP SXTOP SYTOP SILKW ] + +# left/right and bottom +ElementLine[ -SXBOT SYBOT1 -SXBOT SYBOT2 SILKW ] +ElementLine[ SXBOT SYBOT1 SXBOT SYBOT2 SILKW ] +ElementLine[ -SXBOT SYBOT2 SXBOT SYBOT2 SILKW ] + +)') + +# ------------------------------------------------------------------- + +# EXTRACT_BEGIN + + +# +## OPTEK Surface Mount LED's +# + +# See www.optekinc.com + +define(`PKG_OPTEK_OVSRWACR6', `PKG_OPTEK_RA_2p8_1p2_0p8(`$1', `$2', `$3')') + +# EXTRACT_END + Index: oldlib/lib/optical.list =================================================================== --- oldlib/lib/optical.list (nonexistent) +++ oldlib/lib/optical.list (revision 1033) @@ -0,0 +1,32 @@ +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# mask package values... +# +LED_3MM:LED:green:red:blue +LED_5MM:LED:green:red:blue +OPTO_6N136:N:6N136 +OPTO_6N137:N:6N137 Property changes on: oldlib/lib/optical.list ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/optical.m4 =================================================================== --- oldlib/lib/optical.m4 (nonexistent) +++ oldlib/lib/optical.m4 (revision 1033) @@ -0,0 +1,49 @@ +divert(-1) +# +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 1994,1995,1996 Thomas Nau +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# Contact addresses for paper mail and Email: +# Thomas Nau, Schlehenweg 15, 88471 Baustetten, Germany +# Thomas.Nau@rz.uni-ulm.de +# +# RCS: $Id$ +# +# most (all at the beginning) of the data was provided by +# Volker Bosch (bosch@iema.e-technik.uni-stuttgart.de) +# +define(`Description_LED_3MM', `LED 3mm') +define(`Param1_LED_3MM', 60) +define(`PinList_LED_3MM', ``-', `+'') + +define(`Description_LED_5MM', `LED 5mm') +define(`Param1_LED_5MM', 100) +define(`PinList_LED_5MM', ``-', `+'') + +define(`Description_OPTO_6N136', `optical coupling device') +define(`Param1_OPTO_6N136', 8) +define(`Param2_OPTO_6N136', 300) +define(`PinList_OPTO_6N136', ``NC', `A+', `K-', `NC', `Gnd', `Out-Col', `Basis', `Vcc'') + +define(`Description_OPTO_6N137', `optical coupling device') +define(`Param1_OPTO_6N137', 8) +define(`Param2_OPTO_6N137', 300) +define(`PinList_OPTO_6N137', ``NC', `A+', `K-', `NC', `Gnd', `Out', `En', `Vcc'') + +divert(0)dnl Property changes on: oldlib/lib/optical.m4 ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/panasonic.inc =================================================================== --- oldlib/lib/panasonic.inc (nonexistent) +++ oldlib/lib/panasonic.inc (revision 1033) @@ -0,0 +1,56 @@ +# -*- m4 -*- +# +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003, 2004 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# +# Panasonic Specific Footprints + + +# EXTRACT_BEGIN + +# +## Panasonic EXB Series Chip Resistor Array +# + +# COMMON_SMT_DIL_MM +# $4: number of pins +# $5: pad width (1/100 mm) +# $6: pad length (1/100 mm) +# $7: pad pitch (1/100 mm) +# $8: pad seperation for pads on opposite sides of +# the package (1/100 mm) +# $9: define to make the pins get numbered starting with the highest pin +# instead of pin 1. Needed for certain brain damaged packages like +# the Mini-Circuits KK81 + +define(`PKG_PANASONIC_EXB14V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',4,30,30,50,30)') +define(`PKG_PANASONIC_EXB24V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',4,38,48,65,50)') +define(`PKG_PANASONIC_EXB28V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',8,25,50,50,40)') +define(`PKG_PANASONIC_EXBV4V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',4,43,70,80,80)') +define(`PKG_PANASONIC_EXBV8V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',8,43,70,80,80)') +define(`PKG_PANASONIC_EXB34V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',4,45,80,80,80)') +define(`PKG_PANASONIC_EXB38V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',8,45,80,80,80)') +define(`PKG_PANASONIC_EXBS8V', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',8,63,120,127,110)') +define(`PKG_PANASONIC_EXB2HV', `COMMON_SMT_DIL_MM(`$1',`$2',`$3',16,25,50,50,100)') + +# EXTRACT_END + Index: oldlib/lib/pcblib =================================================================== --- oldlib/lib/pcblib (nonexistent) +++ oldlib/lib/pcblib (revision 1033) @@ -0,0 +1,11527 @@ +# This is a frozen state file generated by GNU M4 1.4.16 +V1 +T17,97 +PinList_TL085_dil`In1-',`In1+',`Out1',`Out2',`In2+',`In2-',`Vcc-',`In3-',`In3+',`Out3',`Vcc+',`Out4',`In4+',`In4-' +T18,128 +PinList_MAX233_dil`T2in',`T1in',`R1out',`R1in',`T1out',`Gnd',`Vcc',`C1+',`Gnd',`C2-',`C2+',`V-',`C1-',`V+',`C2+',`C2-',`V-',`T2out',`R2in',`R2out' +T17,3 +Param2_MAX690_dil300 +T9,28 +PKG_ZIP20PKG_SD(`$1', `$2', `$3', 20) +T10,511 +PKG_TO3_45Element(0x00 "$1" "`$2'" "$3" 480 510 0 100 0x00) +( + PIN(750, 750, 90, 55, 1) + PIN(960, 380, 90, 55, 2) + PIN(1300, 830, 250, 177, 3) + PIN(280, 210, 250, 177, 4) + + ElementLine(660 1010 1300 1010 20) + ElementLine(1210 230 1470 770 20) + ElementLine(110 270 375 810 20) + ElementLine(280 30 920 30 20) + + ElementArc(790 520 420 420 0 360 20) + ElementArc(790 520 510 510 215 40 20) + ElementArc(790 520 510 510 35 40 20) + + ElementArc(1300 830 180 180 90 110 20) + ElementArc(280 210 180 180 270 110 20) + + Mark(750 750) +) +T13,74 +PKG_INDC4509MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 130, 170, 440, 700, 230, 200, 130) +T27,28 +Description_geda_TQFP160_28`Square Quad-side flat pack' +T26,38 +Description_geda_RESC3216N`Standard SMT resistor, capacitor etc' +T24,23 +Description_GAL22V10_dilgeneric PAL replacement +T13,62 +PKG_SOJ16_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,196850) +T9,67 +PKG_SO20MCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 20, 20000, 85000, 50000, 170000) +T13,150 +PKG_SMD_DIODEdefine(`SMD_OUTLINE_EXTRA', + ` + define(`XBAR', `eval(X1L+10)') + ElementLine( XBAR Y1L XBAR Y2L 8 ) + ') + PKG_SMD_BASE(`$1', `$2', `$3', `$4', `$5') + +T13,74 +PKG_INDC4509NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 120, 150, 420, 610, 170, 190, 120) +T26,28 +Description_geda_TQFP44_10`Square Quad-side flat pack' +T26,38 +Description_geda_INDC2520L`Standard SMT resistor, capacitor etc' +T23,62 +Description_geda_ACY600`Axial non-polar component (typically resistor or capacitor),' +T21,43 +Description_74160_dil`syncronous decade counter w. async. clear' +T21,40 +Description_74123_dil`retriggerable monostable multivibrator' +T18,127 +PinList_MAX691_dil`Vbatt',`Vout',`Vcc',`Gnd',`BattOn',`/LowLine',`OSC_In',`OSC_Out',`PFI',`/PFO',`WDI',`/CE_Out',`/CE_In',`/WDO',`/Reset',`Reset' +T16,71 +PinList_7402_dil`1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc' +T17,3 +Param2_MAX667_dil300 +T16,3 +Param2_74441_dil300 +T12,921 +PKG_TO126LAYElement(0x00 "$1" "`$2'" "$3" 80 480 1 100 0x00) +( + +# From the JEDEC drawing, the pins are rectangular with dimensions +# 25-35 mil X 15-25 mil +# +# This gives a diagonal dimension of 29.2 to 43.0 mils. +# Pin pitch is 80 to 100 mils. +# +# For a minimum clearance of 10 mils (probably not unreasonable if +# you are doing a design with leaded parts, this gives a max pad size +# of 80 mils. A 52 mil drill will give 14 mil annular ring which should +# be plenty. +# +# The mounting hole is 100 to 130 mils diameter + PIN(110, 600, 80, 52, 1) + PIN(200, 600, 80, 52, 2) + PIN(290, 600, 80, 52, 3) + + # Befestigungsbohrung + PIN(200, 170, 130, 110, 4) + + # Anschlussdraehte + ElementLine(100 600 100 500 30) + ElementLine(200 600 200 500 30) + ElementLine(300 600 300 500 30) + + # Gehaeuse + ElementLine( 50 500 350 500 20) + ElementLine(350 500 350 70 20) + ElementLine(350 70 50 70 20) + ElementLine( 50 70 50 500 20) + + Mark(100 600) +) +T9,67 +PKG_SO20NCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 20, 20000, 85000, 50000, 120000) +T29,7 +Description_generic_diode_500`diode' +T23,31 +Description_geda_PLCC44`Plastic leadless chip carrier' +T26,38 +Description_geda_INDC3216L`Standard SMT resistor, capacitor etc' +T26,38 +Description_geda_INDC2520M`Standard SMT resistor, capacitor etc' +T25,46 +Description_geda_ACY1200P`Axial polar component (typically capacitor),' +T21,16 +Description_conn_jtag`JTAG Connector' +T30,22 +Description_DIN41_612_ac64maleDIN41.612 row a+c male +T21,36 +Description_74352_dil`2 4-to-1 data selector/multiplexor' +T17,111 +PinList_74221_dil`1A',`1A',`/1Clr',`/1Q',`2Q',`2Cext',`2Rext-Cext',`Gnd',`2A',`2B',`/2Clr',`/2Q',`1Q',`1Cext',`1Rext-Cext',`Vcc' +T16,3 +Param2_74670_dil300 +T14,41 +PKG_TQFP128_28PKG_QFP_80(`$1',`$2',`$3',`128',`28',`0') +T9,30 +PKG_SIP10PKG_CSIL(`$1', `$2', `$3', 10) +T10,38 +PKG_RCY500PKG_RADIAL_CAN(`$1', `$2', `$3', 1000) +T26,38 +Description_geda_INDC3216M`Standard SMT resistor, capacitor etc' +T26,38 +Description_geda_INDC2520N`Standard SMT resistor, capacitor etc' +T20,13 +Description_7420_dil`2 quad-NAND' +T21,32 +Description_74174_dil`6 D-type FF single-rail output' +T21,33 +Description_74137_dil`3-to-8 decoder w. address latch' +T19,2102 +COMMON_SMT_2PAD_MILdefine(`sizX', `$4') + define(`sizY', `$5') + define(`metalX', `eval((sizX * $6) / 100)') + define(`addFRAME', `eval(sizY / 5)') + define(`addTIN', `eval(sizY / 5)') + define(`padX', `eval(metalX + 2*addTIN)') + define(`padY', `eval(sizY + 2*addTIN)') + define(`width', `eval(sizX/2 + addFRAME + addTIN)') + define(`height', `eval(sizY/2 + addFRAME + addTIN)') + define(`centerX', `eval((sizX - padX + 2*addTIN)/2)') + define(`silkW', `ifelse(eval(sizY >= 50), 1, 10, eval(sizY/5))') + # how much to grow the pads by for soldermask + define(`maskGrow', 3) + # clearance from planes + define(`clearance', 10) +Element(0x00 "$1" "`$2'" "$3" 0 0 eval(width + 20) 0 3 100 0x00) +( + ifelse(eval($7 > 0), 1, + `ElementLine(eval(-width-silkW) eval(-height + addFRAME) eval(-width-silkW) eval(height-addFRAME) eval(2*silkW)) + ElementLine(eval(-width-silkW) eval( height - addFRAME) eval(-width + padX/2 -silkW) height silkW) + ElementLine(eval(-width + padX/2 - silkW) height width height silkW) + ElementLine(width height width -height silkW) + ElementLine(width -height eval(-width + padX/2 -silkW) -height silkW) + ElementLine(eval(-width + padX/2 -silkW) -height eval(-width-silkW) eval(-height + addFRAME) silkW) + ', + `ElementLine(-width -height -width height silkW) + ElementLine(-width height width height silkW) + ElementLine(width height width -height silkW) + ElementLine(width -height -width -height silkW) + ') + + ifelse(eval(padX > padY), 1, + `Pad(eval((-2*centerX - padX + padY)/2) 0 + eval((-2*centerX + padX - padY)/2) 0 + padY eval(2*clearance) eval(padY + 2*maskGrow) "1" "1" 0x00000100) + Pad(eval(( 2*centerX - padX + padY)/2) 0 + eval(( 2*centerX + padX - padY)/2) 0 + padY eval(2*clearance) eval(padY + 2*maskGrow) "2" "2" 0x00000100) + ', + `Pad(-centerX eval((-padY+padX)/2) + -centerX eval((padY-padX)/2) + padX eval(2*clearance) eval(padX + 2*maskGrow) "1" "1" 0x00000100) + Pad(centerX eval((-padY+padX)/2) + centerX eval((padY-padX)/2) + padX eval(2*clearance) eval(padX + 2*maskGrow) "2" "2" 0x00000100) + ') + +) +T16,87 +PinList_7490_dil`ClkB',`R0(1)',`R0(2)',`NC',`Vcc',`R9(1)',`R9(2)',`Qc',`Qb',`Gnd',`Qd',`Qa',`NC',`ClkA' +T16,61 +PinList_7453_dil`A',`C',`D',`E',`F',`NC',`Gnd',`Y',`G',`H',`X',`/X',`B',`Vcc' +T16,71 +PinList_7416_dil`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc' +T17,2 +Param1_MAX233_dil20 +T9,28 +PKG_ZIP24PKG_SD(`$1', `$2', `$3', 24) +T9,67 +PKG_SO24MCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 24, 20000, 85000, 50000, 170000) +T9,30 +PKG_SIP11PKG_CSIL(`$1', `$2', `$3', 11) +T19,11 +Description_z80_dil`Zilog Z80' +T26,38 +Description_geda_INDC3216N`Standard SMT resistor, capacitor etc' +T21,38 +Description_74366_dil`6 bus drivers OC w. inverting output' +F6,6 +substrsubstr +T15,22 +PinList_uA7818C`In',`Com',`Out',`Com' +T17,103 +PinList_74642_dil`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/EN',`Vcc' +T16,3 +Param2_74684_dil300 +T16,3 +Param2_74647_dil300 +T19,1 +Param1_r_025_csil_45 +T16,2 +Param1_74522_dil20 +T9,30 +PKG_SIP12PKG_CSIL(`$1', `$2', `$3', 12) +T21,38 +Description_geda_SO28`Small outline package, wide (300mil)' +T27,39 +Description_geda_QFN56_8_EP`Square Quad Flat Nolead (QFN) package' +T23,31 +Description_geda_PLCC84`Plastic leadless chip carrier' +T22,17 +Description_MAX232_dildual RS232 driver +T21,45 +Description_74595_dil`8bit shift register w. output latch 3-state' +T20,2 +Param2_smd_diode_60330 +T17,2 +Param1_MAX691_dil16 +T16,2 +Param1_74381_dil20 +T9,30 +PKG_SIP13PKG_CSIL(`$1', `$2', `$3', 13) +T27,37 +Description_geda_HEADER34_1`Header connector, DIP pin numbering' +T21,156 +PinList_PC_Centronics`/Strb',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`/Ack',`Busy',`PaperE',`Sel',`AutoF',`/Fault',`/Res',`SelIn',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd',`Gnd' +T21,187 +PinList_AT90S2313_dil`/Reset',`PD0/RxD',`PD1/TxD',`XTAL2',`XTAL1',`PD2/INT0',`PD3/INT1',`PD4/T0',`PD5/T1',`Gnd',`PD6/ICP',`PB0/AIN0',`PB1/AIN1',`PB2/OC0',`PB3/OC1',`PB4', `PB5/MOSI',`PB6/MISO',`PB7/SCK',`Vcc' +T17,113 +PinList_74693_dil`/CClr',`CCK',`A',`B',`C',`D',`ENP',`/RClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc' +T19,1 +Param1_r_025_csil_67 +T16,2 +Param1_74573_dil20 +T16,2 +Param1_74166_dil16 +T27,42 +Description_geda_HEADER34_2`Header connector, ribbon cable numbering' +T22,47 +Description_MAX690_diluP supervisor w. watchdog and power-fail signal +T20,27 +Description_7485_dil`4bit magnitude comperator' +T20,35 +Description_7448_dil`BCD to 7-segment driver w. pullup' +T18,125 +PinList_DS1220_dil`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Ce',`A10',`/Oe',`/We',`A9',`A8',`Vcc' +T17,113 +PinList_81C17_dil`D=',`D1',`/CS',`/Rd',`D2',`D3',`D4',`/Wr',`D5',`Gnd',`D6',`D7',`Clk',`/Int',`RS',`RxD',`TxD',`/CP1',`/CP2',`Vcc' +T16,3 +Param2_27256_dil600 +T19,1 +Param1_r_025_csil_78 +T14,3 +Param1_LED_5MM100 +T16,2 +Param1_74395_dil16 +T15,2 +Param1_7406_dil14 +T9,28 +PKG_ZIP28PKG_SD(`$1', `$2', `$3', 28) +T12,1194 +PKG_TO39BASEElement["" "$1" "`$2'" "$3" 18800 18800 6000 7000 0 100 ""] +( +# The JEDEC drawing shows a pin diameter of 16-21 mils +# +# +# ___x_ +# / \ +# TO39: |3 1| <-- bottom view (supposed to be a circle) +# \ 2 / +# --- +# +# NOTE: some vendors, ST for example, number the pins +# differently. Here we follow the JEDEC drawing. +# +# the pins are arranged along a 200 mil diameter +# circle. The can outline is 315 to 335 mils (320 nom) +# for the top of the can and 350 to 370 mils (360 nom) +# for the bottom edge of thecan +# + Pin[0 -10000 5500 3000 6100 3500 "1" "1" "square"] + Pin[-10000 0 5500 3000 6100 3500 "2" "2" ""] + Pin[0 10000 5500 3000 6100 3500 "3" "3" ""] +# tab is 29 to 40 mils long, 28 to 34 wide +# and comes off at an angle of 45 deg clockwise from +# pin 1 when looking at the top of the board + ElementLine [12700 -13900 14800 -16000 1000] + ElementLine [13300 -13300 15400 -15400 1000] + ElementLine [13900 -12700 16000 -14800 1000] + ElementLine [16000 -14800 14800 -16000 1000] +# x, y, width, height, start angle, delta angle, thickness + ElementArc [0 0 18300 18300 0 360 1000] + + ) + +T26,42 +Description_geda_SOJ42_400`Small outline J-leaded package (400 mil)' +T19,1 +Param1_r_025_csil_89 +T21,2 +Param1_generic52_plcc52 +T20,50 +PKG_PANASONIC_EXBS8VCOMMON_SMT_DIL_MM(`$1',`$2',`$3',8,63,120,127,110) +T12,40 +PKG_ACY1500PPKG_AXIAL_LAY(`$1', `$2', `$3', 1500, 1) +T11,37 +PKG_ACY1400PKG_AXIAL_LAY(`$1', `$2', `$3', 1400) +T22,42 +Description_MAX667_dil5V/adjustable low-dropout linear regulator +T24,2 +Param1_DIN41_651_14stand14 +T15,1 +Param1_7457_dil8 +T10,46 +PKG_SOD323PKG_SMT_DIODE( `$1', `$2', `$3', 27, 13) +T15,4398 +PKG_PCIPINARRAY + define(`cnt',`1') + define(`ARGS',`$4, $5, $6, $7') + args(ARGS) + define(`LEFTOFFSET',`100') + define(`EDGEGAP',`12') + define(`PINDIST',`50') + define(`PINWIDTH',`25') + define(`NUMARRAYS',`GETNUMARRAYS(ARGS)') + define(`TOTALPINS1',forloop(`IDX',0,eval(NUMARRAYS-1), + ``arg'eval((IDX*2)+2) + ')0) + define(`TOTALPINS',eval(TOTALPINS1)) + ifelse(1,eval(NUMARRAYS>1),` + define(`TOTALDIAMETER1',forloop(`IDX',0,eval(NUMARRAYS-2), + ``arg'eval((IDX*2)+3) + ')0) + define(`TOTALDIAMETER',eval(TOTALDIAMETER1)) + ') + define(`PINCENTER',`eval(LEFTOFFSET+(PINDIST/2))') + define(`PINRADIUS',`eval(PINWIDTH/2)') + define(`PINLEFT',`eval(PINCENTER-PINRADIUS)') + define(`PINRIGHT',`eval(PINCENTER+PINRADIUS)') + define(`PENWIDTH',`eval(PINRADIUS+4)') + define(`PENRADIUS',`eval(PENWIDTH/2)') + define(`MAXIDX',`eval(TOTALPINS - 1)') + define(`ARRAYWIDTH', `eval((TOTALPINS*PINDIST)+TOTALDIAMETER)') + define(`CENTERX', `eval(((ARRAYWIDTH+LEFTOFFSET)/2))') + define(`MINY',`20') + define(`MIDY',`220') + define(`MAXY',`270') + define(`ARCRADIUS',`12') + define(`ARCDIAMETER',`eval(ARCRADIUS*2)') + define(`LMAXY',`eval(MAXY+ARCRADIUS)') + define(`BOARDTOP',`eval(LMAXY-arg1)') + define(`SCREWBORDER',275) +Element(0x00 "$1" "`$2'" "$3" CENTERX 10 1 30 0x00) +( + Mark(CENTERX 135) + define(`ADDSIDE',0) + define(`FLAG',0x0) + define(`LOOPIDX1',eval(NUMARRAYS-1)) + define(`LEFT1',`eval(PINLEFT-ARCRADIUS)') + define(`ARCRADIUS2',`100') + define(`SLOTWIDTH',`1000') + define(`BRACKETLEFT',`-1525') + define(`LEFT2',`eval(LEFT1-ARCRADIUS2)') + define(`LEFT3',`eval(LEFT1+ARCRADIUS2-SLOTWIDTH)') + define(`LEFT4',`eval(LEFT1-SLOTWIDTH)') + ElementArc(LEFT2 0 ARCRADIUS2 ARCRADIUS2 180 90 1) + ElementLine(LEFT2 -ARCRADIUS2 LEFT3 -ARCRADIUS2 1) + ElementArc(LEFT3 0 ARCRADIUS2 ARCRADIUS2 270 90 1) + ElementLine(LEFT4 0 LEFT4 MAXY 1) + define(`LEFT5',`eval(LEFT4-ARCRADIUS)') + ElementArc(LEFT5 MAXY ARCRADIUS ARCRADIUS 90 90 1) + ElementLine(LEFT5 LMAXY BRACKETLEFT LMAXY 1) + forloop(`SIDE',0,1, + ` + define(`IDX',`0') + define(`ADDDIAMETER',`0') + forloop(`IDX1',0,LOOPIDX1, + ` + define(`CURRNUMPINS',`arg'eval((IDX1*2)+2)) + ifelse(SIDE,`0',` + define(`CURRLEFT',`eval((PINDIST*IDX)+(ADDDIAMETER)+PINLEFT)') + define(`CURRRIGHT',`eval(CURRLEFT+((CURRNUMPINS-1)*PINDIST)+PINWIDTH)') + ElementLine(CURRLEFT LMAXY CURRRIGHT LMAXY 2) + ElementArc(CURRLEFT MAXY ARCRADIUS ARCRADIUS 0 90 2) + ElementArc(CURRRIGHT MAXY ARCRADIUS ARCRADIUS 90 90 2) + define(`CURRLEFT1',`eval(CURRLEFT-ARCRADIUS)') + define(`CURRRIGHT1',`eval(CURRRIGHT+ARCRADIUS)') + ElementLine(CURRLEFT1 0 CURRLEFT1 MAXY 1) + ElementLine(CURRRIGHT1 0 CURRRIGHT1 MAXY 1) + ifelse(1,eval((NUMARRAYS>1)&&(IDX11)&&(IDX1 85), 1, + ElementArc(0 0 RADIUS1 RADIUS1 0 360 10) +, + ElementArc(0 0 RADIUS1 RADIUS1 45 90 10) + ElementArc(0 0 RADIUS1 RADIUS1 225 90 10) +) + ifelse( eval(RADIUS2 - 10 > 85), 1, + ElementArc(0 0 RADIUS2 RADIUS2 0 360 10) +, + ElementArc(0 0 RADIUS2 RADIUS2 45 90 10) + ElementArc(0 0 RADIUS2 RADIUS2 225 90 10) +) + Mark(0 0) +) +T6,50 +PKG_JGPKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28) +T22,25 +Description_geda_ZIP40`Zig-zag in-line package' +T21,63 +Description_74624_dil`voltage controlled oscillator w. enable and complement output' +F6,6 +syscmdsyscmd +T16,16 +PinList_uA78L05C`In',`Com',`Out' +T17,86 +PinList_74160_dil`/Clr',`Clk',`A',`B',`C',`D',`ENP',`Gnd',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc' +T17,111 +PinList_74123_dil`1A',`1B',`/1Clr',`/1Q',`2Q',`2Cext',`2Rext-Cext',`Gnd',`2A',`2B',`/2Clr',`/2Q',`1Q',`1Cext',`1Rext-Cext',`Vcc' +T16,3 +Param2_74165_dil300 +T16,3 +Param2_74128_dil300 +T8,67 +PKG_SO8MCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 8, 20000, 85000, 50000, 170000) +T9,67 +PKG_SO28WCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 28, 20000, 80000, 50000, 305000) +T20,77 +PKG_JOHNSTECH_QFN_50PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `50',`36',`50', `$6') +T11,39 +PKG_ACY600PPKG_AXIAL_LAY(`$1', `$2', `$3', 600, 1) +T20,40 +Description_geda_SO8`Small outline package, narrow (150mil)' +T22,40 +Description_geda_SO22M`Small outline package, medium (200mil)' +T26,28 +Description_geda_LQFP80_12`Square Quad-side flat pack' +T21,60 +Description_74446_dil`4 inverting bus tranceiver w. individual direction control' +T16,21 +PinList_uA79M08C`Com',`In',`Out',`In' +T19,46 +PinList_r_025_sil_8`1a', `1b', `2a', `2b', `3a', `3b', `4a', `4b' +T17,38 +PinList_conn_jtag`1', `2', `3', `4', `5', `6', `7', `8' +T17,89 +PinList_74352_dil`/1G',`B',`1C3',`1C2',`1C1',`1C0',`1Y',`Gnd',`2Y',`2C0',`2C1',`2C2',`2C3',`A',`/2G',`Vcc' +T17,3 +Param2_uA748C_dil300 +T15,3 +Param2_7432_dil300 +T8,1302 +PKG_QFP2define(`QUARTER', `eval($4 /4)') + define(`OFFSET', `eval((QUARTER +1) /2)') + define(`WIDTH', `eval((QUARTER-1) *25 +2*50)') + define(`CENTER', `eval(WIDTH / 2)') + define(`NUMPINS', `$4') +Element(0x00 "$1" "`$2'" "$3" 100 CENTER 0 100 0x00) +( + + # left row +define(`X', 0) +define(`Y', 50) +#define(`count', `eval(OFFSET+1)') +define(`count', 1) +forloop(`i', 1, QUARTER, + `PAD(eval(X-65), Y, eval(X+5), Y, 15, count)' `define(`count', incr(count))' + `define(`Y', eval(Y+25))' + ) + + # bottom row +define(`X', 50) +define(`Y', WIDTH) +forloop(`i', 1, QUARTER, + `PAD(X, eval(Y+65), X, eval(Y-5), 15, count)' `define(`count', incr(count))' + `define(`X', eval(X+25))' +) + + # right row +define(`X', WIDTH) +define(`Y', eval(WIDTH-50)) +forloop(`i', 1, QUARTER, + `PAD(eval(X+65), Y, eval(X-5), Y, 15, count)' `define(`count', incr(count))' + `define(`Y', eval(Y-25))' +) + + # top row +define(`X', eval(WIDTH-50)) +define(`Y', 0) +forloop(`i', 1, QUARTER, + `PAD(X, eval(Y-65), X, eval(Y+5), 15, count)' `define(`count', incr(count))' + `ifelse(eval(count > NUMPINS), 1, `define(`count', 1)')' + `define(`X', eval(X-25))' +) + + ElementLine(28 0 WIDTH 0 10) + ElementLine(WIDTH 0 WIDTH WIDTH 10) + ElementLine(WIDTH WIDTH 0 WIDTH 10) + ElementLine(0 WIDTH 0 28 10) + ElementLine(0 28 28 0 10) + + ElementArc(80 80 20 20 0 360 10) + + Mark(0 0) +) +T11,42 +PKG_QFN36_6PKG_QFN_50(`$1',`$2',`$3', `36', `6', `0') +T26,42 +Description_geda_SOJ30_300`Small outline J-leaded package (300 mil)' +T25,28 +Description_geda_QFP52_10`Square Quad-side flat pack' +T22,29 +Description_geda_DB37F`DSUB connector, female/male' +T21,58 +Description_74638_dil`8 inverting bus tranceiver OC A-output, 3-state B-output' +F8,8 +patsubstpatsubst +T17,84 +PinList_74174_dil`/Clr',`1Q',`1D',`2D',`2Q',`3D',`3Q',`Gnd',`Clk',`4Q',`4D',`5Q',`5D',`6D',`6Q',`Vcc' +T17,80 +PinList_74137_dil`A',`B',`C',`/GL',`/G2',`G1',`Y7',`Gnd',`Y6',`Y5',`Y4',`Y3',`Y2',`Y1',`Y0',`Vcc' +T27,79 +PKG_NICHICON_WT_CAP_6p3_7p7PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `260', `220', `680', `680', `820') +T26,28 +Description_geda_LQFP80_14`Square Quad-side flat pack' +T16,16 +PinList_uA78L09C`In',`Com',`Out' +T28,96 +PinList_DIN41_612_ac64femaleforloop(`i', 1, 32, `define(`P_'i, `a'i)') + forloop(`i', 1, 32, `define(`P_'eval(i +64), `c'i)') +T26,96 +PinList_DIN41_612_ab64maleforloop(`i', 1, 32, `define(`P_'i, `a'i)') + forloop(`i', 1, 32, `define(`P_'eval(i +32), `b'i)') +T17,83 +PinList_74366_dil`/G1',`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`/G2',`Vcc' +T15,3 +Param2_7483_dil300 +T15,3 +Param2_7446_dil300 +T15,3 +Param2_7409_dil300 +T16,2 +Param1_74690_dil20 +T16,2 +Param1_74653_dil24 +T16,2 +Param1_74283_dil16 +T22,10 +Description_geda_UM1_3`Crystals' +T23,30 +Description_geda_SOT23D`SMT diode (pin 1 is cathode)' +T26,42 +Description_geda_SOJ44_300`Small outline J-leaded package (300 mil)' +T24,60 +Description_geda_RCY200P`Bottom lead polar circular component (typically capacitor)' +T21,29 +Description_74689_dil`8bit identity comperator OC' +T17,90 +PinList_74595_dil`Qb',`Qc',`Qd',`Qe',`Qf',`Qg',`Qh',`Gnd',`Qh+',`/SRClr',`SRCK',`RCK',`/G',`SER',`Qa',`Vcc' +T17,463 +PKG_TO126LAY_WIDEElement(0x00 "$1" "`$2'" "$3" 80 480 1 100 0x00) +( + PIN(110, 600, 80, 52, 1) + PIN(200, 700, 80, 52, 2) + PIN(290, 600, 80, 52, 3) + + # Befestigungsbohrung + PIN(200, 170, 130, 110, 4) + + # Anschlussdraehte + ElementLine(100 600 100 500 30) + ElementLine(200 700 200 500 30) + ElementLine(300 600 300 500 30) + + # Gehaeuse + ElementLine( 50 500 350 500 20) + ElementLine(350 500 350 70 20) + ElementLine(350 70 50 70 20) + ElementLine( 50 70 50 500 20) + + Mark(100 600) +) +T12,42 +PKG_LQFP48_7PKG_LQFP_50(`$1',`$2',`$3', `48', `7',`0') +T21,54 +PKG_JOHNSTECH_QFN12_4PKG_JOHNSTECH_QFN_80(`$1',`$2',`$3', `12', `4', `210') +T24,12 +Description_geda_TO220SW`Transistor' +T24,47 +Description_geda_PLCC32X`Plastic leadless chip carrier with pin socket' +T24,46 +Description_geda_ACY300P`Axial polar component (typically capacitor),' +T23,19 +Description_connector20connector 2x10 pins +T15,3 +Param2_7497_dil300 +T14,2 +Param1_SCSI_SE25 +T16,2 +Param1_74297_dil16 +T9,42 +PKG_SSOP8PKG_SSO( `$1', `$2', `$3', 8, 323, 2559) +T10,37 +PKG_ALF300PKG_DIODE_LAY(`$1', `$2', `$3', 300) +T31,26 +Description_smd_EIA_B_capacitor`chip_capacitor_polarized' +T23,64 +Description_geda_RCY700`Bottom lead non-polar circular component (typically capacitor)' +T17,1 +Param2_connector22 +T16,3 +Param2_TL081_dil300 +T24,2 +Param1_DIN41_651_22stand22 +T11,43 +PKG_QFN40_6PKG_QFN_50S(`$1',`$2',`$3', `40', `6', `0') +T23,30 +Description_geda_SOD110`SMT diode (pin 1 is cathode)' +T22,2 +Param1_DIN41_651_22lay22 +T13,62 +PKG_SOJ14_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',14,23622,86614,50000,244094) +T21,14 +Description_MTA_156_2MTA-156 header +T25,29 +PinList_amphenol_ARFX1229`SIG',`GND',`GND',`GND',`GND' +T16,110 +PinList_2114_dil`A6', `A5', `A4', `A3', `A0', `A1', `A2', `/Ce', `Gnd', `/We', `D3', `D2', `D1', `D0', `A9', `A8', `A7', `Vcc' +T17,1 +Param2_connector42 +T13,74 +PKG_CAPC2012LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 140, 110, 160, 280, 170, 0, 0) +T22,44 +Description_uA748C_dilSingle general purpose operational amplifier +T27,37 +Description_geda_HEADER58_1`Header connector, DIP pin numbering' +T22,29 +Description_geda_DB37M`DSUB connector, female/male' +T20,20 +Description_conn_usb`USB Male Connector' +T13,62 +PKG_SOJ28_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',28,23622,86614,50000,244094) +T13,74 +PKG_CAPC2012MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 160, 150, 200, 440, 260, 0, 0) +T27,42 +Description_geda_HEADER58_2`Header connector, ribbon cable numbering' +T27,24 +Description_DIN41_651_34layDIN41.651 laying 34 pins +T17,1 +Param2_connector62 +T8,67 +PKG_SO8WCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 8, 20000, 80000, 50000, 280000) +T13,74 +PKG_CAPC2012NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 150, 130, 180, 350, 200, 0, 0) +T24,50 +Description_geda_EMI1806`3-Pin SMT EMI Filter based on standard SMT sizes' +T24,17 +Description_TI34010_plccgraphic processor +T21,31 +Description_74320_dil`crystal-controlled oscillator' +T20,16 +Description_6801_dilmicro controller +T16,155 +PinList_2764_dil`Vpp', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8', `n/c', `/PGM', `Vcc' +T23,2 +Param1_generic16smd_dil16 +T11,42 +PKG_PLCC84XPKG_PLCC_SOCKET(`$1', `$2', `$3', 84, 150) +T13,11 +PinList_BC560`E',`B',`C' +T16,71 +PinList_7421_dil`1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc' +T17,1 +Param2_connector82 +T16,3 +Param2_7451S_dil300 +T16,3 +Param2_74423_dil300 +T20,2 +Param1_oscillator_3232 +T20,2 +Param1_cy7c64603_qfp52 +T29,31 +Description_geda_DIN41651_26S`Header connector with latches' +T17,35 +Description_LM317+1.2 to +37V 1.5A voltage regulator +T17,119 +PinList_74240_dil`/1G',`1A1',`2Y4',`1A2',`2Y3',`1A3',`2Y2',`1A4',`2Y1',`Gnd',`2A1',`1Y4',`2A2',`1Y3',`2A3',`1Y2',`2A4',`1Y1',`/2G',`Vcc' +T16,3 +Param2_74652_dil300 +T16,3 +Param2_74245_dil300 +T16,2 +Param1_74120_dil16 +T16,2 +Param1_44251_zip28 +T10,43 +PKG_TSOP28PKG_TSOP( `$1', `$2', `$3', 28, 535, 2165) +T15,4635 +PKG_PLCC_SOCKETdefine(`QUARTER', `eval($4 /4)') + define(`OFFSET', eval((QUARTER +1) / 2)) + define(`BORDER', `$5') + define(`WIDTH', `eval((OFFSET+1) *100 +2*BORDER)') + define(`CENTER', `eval(WIDTH / 2)') + define(`NUMPINS', `$4') + define(`PADSIZE', `62') + define(`DRILL', `35') +Element(0x00 "$1" "`$2'" "$3" eval(BORDER+200) CENTER 0 100 0x00) + +# PLCC - 44 is a special case, pad 1 in inner row + +ifelse(eval(NUMPINS == 44 || NUMPINS == 28 || NUMPINS == 32), 1, `( + +define(`X',eval(CENTER+50)) +define(`Y',eval(BORDER+100)) +define(`count',1) + +ifelse(eval(NUMPINS == 44),1,`define(`ltr',3)',`define(`ltr',2)') +ifelse(eval(NUMPINS == 32),1,`define(`QUARTER',9)') + +# top left row +forloop(`i', 1, ltr, + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X-100), eval(Y-100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X', eval(X-100))' +) + +#left row +define(`X',eval(X-100)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X+100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y', eval(Y+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# bottom row +define(`X',eval(X+100)) +define(`Y',eval(Y+100)) +forloop(`i', 1, eval(ltr*2-1), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y-100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X', eval(X+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# right row +define(`X',eval(X+100)) +define(`Y',eval(Y-100)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X-100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y', eval(Y-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# top rigth row +define(`X',eval(X-100)) +define(`Y',eval(Y-100)) +forloop(`i', 1, eval(ltr-1), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y+100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X', eval(X-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) + +ifelse(eval(NUMPINS == 32),1,`define(`HEIGHT',eval(WIDTH+100))',`define(`HEIGHT',WIDTH)') + ElementLine(0 0 WIDTH 0 20) + ElementLine(WIDTH 0 WIDTH HEIGHT 20) + ElementLine(WIDTH HEIGHT 0 HEIGHT 20) + ElementLine(0 HEIGHT 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(eval(CENTER-50) 0 CENTER 50 10) + ElementLine(CENTER 50 eval(CENTER+50) 0 10) + + Mark(CENTER BORDER) + + +#------------------------------------------------------------------------ +# all other sockets, currently 20,52,68 and 84 pins + +)', `( + +# the default case, Pad 1 is on outer top row, in the middle + + +#top left row + +define(`X',CENTER) +define(`Y',BORDER) +define(`count',1) +forloop(`i', 1, eval((QUARTER-1)/4), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y+100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X',eval(X-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# left row +define(`Y',eval(BORDER+100)) +define(`X',BORDER) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X+100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y',eval(Y+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# bottom row +define(`X',eval(BORDER+100)) +define(`Y',eval(WIDTH-BORDER)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y-100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X',eval(X+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# right row +define(`X',eval(WIDTH-BORDER)) +define(`Y',eval(WIDTH-BORDER-100)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X-100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y',eval(Y-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +#top right row + +define(`X',eval(WIDTH-BORDER-100)) +define(`Y',BORDER) +forloop(`i', 1, eval((QUARTER-1)/4), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y+100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X',eval(X-100))' +) + + ElementLine(0 0 WIDTH 0 20) + ElementLine(WIDTH 0 WIDTH WIDTH 20) + ElementLine(WIDTH WIDTH 0 WIDTH 20) + ElementLine(0 WIDTH 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(eval(CENTER-50) 0 CENTER 50 10) + ElementLine(CENTER 50 eval(CENTER+50) 0 10) + + Mark(CENTER BORDER) + +)') +T27,37 +Description_geda_HEADER62_1`Header connector, DIP pin numbering' +T23,62 +Description_geda_ACY100`Axial non-polar component (typically resistor or capacitor),' +T22,18 +Description_connector2connector 2x1 pins +T21,64 +Description_74193_dil`syncronous 4bit binary up/down counter w. dual-clock and clear' +T21,21 +Description_74156_dil`2 2-to-4 decoder OC' +T20,12 +Description_7402_dil`4 dual-NOR' +F4,4 +defndefn +T16,75 +PinList_7472_dil`NC',`/Clr',`J1',`J2',`J3',`/Q',`Gnd',`Q',`K1',`K2',`K3',`Clk',`/Pre',`Vcc' +T34,3 +Param1_generic_capacitor_axial_600600 +T23,2 +Param1_generic28smd_dil28 +T17,44 +PKG_TQFP128_14_EPPKG_LQFP_40(`$1',`$2',`$3',`128',`14',`970') +T6,50 +PKG_NSPKG_DIL(`$1', `$2', `$3', `$4', `$5', 70, 55, 28) +T25,39 +Description_geda_TQFN28_5`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ40_450`Small outline J-leaded package (450 mil)' +T26,38 +Description_geda_RESC1005L`Standard SMT resistor, capacitor etc' +T27,42 +Description_geda_HEADER62_2`Header connector, ribbon cable numbering' +T21,28 +Description_74385_dil`4 serial adder/substractor' +T21,32 +Description_74348_dil`8-to-3 priority encode 3-state' +T17,89 +PinList_74624_dil`Osc-Gnd',`RNG',`CX1',`CX2',`/En',`Y',`Gnd',`Z',`Vcc',`NC',`NC',`NC',`FreqCont',`Osc-Vcc' +T16,3 +Param2_74629_dil300 +T16,3 +Param2_74259_dil300 +T16,2 +Param1_74541_dil20 +T16,2 +Param1_74171_dil16 +T16,2 +Param1_74134_dil16 +T6,50 +PKG_NTPKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28) +T26,38 +Description_geda_RESC1005M`Standard SMT resistor, capacitor etc' +T22,18 +Description_connector4connector 2x2 pins +T20,34 +Description_7490_dil`decade counter w. clear/set-to-9' +T20,30 +Description_7453_dil`AND-OR inverter (4x2 inputs)' +T20,29 +Description_7416_dil`6 inverters OC high-voltage' +T16,71 +PinList_7486_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T16,61 +PinList_7449_dil`B',`C',`/BI',`D',`A',`e',`Gnd',`d',`c',`b',`a',`g',`f',`Vcc' +T17,93 +PinList_74446_dil`/GBA',`A1',`Dir2',`A2',`A3',`Dir3',`A4',`Gnd',`B4',`Dir4',`B3',`B2',`Dir1',`B1',`/GAB',`Vcc' +T20,3 +Param1_MOLEX_025_200200 +T15,2 +Param1_7411_dil14 +T10,43 +PKG_QSOP16PKG_SSO( `$1', `$2', `$3', 16, 244, 2500) +T14,39 +PKG_HEADER38_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 19) +T26,38 +Description_geda_RESC1005N`Standard SMT resistor, capacitor etc' +T27,39 +Description_geda_QFN40_6_EP`Square Quad Flat Nolead (QFN) package' +T21,37 +Description_74399_dil`4 dual-input multiplexor w. storage' +T15,22 +PinList_uA7805C`In',`Com',`Out',`Com' +T17,102 +PinList_74638_dil`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/G',`Vcc' +T16,2 +Param1_74592_dil16 +T16,2 +Param1_74518_dil20 +T16,2 +Param1_74148_dil16 +T14,38 +PKG_HEADER38_2PKG_CONNECTOR(`$1', `$2', `$3', 19, 2) +T10,34 +PKG_DIP16MPKG_N(`$1', `$2', `$3', 16, 400) +T24,12 +Description_geda_TO126SW`Transistor' +T22,18 +Description_connector6connector 2x3 pins +T16,2 +Param1_74377_dil20 +T15,2 +Param1_7425_dil14 +T38,16 +Description_generic_resistor_axial_600`resistor_axial' +T23,24 +Description_geda_SOT143`SMT transistor, 4 pins' +T26,42 +Description_geda_SOJ24_400`Small outline J-leaded package (400 mil)' +T26,38 +Description_geda_INDC1005L`Standard SMT resistor, capacitor etc' +T17,103 +PinList_74689_dil`/G',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T20,3 +Param1_MOLEX_025_240240 +T16,2 +Param1_74199_dil24 +T9,71 +PKG_TO247GENERIC_PL_POWER(`$1', `$2', `$3', 3, 219, 630, 210, 130,100, 60, 0) +T14,44 +PKG_QFN32_7_EPPKG_QFN_65(`$1',`$2',`$3', `32', `7', `470') +T19,28 +Description_uA7885C+8.5V 1.5A voltage regulator +T25,39 +Description_geda_TQFN32_5`Square Quad Flat Nolead (QFN) package' +T26,38 +Description_geda_INDC1005M`Standard SMT resistor, capacitor etc' +T22,18 +Description_connector8connector 2x4 pins +T21,48 +Description_TL072_dilDual low noise JFET input operational amplifiers +T15,2 +Param1_7476_dil16 +T15,2 +Param1_7439_dil14 +T11,72 +PKG_QFP_80LPKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `80',`50',`20',`100',`0',`$6') +T26,42 +Description_geda_SOJ38_400`Small outline J-leaded package (400 mil)' +T26,38 +Description_geda_INDC1005N`Standard SMT resistor, capacitor etc' +T24,62 +Description_geda_ACY1200`Axial non-polar component (typically resistor or capacitor),' +T21,38 +Description_geda_0201`Standard SMT resistor, capacitor etc' +T23,14 +Description_crystal_300crystal 300mil +T20,168 +PinList_GAL22V10_dil`CLK/I1',`I2',`I3',`I4',`I5',`I6',`I7',`I8',`I9',`I10',`I11',`Gnd',`I12',`I/O/Qj',`I/O/Qi',`I/O/Qh',`I/O/Qg',`I/O/Qf',`I/O/Qe',`I/O/Qd',`I/O/Qc',`I/O/Qb',`I/O/Qa',`Vcc' +T33,3 +Param1_generic_resistor_axial_400400 +T13,41 +PKG_QFP160_28PKG_QFP_65(`$1',`$2',`$3',`160',`28',`0') +T14,39 +PKG_HEADER42_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 21) +T27,28 +Description_geda_TQFP208_28`Square Quad-side flat pack' +T25,39 +Description_geda_TQFN32_7`Square Quad Flat Nolead (QFN) package' +T28,31 +Description_geda_DIN41651_40`Header connector with latches' +T12,55 +PKG_TSSOP56NCOMMON_SMT_DIL_MM(`$1',`$2',`$3', 56, 25, 150, 40, 440) +T14,38 +PKG_HEADER42_2PKG_CONNECTOR(`$1', `$2', `$3', 21, 2) +T15,60 +PKG_CTS_743C_04COMMON_SMT_DIL_MIL(`$1',`$2',`$3',4,32000,47000,50000,28000) +T29,44 +Description_amphenol_ARFX1229Amphenol ARFX1229 Vertical SMA Connector (M) +T16,2 +Param1_Z8536_dil40 +T25,28 +Description_geda_QFP80_14`Square Quad-side flat pack' +T19,3 +Param2_PIC16C64_dil600 +T14,44 +PKG_QFN28_5_EPPKG_QFN_50(`$1',`$2',`$3', `28', `5', `310') +T22,20 +Description_geda_OSC14`Crystal oscillator' +T23,32 +Description_geda_ALF500`Axial diode (pin 1 is cathode)' +T18,171 +PinList_628128_dil`NC',`A16',`A14',`A12',`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`GND',`D3',`D4',`D5',`D6',`D7',`/CS',`A10',`/OE',`A11',`A9',`A8',`A13',`/WE',`NC',`A15',`Vcc' +T15,3 +Param2_L297_dil300 +T16,3 +Param2_74540_dil300 +T16,3 +Param2_74170_dil300 +T16,3 +Param2_74133_dil300 +T22,2 +Param1_DIN41_651_50lay50 +T21,54 +PKG_JOHNSTECH_QFN36_6PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `36', `6', `370') +T10,58 +PKG_HC49UHPKG_CRYSTAL_H(`$1', `$2', `$3', 192, 435, 515, 60, 32, 2) +T25,12 +Description_oscillator_32`oscillator' +T28,39 +Description_geda_TQFN16_4_EP`Square Quad Flat Nolead (QFN) package' +T22,12 +Description_geda_TO220`Transistor' +T17,100 +PinList_74320_dil`Tank1',`Tank2',`Gnd1',`FFQ',`FFD',`NC',`F',`Gnd2',`/F+',`F+',`Vcc+',`/F',`NC',`Xtal1',`Xtal2',`Vcc' +T21,3 +Param2_generic44_plcc150 +T15,3 +Param2_7400_dil300 +T15,60 +PKG_CTS_743C_08COMMON_SMT_DIL_MIL(`$1',`$2',`$3',8,32000,47000,50000,28000) +T23,12 +Description_geda_TO220S`Transistor' +T26,42 +Description_geda_SOJ42_350`Small outline J-leaded package (350 mil)' +T17,20 +Description_BC337bipolar-N transistor +T21,49 +Description_74606_dil`8 2-input glitch-free multiplexed latch 3-state' +T21,22 +Description_74273_dil`8 D-type FF w. clear' +T18,100 +PinList_514100_dil`Din',`/We',`/Ras',`A0',`A1',`A2',`A3',`A4',`Vcc',`A5',`A6',`A7',`A8',`A9',`A10',`/Cas',`Dout',`Gnd' +T20,3 +Param2_MAB8031AH_dil600 +T16,3 +Param2_74591_dil300 +T16,3 +Param2_74147_dil300 +T21,18 +Description_74465_dil`8 buffer 3-state' +T16,3 +Param2_74376_dil300 +T15,3 +Param2_7414_dil300 +T17,3 +Param2_511000_dil300 +T24,2 +Param1_smd_capacitor_60360 +T16,2 +Param1_74621_dil20 +T16,2 +Param1_74251_dil16 +T19,717 +PKG_SUBD_FEMALE_LAYdefine(`SUBD_SUBFUNCTION', + ` + define(`NOSMUDGE', 40) + # Pin 1 + PIN(eval(BASEX+56), PY2, 60, 35, 1) + ElementLine(eval(BASEX+56-NOSMUDGE) PY2 X2 PY2 20) + + # Plazierungsmarkierung == PIN 1 + # Changed PY1 to PY2 13-Dec-1999 LRD + Mark(eval(BASEX +56) PY2) + + # Remainder of the first row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +($4/2-i)*108)) + PIN(eval(BASEX+56), Y, 60, 35, eval($4/2+i+2-OFFSET)) + ElementLine(eval(BASEX+56-NOSMUDGE) Y X2 Y 20) + ') + + # Second row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +($4/2-i)*108+54)) + PIN(eval(BASEX-56), Y, 60, 35, eval($4/2+i+1)) + ElementLine(eval(BASEX-56-NOSMUDGE) Y X2 Y 20) + ') + ') + PKG_SUBD_LAY_BASE(`$1', `$2', `$3', `$4') + +T10,35 +PKG_PLCC32PKG_PLCC(`$1', `$2', `$3', 32, 150) +T13,39 +PKG_HEADER6_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 3) +T20,26 +Description_uA78L05C+5V 0.1A voltage regulator +T27,28 +Description_geda_LQFP100_10`Square Quad-side flat pack' +T17,85 +PinList_74193_dil`B',`Qb',`Qa',`Down',`Up',`Qc',`Qd',`Gnd',`D',`C',`/Load',`/CO',`/BO',`Clr',`A',`Vcc' +T17,90 +PinList_74156_dil`1C',`/1G',`B',`1Y3',`1Y2',`1Y1',`1Y0',`Gnd',`2Y0',`2Y1',`2Y2',`2Y3',`A',`/2G',`/2C',`Vcc' +T16,3 +Param2_74198_dil300 +T16,2 +Param1_74443_dil20 +T17,2 +Param1_628128_dil32 +T13,74 +PKG_RESC2012LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 130, 100, 160, 280, 160, 20, 130) +T13,38 +PKG_HEADER6_2PKG_CONNECTOR(`$1', `$2', `$3', 3, 2) +T20,26 +Description_uA79M08C-8V 0.5A voltage regulator +T25,29 +Description_MOLEX_025_200Molex .025 pitch 200 pin plug +T17,123 +PinList_74385_dil`Clk',`1Sum',`1S-/A',`1B',`1A',`2A',`2B',`2S-/A',`2Sum',`Gnd',`Clr',`3Sum',`3S-/A',`3B',`3A',`4A',`4B',`4S-/A',`4Sum',`Vcc' +T17,73 +PinList_74348_dil`4',`5',`6',`7',`W1',`A2',`A1',`Gnd',`A0',`0',`1',`2',`3',`GS',`E0',`Vcc' +T15,3 +Param2_7465_dil300 +T15,3 +Param2_7428_dil300 +T25,3 +Param1_smd_capacitor_1206120 +T16,2 +Param1_74672_dil20 +T16,2 +Param1_74265_dil16 +T13,74 +PKG_RESC2012MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 150, 140, 200, 440, 250, 20, 150) +T21,55 +PKG_JOHNSTECH_QFN40_6PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `40', `6', `410') +T23,12 +Description_geda_TO220W`Transistor' +T26,42 +Description_geda_SOJ26_300`Small outline J-leaded package (300 mil)' +T20,3 +Param2_AT90S1300_dil300 +T17,2 +Param1_514100_dil18 +T10,46 +PKG_SOT223PKG_SMT_TRANSISTOR4X(`$1', `$2', `$3', 46, 62) +T13,74 +PKG_RESC2012NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 140, 120, 180, 350, 190, 20, 140) +T20,26 +Description_uA78L09C+9V 0.1A voltage regulator +T44,56 +Description_nichicon_NICHICON_WT_CAP_6p3_7p7`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T17,82 +PinList_74399_dil`WS',`Qa',`A1',`A2',`B2',`B1',`Qb',`Gnd',`Clk',`Qc',`C1',`C2',`D2',`D1',`Qd',`Vcc' +T16,2 +Param1_74686_dil24 +T16,2 +Param1_74649_dil24 +T16,2 +Param1_74279_dil16 +T10,44 +PKG_TANT_APKG_SMT_2PAD_EIA( `$1', `$2', `$3', 32, 16) +T11,69 +PKG_EMI0603COMMON_SMT_3PAD_MIL( `$1', `$2', `$3', 24, 24, 63, 47, 16, 10, 10) +T22,16 +Description_geda_TO264`diode in TO220' +T27,28 +Description_geda_LQFP100_14`Square Quad-side flat pack' +T25,29 +Description_MOLEX_025_240Molex .025 pitch 240 pin plug +T10,44 +PKG_TANT_BPKG_SMT_2PAD_EIA( `$1', `$2', `$3', 35, 28) +T25,33 +Description_geda_QFP100_R`Rectangular Quad-side flat pack' +T21,2 +Param1_generic28w_dil28 +T10,44 +PKG_TANT_CPKG_SMT_2PAD_EIA( `$1', `$2', `$3', 60, 32) +T27,28 +Description_geda_TQFP100_12`Square Quad-side flat pack' +T23,64 +Description_geda_RCY200`Bottom lead non-polar circular component (typically capacitor)' +T26,38 +Description_geda_INDC4509L`Standard SMT resistor, capacitor etc' +T17,55 +PinList_TL072_dil`Out1',`In1-',`In1+',`Vcc-',`In2+',`In2-',`Out2',`Vcc+' +T17,3 +Param2_MAX233_dil300 +T10,44 +PKG_TANT_DPKG_SMT_2PAD_EIA( `$1', `$2', `$3', 73, 43) +T20,106 +PKG_SMT_TRANSISTOR4XCOMMON_SMT_TRANSISTORX_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 50, `1234') +T15,40 +PKG_DIN41651_40PKG_DIN41_651LAY( `$1', `$2', `$3', 40) +T33,10 +Description_ovenized_oscillator_5`ovenized' +T43,32 +Description_minicircuits_MINICIRCUITS_CA531`Mini-Circuits CA Style Package' +T26,38 +Description_geda_INDC4509M`Standard SMT resistor, capacitor etc' +T22,9 +Description_511000_dilDRAM 1Mx1 +T16,125 +PinList_2732_dil`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8',`Vcc' +T13,62 +PKG_SOJ40_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,196850) +T27,28 +Description_geda_TQFP100_14`Square Quad-side flat pack' +T26,38 +Description_geda_INDC4509N`Standard SMT resistor, capacitor etc' +T20,17 +Description_2114_dil`Static RAM 1Kx4' +T16,22 +PinList_uA78M10C`In',`Com',`Out',`Com' +T17,3 +Param2_MAX691_dil300 +T28,39 +Description_geda_QFN68_10_EP`Square Quad Flat Nolead (QFN) package' +T27,37 +Description_geda_HEADER16_1`Header connector, DIP pin numbering' +T16,3 +Param2_74620_dil300 +T15,3 +Param2_6116_dil600 +T28,39 +Description_geda_TQFN24_4_EP`Square Quad Flat Nolead (QFN) package' +T27,42 +Description_geda_HEADER16_2`Header connector, ribbon cable numbering' +T21,48 +Description_74161_dil`syncronous 4bit binary counter w. async. clear' +T21,34 +Description_74124_dil`2 voltage controlled oszillators' +T16,71 +PinList_7440_dil`1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc' +T16,71 +PinList_7403_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T21,3 +Param2_generic52_plcc150 +T16,3 +Param2_74442_dil300 +T13,43 +PKG_TQFN68_10PKG_QFN_50(`$1',`$2',`$3', `68', `10', `0') +T15,44 +PKG_TQFN16_4_EPPKG_QFN_65(`$1',`$2',`$3', `16', `4', `210') +T24,37 +Description_geda_EIA3528`Tantalum SMT capacitor (pin 1 is +)' +T29,31 +Description_geda_DIN41612C64F`DIN connector, 96 pin housing' +T21,61 +Description_74390_dil`2 decade counter w. individual clocks/clear (divide by 2/5)' +T21,44 +Description_74353_dil`2 4-to-1 data selector/multiplexor 3-state' +T20,12 +Description_2764_dil`EPROM 8Kx8' +T16,3 +Param2_74671_dil300 +T13,74 +PKG_CAPC1608LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 90, 65, 120, 200, 120, 0, 0) +T20,12 +Description_7421_dil`2 quad-AND' +T21,32 +Description_74175_dil`4 D-type FF double-rail output' +T21,16 +Description_74138_dil`3-to-8 decoder' +T16,69 +PinList_7491_dil`NC',`NC',`NC',`NC',`Vcc',`NC',`NC',`NC',`Clk',`Gnd',`B',`A',`Q',`/Q' +T16,62 +PinList_7454_dil`A',`C',`D',`E',`F',`NC',`Gnd',`Y',`G',`H',`NC',`NC',`B',`Vcc' +T19,104 +PKG_SMT_TRANSISTOR2COMMON_SMT_TRANSISTOR_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 50, `231') +T13,74 +PKG_CAPC1608MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 110, 85, 140, 270, 150, 0, 0) +T27,9 +Description_generic208_lqfp`generic' +T25,39 +Description_geda_TQFN56_8`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ22_450`Small outline J-leaded package (450 mil)' +T29,31 +Description_geda_DIN41651_50S`Header connector with latches' +T21,18 +Description_74367_dil`6 bus drivers OC' +T17,144 +PinList_74606_dil`Clk',`A-/B',`A1',`B1',`A2',`B2',`A3',`B3',`A4',`B4',`Y4',`Y3',`Y2',`Gnd',`Y1',`Y5',`Y6',`Y7',`Y8',`B8',`A8',`B7',`A7',`B6',`A6',`B5',`A5',`Vcc' +T17,104 +PinList_74273_dil`/Clr',`1Q',`1D',`2D',`2Q',`3Q',`3D',`4D',`4Q',`Gnd',`Clk',`5Q',`5D',`6D',`6Q',`7Q',`7D',`8D',`8Q',`Vcc' +T16,3 +Param2_74685_dil300 +T16,3 +Param2_74648_dil300 +T16,3 +Param2_74278_dil300 +T16,2 +Param1_74190_dil16 +T16,2 +Param1_74153_dil16 +T16,2 +Param1_74116_dil24 +T19,104 +PKG_SMT_TRANSISTOR3COMMON_SMT_TRANSISTOR_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 50, `123') +T10,63 +PKG_QFN_40PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `40',`25',`60', `$6') +T7,695 +PKG_OSCElement(0x00 "$1" "`$2'" "$3" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + + Mark(100 100) +) +T13,74 +PKG_CAPC1608NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 75, 130, 230, 130, 0, 0) +T24,47 +Description_geda_PLCC68X`Plastic leadless chip carrier with pin socket' +T27,37 +Description_geda_HEADER20_1`Header connector, DIP pin numbering' +T22,45 +Description_MAX233_dildual RS232 driver without external components +T20,33 +Description_7472_dil`AND-gated MS-FF w. preset/clear' +T21,40 +Description_74596_dil`8bit shift register w. output latch OC' +T16,22 +PinList_uA78M18C`In',`Com',`Out',`Com' +T16,103 +PinList_7468_dil`1ClkA',`1Qb',`1Qd',`/1Clr',`2Qc',`NC',`2Qa',`Gnd',`2Clk',`2Qb',`/2Clr',`2Qd',`1Qc',`1Qa',`1ClkB',`Vcc' +T17,103 +PinList_74465_dil`/G1',`A1',`Y1',`A2',`Y2',`A3',`Y3',`A4',`Y4',`Gnd',`Y5',`A5',`Y6',`A6',`Y7',`A7',`Y8',`A8',`/G2',`Vcc' +T24,2 +Param2_smd_capacitor_80550 +T17,3 +Param2_DS1220_dil600 +T16,2 +Param1_74382_dil20 +T15,2 +Param1_7430_dil14 +T19,105 +PKG_SMT_TRANSISTOR4COMMON_SMT_TRANSISTOR_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 50, `1234') +T26,42 +Description_geda_SOJ36_450`Small outline J-leaded package (450 mil)' +T27,42 +Description_geda_HEADER20_2`Header connector, ribbon cable numbering' +T16,3 +Param2_74699_dil300 +T20,2 +Param1_AT90S1200_dil20 +T16,2 +Param1_74574_dil20 +T16,2 +Param1_74167_dil16 +T19,106 +PKG_SMT_TRANSISTOR5COMMON_SMT_TRANSISTOR_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 50, `12345') +T20,49 +PKG_PCI5V_MAX_HEIGHTPKG_PCIPINARRAY(`$1', `$2', `$3', 4200,49,100,11) +T38,42 +Description_panasonic_PANASONIC_EXB34V`Panasonic EXB Series Chip Resistor Array' +T22,60 +Description_MAX691_diluP supervisor w. watchdog, chip-enable and power-fail signal +T29,26 +Description_DIN41_651_20standDIN41.651 standing 20 pins +T20,12 +Description_7486_dil`4 dual-XOR' +T20,46 +Description_7449_dil`BCD to 7-segment driver OC w. blanking input' +T16,2 +Param1_LM324_dil14 +T16,2 +Param1_74396_dil16 +T15,2 +Param1_7407_dil14 +T19,107 +PKG_SMT_TRANSISTOR6COMMON_SMT_TRANSISTOR_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 50, `123456') +T10,63 +PKG_QFN_80PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `80',`42',`60', `$6') +T13,879 +PKG_AXIAL_LAYdefine(`X1', `eval(`$4' /4)') + define(`X2', `eval(`$4' -X1)') + define(`Y1', `eval(X1 /3)') + define(`Y2', `eval(Y1 *2)') + define(`PINSIZE', `ifelse(eval($4 >= 600), 1, 80, 55)') + define(`DRILLSIZE', `ifelse(eval($4 >= 600), 1, 50, 30)') + define(`YPT', `eval(Y1 - PINSIZE/2 - 10)') + define(`YPC', `eval(YPT - 20)') + define(`YPB', `eval(YPT - 40)') +Element(0x00 "$1" "`$2'" "$3" eval(X2+20) eval(Y2+20) 0 100 0x00) +( + PIN(0, Y1, PINSIZE, DRILLSIZE, 1) + PIN($4, Y1, PINSIZE, DRILLSIZE, 2) + + ElementLine(0 Y1 X1 Y1 10) + ElementLine(X2 Y1 $4 Y1 10) + + ElementLine(X1 0 X2 0 10) + ElementLine(X2 0 X2 Y2 10) + ElementLine(X2 Y2 X1 Y2 10) + ElementLine(X1 Y2 X1 0 10) + + ifelse(1, $5, + ElementLine(0 YPB 0 YPT 10) + ElementLine(-20 YPC 20 YPC 10) + ElementLine(`eval($4 - 20)' YPC `eval($4 + 20)' YPC 10) + ) + +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + + Mark(0 Y1) +) +T27,24 +Description_DIN41_651_16layDIN41.651 laying 16 pins +T24,13 +PinList_PCI5V_AVE_HEIGHTPinList_PCI5V +T8,30 +PKG_LED3PKG_LED(`$1', `$2', `$3', 118) +T23,45 +Description_geda_SSOP20`Shrink small outline package, .65mm, narrow' +T24,60 +Description_geda_RCY350P`Bottom lead polar circular component (typically capacitor)' +T29,31 +Description_geda_DIN41612C64M`DIN connector, 96 pin housing' +T15,2 +Param1_7495_dil14 +T38,42 +Description_panasonic_PANASONIC_EXB38V`Panasonic EXB Series Chip Resistor Array' +T20,498 +PinList_TI34010_plcc`Gnd',`Run/Emu',`/Reset',`VClk',`InClk',`/LInt1',`/LInt2',`/Hold',`LRdy',`LAD0',`LAD1',`LAD2',`LAD3',`LAD4',`LAD5',`LAD6',`LAD7',`Gnd',`LAD8',`LAD9',`LAD10',`LAD11',`LAD12',`LAD13',`LAD14',`LAD15',`Vcc',`LClk1',`LClk2',`/HSync',`/VSync',`/Blank',`/Hlda/Emu',`/LAL',`Gnd',`DDout',`/DEn',`/RAS',`/CAS',`/We',`/TRQE',`/HInt',`HRdy',`HD15',`HD14',`HD13',`HD12',`HD11',`HD10',`HD9',`HD8',`Gnd',`HD7',`HD6',`HD5',`HD4',`HD3',`HD2',`HD1',`HD0',`Vcc',`/HUDS',`/HLDS',`/HRead',`/HWrite',`/HCs',`HFS0',`HFS1' +T12,43 +PKG_TQFN16_3PKG_QFN_50S(`$1',`$2',`$3', `16', `3', `0') +T8,30 +PKG_LED5PKG_LED(`$1', `$2', `$3', 236) +T12,42 +PKG_TQFN16_4PKG_QFN_65(`$1',`$2',`$3', `16', `4', `0') +T16,2 +Param1_TL083_dil14 +T12,42 +PKG_TQFN16_5PKG_QFN_80(`$1',`$2',`$3', `16', `5', `0') +T24,49 +Description_geda_TSSOP80`Thin shrink small outline package, wide (6.1mm)' +T23,45 +Description_geda_SSOP24`Shrink small outline package, .65mm, narrow' +T24,30 +Description_geda_DO214AB`SMT diode (pin 1 is cathode)' +T22,13 +Description_DS1220_dil`NVSRAM 2Kx8' +T20,2 +Param1_generic64_dil64 +T13,62 +PKG_SOJ20_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,291339) +T21,43 +Description_74241_dil`8 buffer/driver 3-state w. pos/neg enable' +T16,3 +Param2_74522_dil300 +T15,2 +Param1_SUBD_15F15 +T18,2 +Param1_GAL20V8_dil24 +T15,45 +PKG_TQFN24_4_EPPKG_QFN_50S(`$1',`$2',`$3', `24', `4', `260') +T13,103 +PinList_PCI5VPL_PCISideB1,PL_PCISideB2,PL_PCISideB3,PL_PCISideB5,PL_PCISideA1,PL_PCISideA2,PL_PCISideA3,PL_PCISideA5 +T16,3 +Param2_74381_dil300 +T13,62 +PKG_SOJ34_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,291339) +T19,27 +Description_uA7912C-12V 1.5A voltage regulator +T26,42 +Description_geda_SOJ24_350`Small outline J-leaded package (350 mil)' +T21,54 +Description_74625_dil`2 voltage controlled oscillator w. complement output' +T21,41 +Description_74292_dil`programmable divider/timer (2 power 31)' +T16,16 +PinList_uA79L05C`Out',`In',`Com' +T15,21 +PinList_uA7910C`Com',`In',`Out',`In' +T17,86 +PinList_74161_dil`/Clr',`Clk',`A',`B',`C',`D',`ENP',`Gnd',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc' +T17,109 +PinList_74124_dil`2FC',`1FC',`1Rng',`1CX1',`1CX2',`/1En',`1Y',`Osc-Gnd',`Gnd',`2Y',`/2En',`2CX1',`2CX2',`2Rng',`Osc-Vcc',`Vcc' +T16,3 +Param2_74573_dil300 +T16,3 +Param2_74166_dil300 +T12,42 +PKG_TQFN20_4PKG_QFN_50(`$1',`$2',`$3', `20', `4', `0') +T23,45 +Description_geda_SSOP28`Shrink small outline package, .65mm, narrow' +T31,33 +Description_bourns_BOURNS_3224G`Bourns 3224 Series SMT Trim Pot' +T17,105 +PinList_74390_dil`1ClkA',`1Clr',`1Qa',`1ClkB',`1Qb',`1Qc',`1Qd',`Gnd',`2Qd',`2Qc',`2Qb',`2ClkB',`2Qa',`2Clr',`2ClkA',`Vcc' +T17,89 +PinList_74353_dil`/1G',`B',`1C3',`1C2',`1C1',`1C0',`1Y',`Gnd',`2Y',`2C0',`2C1',`2C2',`2C3',`A',`/2G',`Vcc' +T15,3 +Param2_7470_dil300 +T16,3 +Param2_74395_dil300 +T15,3 +Param2_7433_dil300 +T24,3 +Param1_smd_resistor_1206120 +T16,2 +Param1_74640_dil20 +T12,42 +PKG_TQFN20_5PKG_QFN_65(`$1',`$2',`$3', `20', `5', `0') +T32,12 +Description_generic_smd_chip_805smd chip 805 +T24,49 +Description_geda_TSSOP48`Thin shrink small outline package, wide (6.1mm)' +T26,42 +Description_geda_SOJ38_350`Small outline J-leaded package (350 mil)' +T22,30 +Description_geda_DO214`SMT diode (pin 1 is cathode)' +T21,48 +Description_74639_dil`8 bus tranceiver OC A-output, 3-state B-output' +F7,7 +dumpdefdumpdef +T17,88 +PinList_74175_dil`/Clr',`1Q',`/1Q',`1D',`2D',`/2Q',`2Q',`Gnd',`Clk',`3Q',`/3Q',`3D',`4D',`/4Q',`4Q',`Vcc' +T17,82 +PinList_74138_dil`A',`B',`C',`/G2A',`/G2B',`G1',`Y7',`Gnd',`Y6',`Y5',`Y4',`Y3',`Y2',`Y1',`Y0',`Vcc' +T13,887 +PKG_MOLEX_025define(`PINPAIRS',`eval($4/2)') +Element(0x00 "$1" "`$2'" "$3" 0 0 3 100 0x00) +( + forloop(`i', 1, PINPAIRS, + `define(`Y', eval(25*(i-1)) )' + `PAD( 54, Y, 111, Y, 14, eval(i*2-1))' + `PAD(-111, Y, -54, Y, 14, eval(i*2))' + ) + define(`ENDY',eval(25*(PINPAIRS-1))) + + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 eval(ENDY+50) 43 28 "M2" 0x01) + + # ends of mounting pads are 71 and 169 mils from end pad centers + PAD(0, -110, 0, -130, 79, M3) + PAD(0, eval(ENDY+110), 0, eval(ENDY+130), 79, M4) + + define(`BOXY',eval(ENDY+150)) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 BOXY 10) + ElementLine( 100 BOXY -100 BOXY 10) + ElementLine(-100 BOXY -100 -150 10) + + # Support for aggregate parts built from this base, like + # the nanoEngine below. + ifdef(`MOLEX_EXTRA', `MOLEX_EXTRA', ) +) +T17,95 +PinList_74367_dil`/G1',`1A1',`1Y1',`1A2',`1Y2',`1A3',`1Y3',`Gnd',`1Y4',`1A4',`2Y1',`2A1',`2Y2',`2A2',`/G2',`Vcc' +T15,3 +Param2_7447_dil300 +T16,2 +Param1_74691_dil20 +T16,2 +Param1_74247_dil16 +T24,43 +Description_geda_SSOP56W`Shrink small outline package, 25mil, wide' +T25,46 +Description_geda_ACY1300P`Axial polar component (typically capacitor),' +T31,33 +Description_bourns_BOURNS_3224J`Bourns 3224 Series SMT Trim Pot' +T25,33 +Description_AT90S1200_dilAVR Enhanced RISC microcontroller +F4,4 +evaleval +T17,90 +PinList_74596_dil`Qb',`Qc',`Qd',`Qe',`Qf',`Qg',`Qh',`Gnd',`Qh+',`/SRClr',`SRCK',`RCK',`/G',`SER',`Qa',`Vcc' +T20,3 +Param2_PEB2086N_plcc150 +T19,2 +Param1_MOLEX_025_2020 +T16,40 +PKG_DIN41651_34SPKG_DIN41_651STAND(`$1', `$2', `$3', 34) +T28,29 +Description_geda_MULTIWATT11`Power IC, as in MULTIWATT15' +T15,2 +Param1_SUBD_15M15 +T16,2 +Param1_74668_dil16 +T16,2 +Param1_74298_dil16 +T13,41 +PKG_QFP208_28PKG_QFP_50(`$1',`$2',`$3',`208',`28',`0') +T33,13 +Description_generic_smd_chip_1206smd chip 1206 +T22,38 +Description_geda_01005`Standard SMT resistor, capacitor etc' +T15,21 +PinList_uA7918C`Com',`In',`Out',`In' +T16,3 +Param2_TL082_dil300 +T35,3 +Param1_generic_capacitor_radial_500500 +T12,34 +PKG_TO220ACSPKG_TO220ACSTAND(`$1', `$2', `$3') +T26,38 +Description_geda_CAPC2012L`Standard SMT resistor, capacitor etc' +T24,28 +Description_MOLEX_025_30Molex .025 pitch 30 pin plug +T19,2 +Param1_MOLEX_025_6060 +T13,74 +PKG_RESC1608LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 90, 50, 120, 200, 120, 0, 90) +T10,36 +PKG_ACY500PKG_AXIAL_LAY(`$1', `$2', `$3', 500) +T39,50 +Description_johnstech_JOHNSTECH_QFN28_5`Johnstech QFN Socket, Series 1MM (724812-724839)' +T27,37 +Description_geda_HEADER44_1`Header connector, DIP pin numbering' +T26,38 +Description_geda_CAPC2012M`Standard SMT resistor, capacitor etc' +T25,2 +Param2_smd_capacitor_120660 +T13,74 +PKG_RESC1608MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 110, 70, 150, 270, 150, 10, 110) +T25,79 +PKG_NICHICON_WT_CAP_8_5p4PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `350', `230', `850', `850', `590') +T22,60 +PKG_MINICIRCUITS_CA531COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,20000,50000,37000,40000) +T28,29 +Description_geda_MULTIWATT15`Power IC, as in MULTIWATT15' +T27,42 +Description_geda_HEADER44_2`Header connector, ribbon cable numbering' +T22,29 +Description_geda_DB15F`DSUB connector, female/male' +T26,38 +Description_geda_CAPC2012N`Standard SMT resistor, capacitor etc' +T35,23 +Description_amp_AMP_MICTOR_767054_1`Amp Mictor Connectors' +T11,71 +PKG_TO247_2GENERIC_PL_POWER(`$1', `$2', `$3', 2, 438, 630, 210, 130,100, 60, 0) +T13,62 +PKG_SOJ22_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,196850) +T13,74 +PKG_RESC1608NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 60, 140, 230, 130, 0, 0) +T35,23 +Description_amp_AMP_MICTOR_767054_2`Amp Mictor Connectors' +T24,28 +Description_MOLEX_025_70Molex .025 pitch 70 pin plug +T26,3 +Param1_smd_EIA_A_capacitor120 +T12,40 +PKG_ACY1600PPKG_AXIAL_LAY(`$1', `$2', `$3', 1600, 1) +T25,9 +Description_generic64_dil`generic' +T21,21 +Description_geda_MPAK`Pressure transducer' +T35,23 +Description_amp_AMP_MICTOR_767054_3`Amp Mictor Connectors' +T21,50 +Description_74321_dil`crystal-controlled oscillator w. F/2,F/4 outputs' +T20,12 +Description_2732_dil`EPROM 4Kx8' +T13,62 +PKG_SOJ36_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,196850) +T11,72 +PKG_LQFP_65PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `65',`40', `5', `50',`0',`$6') +T20,27 +Description_uA78M10C+10V 0.5A voltage regulator +T38,42 +Description_panasonic_PANASONIC_EXBS8V`Panasonic EXB Series Chip Resistor Array' +T26,28 +Description_geda_QFP304_40`Square Quad-side flat pack' +T26,28 +Description_geda_QFP120_28`Square Quad-side flat pack' +T35,23 +Description_amp_AMP_MICTOR_767054_4`Amp Mictor Connectors' +T21,41 +Description_74180_dil`9bit /even/odd parity generator/checker' +T21,37 +Description_74143_dil`4bit counter/latch 7-segment driver' +T16,71 +PinList_7422_dil`1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc' +T11,1302 +PKG_OLD_QFPdefine(`QUARTER', `eval($4 /4)') + define(`OFFSET', `eval((QUARTER +1) /2)') + define(`WIDTH', `eval((QUARTER-1) *31 +2*42)') + define(`CENTER', `eval(WIDTH / 2)') + define(`NUMPINS', `$4') +Element(0x00 "$1" "`$2'" "$3" 100 CENTER 0 100 0x00) +( + + # left row +define(`X', 0) +define(`Y', 42) +#define(`count', `eval(OFFSET+1)') +define(`count', 1) +forloop(`i', 1, QUARTER, + `PAD(eval(X-65), Y, eval(X+5), Y, 20, count)' `define(`count', incr(count))' + `define(`Y', eval(Y+31))' + ) + + # bottom row +define(`X', 42) +define(`Y', WIDTH) +forloop(`i', 1, QUARTER, + `PAD(X, eval(Y+65), X, eval(Y-5), 20, count)' `define(`count', incr(count))' + `define(`X', eval(X+31))' +) + + # right row +define(`X', WIDTH) +define(`Y', eval(WIDTH-42)) +forloop(`i', 1, QUARTER, + `PAD(eval(X+65), Y, eval(X-5), Y, 20, count)' `define(`count', incr(count))' + `define(`Y', eval(Y-31))' +) + + # top row +define(`X', eval(WIDTH-42)) +define(`Y', 0) +forloop(`i', 1, QUARTER, + `PAD(X, eval(Y-65), X, eval(Y+5), 20, count)' `define(`count', incr(count))' + `ifelse(eval(count > NUMPINS), 1, `define(`count', 1)')' + `define(`X', eval(X-31))' +) + + ElementLine(28 0 WIDTH 0 10) + ElementLine(WIDTH 0 WIDTH WIDTH 10) + ElementLine(WIDTH WIDTH 0 WIDTH 10) + ElementLine(0 WIDTH 0 28 10) + ElementLine(0 28 28 0 10) + + ElementArc(80 80 20 20 0 360 10) + + Mark(0 0) +) +T39,50 +Description_johnstech_JOHNSTECH_QFN32_5`Johnstech QFN Socket, Series 1MM (724812-724839)' +T35,23 +Description_amp_AMP_MICTOR_767054_5`Amp Mictor Connectors' +T17,119 +PinList_74241_dil`/1G',`1A1',`2Y4',`1A2',`2Y3',`1A3',`2Y2',`1A4',`2Y1',`Gnd',`2A1',`1Y4',`2A2',`1Y3',`2A3',`1Y2',`2A4',`1Y1',`/2G',`Vcc' +T16,3 +Param2_74690_dil300 +T16,3 +Param2_74653_dil300 +T16,3 +Param2_74283_dil300 +T16,2 +Param1_74121_dil14 +T14,139 +PKG_SOT323_CEL + define(`WX', 32) + define(`WY', 24) + define(`DX', 67) + define(`DY', 51) + define(`OX', 26) + define(`OY', 79) + PKG_BASE_SOT(`$1',`$2',`$3') + +T12,41 +PKG_RCY1000PPKG_RADIAL_CAN(`$1', `$2', `$3', 2000, 1) +T35,23 +Description_amp_AMP_MICTOR_767054_6`Amp Mictor Connectors' +T20,20 +Description_7440_dil`2 quad-NAND buffer' +T21,36 +Description_74194_dil`4bit bi-directional shift register' +T21,19 +Description_74157_dil`4 2-to-1 selector' +T20,16 +Description_7403_dil`4 dual-NAND OC' +T16,83 +PinList_7473_dil`1Clk',`/1Clr',`1K',`Vcc',`2Clk',`/2Clr',`2J',`/2Q',`2Q',`2K',`Gnd',`1Q',`/1Q',`1J' +T39,50 +Description_johnstech_JOHNSTECH_QFN32_7`Johnstech QFN Socket, Series 1MM (724812-724839)' +T28,9 +Description_generic14smd_dil`generic' +T35,23 +Description_amp_AMP_MICTOR_767054_7`Amp Mictor Connectors' +T21,12 +Description_74386_dil`4 dual-XOR' +T17,115 +PinList_74625_dil`Gnd',`1Z',`1Y',`1CX1',`1CX2',`1FC',`1Osc-Vcc',`1Osc-Gnd',`2Osc-Gnd',`2Osc-Vcc',`2FC',`2CX2',`2CX1',`2Y',`2Z',`Vcc' +T17,84 +PinList_74292_dil`B',`E',`Tp1',`Clk1',`Clk2',`Tp2',`Q',`Gnd',`NC',`A',`/Clr',`NC',`Tp3',`D',`C',`Vcc' +T16,3 +Param2_74297_dil300 +T19,2 +Param1_MOLEX_025_6868 +T16,2 +Param1_74172_dil24 +T16,2 +Param1_74135_dil16 +T8,58 +PKG_UM1HPKG_CRYSTAL_H(`$1', `$2', `$3', 148, 311, 322, 60, 32, 2) +T14,39 +PKG_HEADER24_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 12) +T8,34 +PKG_HC49PKG_CRYSTAL(`$1', `$2', `$3', 300) +T9,34 +PKG_DIP40PKG_N(`$1', `$2', `$3', 40, 600) +T31,26 +Description_smd_EIA_C_capacitor`chip_capacitor_polarized' +T40,18 +Description_generic_capacitor_radial_200`capacitor_radial' +T23,64 +Description_geda_RCY150`Bottom lead non-polar circular component (typically capacitor)' +T22,29 +Description_geda_DB15M`DSUB connector, female/male' +T20,21 +Description_7491_dil`8bit shift register' +T20,30 +Description_7454_dil`AND-OR inverter (4x2 inputs)' +F6,6 +divnumdivnum +T14,8 +PinList_sm0805`1', `2' +T15,2 +Param1_7412_dil14 +T14,38 +PKG_HEADER24_2PKG_CONNECTOR(`$1', `$2', `$3', 12, 2) +T26,42 +Description_geda_SOJ18_450`Small outline J-leaded package (450 mil)' +T26,28 +Description_geda_LQFP44_10`Square Quad-side flat pack' +T31,33 +Description_bourns_BOURNS_3224W`Bourns 3224 Series SMT Trim Pot' +T17,102 +PinList_74639_dil`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/G',`Vcc' +T16,2 +Param1_74593_dil20 +T16,2 +Param1_74519_dil20 +T11,41 +PKG_RCY600PPKG_RADIAL_CAN(`$1', `$2', `$3', 1200, 1) +T9,34 +PKG_DIP42PKG_N(`$1', `$2', `$3', 42, 600) +T31,33 +Description_bourns_BOURNS_3224X`Bourns 3224 Series SMT Trim Pot' +T20,23 +Description_7468_dil`2 4bit decade counter' +T16,22 +PinList_uA78M05C`In',`Com',`Out',`Com' +T16,2 +Param1_74378_dil16 +T15,2 +Param1_7426_dil14 +T15,72 +PKG_MULTIWATT11GENERIC_PL_POWER(`$1', `$2', `$3', 11, 67, 800, 200, 180, 90, 60, 200) +T10,37 +PKG_ALF900PKG_DIODE_LAY(`$1', `$2', `$3', 900) +T11,39 +PKG_ACY700PPKG_AXIAL_LAY(`$1', `$2', `$3', 700, 1) +T25,28 +Description_geda_LQFP32_5`Square Quad-side flat pack' +T9,34 +PKG_DIP44PKG_N(`$1', `$2', `$3', 44, 600) +T29,26 +Description_DIN41_651_64standDIN41.651 standing 64 pins +T24,2 +Param1_DIN41_651_16stand16 +T16,2 +Param1_27128_dil28 +T13,41 +PKG_QFP100_14PKG_QFP_50(`$1',`$2',`$3',`100',`14',`0') +T24,39 +Description_geda_QFN16_3`Square Quad Flat Nolead (QFN) package' +T25,28 +Description_geda_LQFP32_7`Square Quad-side flat pack' +T29,31 +Description_geda_DIN41612C96F`DIN connector, 96 pin housing' +T16,22 +PinList_uA78M09C`In',`Com',`Out',`Com' +T19,138 +PinList_GAL16V8_dil`CLK/I1',`I2',`I3',`I4',`I5',`I6',`I7',`I8',`I9',`Gnd',`I10',`I/O/Qh',`I/O/Qg',`I/O/Qf',`I/O/Qe',`I/O/Qd',`I/O/Qc',`I/O/Qb',`I/O/Qa',`Vcc' +T20,3 +Param2_generic20_dil300 +T24,60 +Description_geda_RCY300P`Bottom lead polar circular component (typically capacitor)' +T27,39 +Description_geda_QFN32_7_EP`Square Quad Flat Nolead (QFN) package' +T24,39 +Description_geda_QFN16_4`Square Quad Flat Nolead (QFN) package' +T14,8 +PinList_sm1206`1', `2' +T20,2 +Param1_generic32_dil32 +T12,42 +PKG_TQFN44_7PKG_QFN_50(`$1',`$2',`$3', `44', `7', `0') +T13,62 +PKG_SOJ32_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',32,23622,86614,50000,346457) +T15,72 +PKG_MULTIWATT15GENERIC_PL_POWER(`$1', `$2', `$3', 15, 50, 800, 200, 180, 90, 60, 200) +T15,60 +PKG_CTS_744C_04COMMON_SMT_DIL_MIL(`$1',`$2',`$3',4,32000,51000,50000,67000) +T24,39 +Description_geda_QFN16_5`Square Quad Flat Nolead (QFN) package' +T24,46 +Description_geda_ACY400P`Axial polar component (typically capacitor),' +T13,11 +PinList_BC307`E',`B',`C' +T16,3 +Param2_74120_dil300 +T17,3 +Param2_628128_dil600 +T9,34 +PKG_DIP48PKG_N(`$1', `$2', `$3', 48, 600) +T26,9 +Description_generic44_plcc`generic' +T19,2 +Param1_PIC16C84_dil18 +T21,51 +Description_74630_dil`16bit parallel error detection/correction 3-state' +T21,12 +Description_74260_dil`2 pent-NOR' +F5,5 +indirindir +T16,3 +Param2_74541_dil300 +T16,3 +Param2_74171_dil300 +T16,3 +Param2_74134_dil300 +T17,3 +Param2_514100_dil300 +T16,2 +Param1_7451L_dil14 +T18,10 +Description_sm0805`SMT 0805' +T42,56 +Description_nichicon_NICHICON_WT_CAP_8_5p4`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T24,16 +Description_PIC16C64_dilmicro controller +T17,102 +PinList_74321_dil`Tank1',`Tank2',`Gnd1',`FFQ',`FFD',`F/4',`F',`Gnd2',`/F+',`F+',`Vcc+',`/F',`F/2',`Xtal1',`Xtal2',`Vcc' +T15,3 +Param2_7401_dil300 +T11,55 +PKG_TSSOP20COMMON_SMT_DIL_MM(`$1',`$2',`$3', 20, 33, 150, 65, 440) +T13,62 +PKG_SOJ16_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,291339) +T12,33 +PKG_MENU_QFPesyscmd(qfp-ui "$1" "`$2'" "$3") + +T15,60 +PKG_CTS_744C_08COMMON_SMT_DIL_MIL(`$1',`$2',`$3',8,32000,51000,50000,67000) +T23,45 +Description_geda_SDIP42`Shrink dual in-line package, wide (600 mil)' +T27,39 +Description_geda_QFN28_5_EP`Square Quad Flat Nolead (QFN) package' +T24,39 +Description_geda_QFN20_4`Square Quad Flat Nolead (QFN) package' +T26,37 +Description_geda_HEADER6_1`Header connector, DIP pin numbering' +T23,62 +Description_geda_ACY700`Axial non-polar component (typically resistor or capacitor),' +T21,34 +Description_74681_dil`4bit parallel binary accumulator' +T21,47 +Description_74644_dil`8 bus tranceiver OC w. inverting/normal logic' +T21,44 +Description_74607_dil`8 2-input glitch-free multiplexed latch OC' +T15,22 +PinList_uA7824C`In',`Com',`Out',`Com' +T19,914 +PinList_MC68332_qfp`Vdd',`Vss(g)',`TpuCh11',`TpuCh10',`TpuCh9',`TpuCh8',`Vdd',`Vss(g)',`TpuCh7',`TpuCh6',`TpuCh5',`TpuCh4',`TpuCh3',`TpuCh2',`TpuCh1',`TpuCh0',`Vss(g)',`Vdd',`Vstby',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Vdd',`Vss(g)',`A9',`A10',`A11',`A12',`Vss(g)',`A13',`A14',`A15',`A16',`Vdd',`Vss(g)',`A17',`A18',`MISO',`MOSI',`SCk',`/SS',`PCS1',`PCS2',`PCS3',`Vdd',`Vss(g)',`TxD',`RxD',`DSO',`DSI',`DSClk',`TSC',`Freeze',`Vss(g)',`Xtal',`VddSyn',`EXtal',`Vdd',`XFc',`Vdd',`ClkOut',`Vss(g)',`/Res',`/Halt',`/BErr',`PF7',`PF6',`PF5',`PF4',`PF3',`PF2',`PF1',`PF0',`R/W',`PE7',`PE6',`PE5',`Vss(g)',`Vdd',`PE4',`PE3',`PE2',`PE1',`PE0',`A0',`D15',`D14',`D13',`D12',`Vss(g)',`Vdd',`D11',`D10',`D9',`D8',`Vss(g)',`D7',`D6',`D5',`D4',`Vss(g)',`Vdd',`D3',`D2',`D1',`D0',`/CSboot',`/CS0',`/CS1',`/CS2',`Vdd',`Vss(g)',`/CS3',`/CS4',`/CS5',`/CS6',`/CS7',`/CS8',`/CS9',`/CS10',`Vdd',`Vss(g)',`T2Clk',`TpuCh15',`TpuCh14',`TpuCh13',`TpuCh12' +T17,75 +PinList_74180_dil`G',`H',`Even',`Odd',`SumEven',`SumOdd',`Gnd',`A',`B',`C',`D',`E',`F',`Vcc' +T17,135 +PinList_74143_dil`/SCEI',`Clk',`/Clr',`/RBI',`BI',`/BI-/RBO',`Dp',`dp',`d',`f',`e',`Gnd',`g',`c',`a',`b',`Qa',`Qb',`Qc',`Qd',`/StrB',`Max',`/PECI',`Vcc' +T21,3 +Param2_generic28w_dil600 +T16,3 +Param2_74592_dil300 +T16,3 +Param2_74518_dil300 +T16,3 +Param2_74148_dil300 +T24,2 +Param1_generic28smdw_dil28 +T12,86 +PL_PCISideB1`-12V',`TCK',`Ground',`TD0',`+5V',`+5V',`INTB*',`INTD*',`PRSNT1*',`Reserved',`PRSNT2*' +T29,7 +Description_generic_diode_600`diode' +T26,28 +Description_geda_QFP240_32`Square Quad-side flat pack' +T24,39 +Description_geda_QFN20_5`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_HEADER6_2`Header connector, ribbon cable numbering' +T29,31 +Description_geda_DIN41612C96M`DIN connector, 96 pin housing' +T21,27 +Description_74466_dil`8 invering buffer 3-state' +F5,5 +indexindex +T16,3 +Param2_74377_dil300 +T15,3 +Param2_7415_dil300 +T12,17 +PL_PCISideB2`Ground',`Ground' +T10,38 +PKG_RCY600PKG_RADIAL_CAN(`$1', `$2', `$3', 1200) +T20,26 +Description_uA79L05C-5V 0.1A voltage regulator +T22,40 +Description_geda_DIP20`Dual in-line package, narrow (300 mil)' +F6,6 +formatformat +T17,86 +PinList_74194_dil`/Clr',`SRSer',`A',`B',`C',`D',`SLSer',`Gnd',`S0',`S1',`Clk',`Qd',`Qc',`Qb',`Qa',`Vcc' +T17,83 +PinList_74157_dil`/A-B',`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3B',`3A',`4Y',`4Y',`4A',`/G',`Vcc' +T16,3 +Param2_74199_dil300 +T16,2 +Param1_74444_dil20 +T12,315 +PL_PCISideB3`Reserved',`Ground',`CLK',`Ground',`REQ*',`+5V',`AD[31]',`AD[29]',`Ground',`AD[27]',`AD[25]',`+3.3V',`C/BE[3]*',`AD[23]',`Ground',`AD[21]',`AD[19]',`+3.3V',`AD[17]',`C/BE[2]*',`Ground',`IRDY*',`+3.3V',`DEVSEL*',`Ground',`LOCK*',`PERR*',`+3.3V',`SERR*',`+3.3V',`C/BE[1]*',`AD[14]',`Ground',`AD[12]',`AD[10]',`Ground' +T19,2498 +PKG_NICHICON_WT_CAP + # max pin width (perpendicular to axis of package) (1/100 mil) + define(`PINW', `eval($4*10000/254)') + + # max pin length (parallel to axis of package) (1/100 mil) + define(`PINL', `eval($5*10000/254)') + + # gap between the pads (1/100 mil) + define(`PINS', `eval($6*10000/254)') + + # package width (1/100 mil) + define(`PACKW', `eval($7*10000/254)') + + # package height (1/100 mil) + define(`PACKH', `eval($8*10000/254)') + + # component veritcal height off board (1/100 mil) + define(`COMPH', `eval($9*10000/254)') + + # pad width and length + define(`PADW', `eval(PINW + 2000)') + define(`PADL', `eval(PINL + 2000)') + + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + define(`PY1', `eval((PINL + PINS + PADL - PADW)/2)') + define(`PY2', `eval((PINL + PINS - PADL + PADW)/2)') + + # width of soldermask relief (5 mil on each side) + define(`MASKW', `eval(PADW + 1000)') + + # silkscreen width (1/100 mils) + define(`SILKW', `1000') + + # how much space to leave around the part before the + # silk screen (1/100 mils) + define(`SILKS', `800') + + # lower left corner for silk screen (1/100 mil) + define(`LLX', `eval( (PACKW + 2*SILKS + SILKW)/2)') + define(`LLY', `eval( (PACKH + 2*SILKS + SILKW)/2)') + + # upper right corner for silk screen (1/100 mil) + define(`URX', `eval( (PADW + 2*SILKS + SILKW)/2)') + define(`URY', `eval( -LLY)') + + # how much to notch the corners by in silk to indicate polarity + define(`NOTCH', `3000') + define(`NOTCHX', `eval(LLX - NOTCH)') + define(`NOTCHY', `eval(URY + NOTCH)') + +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "`$1'" "`$2'" "`$3'" 0 0 0 0 0 100 ""] +( + +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -PY1 0 -PY2 PADW 1000 MASKW "Plus" "1" "square"] +Pad[ 0 PY1 0 PY2 PADW 1000 MASKW "Minus" "2" "square"] + +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] + +ElementLine[ LLX LLY URX LLY SILKW] +ElementLine[ LLX LLY LLX NOTCHY SILKW] +ElementLine[ LLX NOTCHY NOTCHX URY SILKW] +ElementLine[ NOTCHX URY URX URY SILKW] + +ElementLine[ -LLX LLY -URX LLY SILKW] +ElementLine[ -LLX LLY -LLX NOTCHY SILKW] +ElementLine[ -LLX NOTCHY -NOTCHX URY SILKW] +ElementLine[ -NOTCHX URY -URX URY SILKW] + + + +) +T17,71 +PinList_74386_dil`1A',`1B',`1Y',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4Y',`4A',`4B',`Vcc' +T19,1 +Param1_generic8_dil8 +T16,2 +Param1_74673_dil24 +T16,2 +Param1_74636_dil20 +T16,2 +Param1_74266_dil14 +T12,17 +PL_PCISideB4`Ground',`Ground' +T11,55 +PKG_TSSOP24COMMON_SMT_DIL_MM(`$1',`$2',`$3', 24, 33, 150, 65, 440) +T22,40 +Description_geda_DIP22`Dual in-line package, narrow (300 mil)' +T22,11 +Description_628128_dilSRAM 128Kx8 +T12,88 +PL_PCISideB5`AD[08]',`AD[07]',`+3.3V',`AD[05]',`AD[03]',`Ground',`AD[01]',`+5V',`ACK64*',`+5V',`+5V' +T18,10 +Description_sm1206`SMT 1206' +T20,3 +Param2_AT90S8535_dil600 +T16,2 +Param1_74687_dil24 +T12,288 +PL_PCISideB6`Reserved',`Ground',`C/BE[6]*',`C/BE[4]*',`Ground',`AD[63]',`AD[61]',`+5V',`AD[59]',`AD[57]',`Ground',`AD[55]',`AD[53]',`Ground',`AD[51]',`AD[49]',`+5V',`AD[47]',`AD[45]',`Ground',`AD[43]',`AD[41]',`Ground',`AD[39]',`AD[37]',`+5V',`AD[35]',`AD[33]',`Ground',`Reserved',`Reserved',`Ground' +T12,55 +PKG_TSSOP38NCOMMON_SMT_DIL_MM(`$1',`$2',`$3', 38, 30, 150, 50, 440) +T13,62 +PKG_SOJ20_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,244094) +T22,38 +Description_geda_DIP24`Dual in-line package, wide (600 mil)' +T19,7 +Description_LED_5MMLED 5mm +T17,20 +Description_BC560bipolar-P transistor +T22,9 +Description_514100_dilDRAM 4Mx1 +T16,3 +Param2_Z8536_dil600 +T24,2 +Param1_DIN41_651_24stand24 +T11,55 +PKG_TSSOP64COMMON_SMT_DIL_MM(`$1',`$2',`$3', 64, 30, 150, 50, 610) +T26,2 +Param2_smd_EIA_A_capacitor50 +T18,3 +Param2_GAL20V8_dil300 +T11,55 +PKG_TSSOP28COMMON_SMT_DIL_MM(`$1',`$2',`$3', 28, 33, 150, 65, 440) +T13,62 +PKG_SOJ34_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,244094) +T14,39 +PKG_HEADER48_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 24) +T18,1181 +PKG_DIN41_651STANDdefine(`MAXY', `eval($4/2 *100 +400)') + define(`CENTERY', `eval($4/2 *50 +425)') +Element(0x00 "$1" "`$2'" "$3" 50 100 3 200 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(200, eval(100*i +400), 60, 40, eval(2*i-1)) + PIN(300, eval(100*i +400), 60, 40, eval(2*i)) + ') + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 eval(MAXY +430) 20) + ElementLine(410 eval(MAXY +430) 90 eval(MAXY +430) 20) + ElementLine(90 eval(MAXY +430) 90 70 20) + + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 eval(MAXY +150) 5) + ElementLine(390 eval(MAXY +150) 110 eval(MAXY +150) 5) + ElementLine(110 eval(MAXY +150) 110 eval(CENTERY +100) 5) + ElementLine(110 eval(CENTERY +100) 90 eval(CENTERY +100) 5) + ElementLine(90 eval(CENTERY -50) 110 eval(CENTERY -50) 5) + ElementLine(110 eval(CENTERY -50) 110 350 5) + + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + + # Auswurfhebel unten + ElementLine(200 eval(MAXY+150) 200 eval(MAXY+430) 5) + ElementLine(300 eval(MAXY+150) 300 eval(MAXY+430) 5) + + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) +T11,37 +PKG_ACY1500PKG_AXIAL_LAY(`$1', `$2', `$3', 1500) +T39,50 +Description_johnstech_JOHNSTECH_QFN56_8`Johnstech QFN Socket, Series 1MM (724812-724839)' +T26,28 +Description_geda_LQFP72_10`Square Quad-side flat pack' +T15,21 +PinList_uA7905C`Com',`In',`Out',`In' +T14,38 +PKG_HEADER48_2PKG_CONNECTOR(`$1', `$2', `$3', 24, 2) +T25,9 +Description_generic32_dil`generic' +T24,53 +Description_geda_TSSOP8W`Thin shrink small outline package, standard (4.4mm)' +T22,38 +Description_geda_DIP64`Dual in-line package, wide (900 mil)' +T28,23 +Description_PCI5V_MAX_HEIGHTPCI 5V Array Max Height +T26,9 +Description_generic52_plcc`generic' +T22,38 +Description_geda_DIP28`Dual in-line package, wide (600 mil)' +T23,23 +Description_GAL16V8_dilgeneric PAL replacement +T21,28 +Description_74111_dil`2 JK-MS FF w. data lockout' +T16,1160 +PKG_DIN41_651LAYdefine(`MAXY', `eval($4/2 *100 +400)') + define(`CENTERY', `eval($4/2 *50 +425)') +Element(0x00 "$1" "`$2'" "$3" 400 250 3 200 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(100, eval(100*i +400), 60, 40, eval(2*i-1)) + PIN(200, eval(100*i +400), 60, 40, eval(2*i)) + ') + + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 eval(MAXY+230) 100 80 "M2" 0x01) + + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 eval(MAXY+150) 20) + ElementLine(610 eval(MAXY+150) 770 eval(MAXY+200) 20) + ElementLine(770 eval(MAXY+200) 770 eval(MAXY+300) 20) + ElementLine(770 eval(MAXY+300) 335 eval(MAXY+430) 20) + ElementLine(335 eval(MAXY+430) 80 eval(MAXY+430) 20) + ElementLine( 80 eval(MAXY+430) 80 70 20) + + # Codieraussparung + ElementLine(610 eval(CENTERY -50) 435 eval(CENTERY -50) 5) + ElementLine(435 eval(CENTERY -50) 435 eval(CENTERY +100) 5) + ElementLine(435 eval(CENTERY +100) 610 eval(CENTERY +100) 5) + + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) +T24,64 +Description_geda_RCY1200`Bottom lead non-polar circular component (typically capacitor)' +T25,28 +Description_geda_QFP44_10`Square Quad-side flat pack' +T21,38 +Description_geda_0805`Standard SMT resistor, capacitor etc' +T16,3 +Param2_74621_dil300 +T16,3 +Param2_74251_dil300 +T13,62 +PKG_SOJ18_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',18,23622,86614,50000,196850) +T13,74 +PKG_CAPC0402LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 15, 31, 56, 28, 0, 0) +T26,28 +Description_geda_TQFP64_10`Square Quad-side flat pack' +T26,38 +Description_geda_CAPC1608L`Standard SMT resistor, capacitor etc' +T21,42 +Description_74162_dil`syncronous decade counter w. sync. clear' +T21,40 +Description_74125_dil`4 bus buffers 3-state inverted control' +T16,71 +PinList_7404_dil`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc' +T16,3 +Param2_74443_dil300 +T14,39 +PKG_HEADER52_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 26) +T13,74 +PKG_CAPC0402MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 36, 35, 51, 106, 38, 0, 0) +T26,38 +Description_geda_CAPC1608M`Standard SMT resistor, capacitor etc' +T21,63 +Description_74354_dil`8-to-1 data selector/multiplexor/register transparent 3-state' +T17,171 +PinList_74630_dil`DEF',`DB0',`DB1',`DB2',`DB3',`DB4',`DB5',`DB6',`DB7',`DB8',`DB9',`DB10',`DB11',`Gnd',`DB12',`DB13',`DB14',`DB15',`CB5',`CB4',`CB3',`CB2',`CB1',`CB0',`S0',`S1',`SEF',`Vcc' +T17,71 +PinList_74260_dil`1A',`1B',`1C',`2A',`1Y',`2Y',`Gnd',`2B',`2C',`2D',`2E',`1D',`1E',`Vcc' +T16,3 +Param2_74672_dil300 +T16,3 +Param2_74265_dil300 +T16,2 +Param1_74140_dil14 +T14,38 +PKG_HEADER52_2PKG_CONNECTOR(`$1', `$2', `$3', 26, 2) +T13,74 +PKG_CAPC0402NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0) +T33,27 +Description_optek_OPTEK_OVSRWACR6`OPTEK Surface Mount LED's' +T26,38 +Description_geda_CAPC1608N`Standard SMT resistor, capacitor etc' +T20,16 +Description_7422_dil`2 quad-NAND OC' +T21,50 +Description_74176_dil`presettable decade counter/latch (divide by 2/5)' +T21,18 +Description_74139_dil`2 2-to-4 decoder' +T16,81 +PinList_7492_dil`ClkB',`NC',`NC',`NC',`Vcc',`R0(1)',`R0(2)',`Qd',`Qc',`Gnd',`Qb',`Qa',`NC',`ClkA' +T16,62 +PinList_7455_dil`A',`B',`C',`D',`NC',`NC',`Gnd',`Y',`NC',`E',`F',`G',`H',`Vcc' +T16,71 +PinList_7418_dil`1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc' +T10,42 +PKG_SSOP14PKG_SSO( `$1', `$2', `$3', 14, 323, 2559) +T28,39 +Description_geda_TQFN48_7_EP`Square Quad Flat Nolead (QFN) package' +T21,38 +Description_74368_dil`6 bus drivers OC w. inverting output' +T16,16 +PinList_uA78L15C`In',`Com',`Out' +T17,123 +PinList_74681_dil`Clk',`RS2',`RS1',`RS0',`LI-RO',`Cn',`/G',`Cn+4',`/P',`Gnd',`I-O3',`I-O2',`I-O1',`I-O0',`M',`AS2',`AS1',`AS0',`RI-LO',`Vcc' +T17,103 +PinList_74644_dil`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/EN',`Vcc' +T17,144 +PinList_74607_dil`Clk',`A-/B',`A1',`B1',`A2',`B2',`A3',`B3',`A4',`B4',`Y4',`Y3',`Y2',`Gnd',`Y1',`Y5',`Y6',`Y7',`Y8',`B8',`A8',`B7',`A7',`B6',`A6',`B5',`A5',`Vcc' +T16,3 +Param2_74686_dil300 +T16,3 +Param2_74649_dil300 +T16,3 +Param2_74279_dil300 +T20,2 +Param1_smd_diode_60360 +T16,2 +Param1_74191_dil16 +T16,2 +Param1_74154_dil24 +T26,28 +Description_geda_TQFP64_14`Square Quad-side flat pack' +T20,18 +Description_7473_dil`2 JK FF w. clear' +T21,36 +Description_74597_dil`8bit shift register w. input latch' +T26,96 +PinList_DIN41_612_ac64maleforloop(`i', 1, 32, `define(`P_'i, `a'i)') + forloop(`i', 1, 32, `define(`P_'eval(i +64), `c'i)') +T16,103 +PinList_7469_dil`1ClkA',`1Qb',`1Qd',`/1Clr',`2Qc',`NC',`2Qa',`Gnd',`2Clk',`2Qb',`/2Clr',`2Qd',`1Qc',`1Qa',`1ClkB',`Vcc' +T17,103 +PinList_74466_dil`/G1',`A1',`Y1',`A2',`Y2',`A3',`Y3',`A4',`Y4',`Gnd',`Y5',`A5',`Y6',`A6',`Y7',`A7',`Y8',`A8',`/G2',`Vcc' +T22,2 +Param1_DIN41_651_14lay14 +T15,2 +Param1_7431_dil16 +T10,42 +PKG_SSOP16PKG_SSO( `$1', `$2', `$3', 16, 244, 2559) +T8,67 +PKG_SO14COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 14, 20000, 85000, 50000, 120000) +T28,39 +Description_geda_TQFN16_5_EP`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ30_400`Small outline J-leaded package (400 mil)' +T23,45 +Description_geda_DIP14M`Dual in-line package, medium wide (400 mil)' +T21,29 +Description_geda_DB9F`DSUB connector, female/male' +T21,38 +Description_geda_1206`Standard SMT resistor, capacitor etc' +T13,96 +DefinePinListifelse($#, 1, , + `pushdef(`count')' + `define(`count', 0)' + `_DEFPINLIST($@)' + `popdef(`count')') +T28,1 +Param1_ovenized_oscillator_55 +T16,2 +Param1_74575_dil20 +T16,2 +Param1_74538_dil20 +T7,29 +PKG_US8PKG_US( `$1', `$2', `$3', 8) +T15,48 +PKG_CTS_742C_16COMMON_SMT_DIL_MM(`$1',`$2',`$3',16,50,90,80,90) +T15,2 +Param1_7445_dil16 +T15,2 +Param1_7408_dil14 +T8,67 +PKG_SO16COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 16, 20000, 85000, 50000, 120000) +T29,16 +Description_geda_TO220ACSTAND`diode in TO220' +T26,42 +Description_geda_SOJ44_400`Small outline J-leaded package (400 mil)' +T27,24 +Description_DIN41_651_26layDIN41.651 laying 26 pins +T21,250 +PinList_cy7c64603_qfp`1',`2',`3',`4',`5',`6',`7',`8',`9',`10',`11',`12',`13',`14',`15',`16',`17',`18',`19',`20',`21',`22',`23',`24',`25',`26',`27',`28',`29',`30',`31',`32',`33',`34',`35',`36',`37',`38',`39',`40',`41',`42',`43',`44',`45',`46',`47',`48',`49',`50',`51',`52' +T22,131 +PinList_L298_multiwatt`I-Sens1', `Out1', `Out2', `Vcc', `In1', `Enable A', `In2', `Gnd', `Logic-Vcc', `In3', `Enable B', `In4', `Out3', `Out4', `I-Sens2' +T13,42 +PKG_LQFP48_12PKG_LQFP_80(`$1',`$2',`$3', `48',`12',`0') +T8,55 +EDGECONNPad($1 $2 $3 $4 $5 ifdef(`P_$6', "P_$6", "$6") "$6" $7) +T20,26 +Description_uA78M05C+5V 0.5A voltage regulator +T23,45 +Description_geda_DIP18M`Dual in-line package, medium wide (400 mil)' +T19,27 +Description_SCSI_SEsingle-ended SCSI 2x25 pins +T15,2 +Param1_7496_dil16 +T9,34 +PKG_DIP8MPKG_N(`$1', `$2', `$3', 8, 400) +T10,37 +PKG_ALF400PKG_DIODE_LAY(`$1', `$2', `$3', 400) +T23,64 +Description_geda_RCY800`Bottom lead non-polar circular component (typically capacitor)' +T6,50 +DEFPINdefine(`count', incr(count)) define(`P_'count, $1) +T7,0 +__gnu__ +T16,1 +Param1_TL070_dil8 +T24,39 +Description_geda_QFN44_7`Square Quad Flat Nolead (QFN) package' +T20,2 +Param1_generic14_dil14 +T13,62 +PKG_SOJ14_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',14,23622,86614,50000,346457) +T17,50 +PKG_DIN41612C96MSPKG_DIN41_612MALE_SMALL( `$1', `$2', `$3', `abc') +T20,26 +Description_uA78M09C+9V 0.5A voltage regulator +T20,3 +Param2_generic16_dil300 +T16,2 +Param1_TL084_dil14 +T14,42 +PKG_LQFP176_24PKG_LQFP_50(`$1',`$2',`$3',`176',`24',`0') +T21,29 +Description_geda_DB9M`DSUB connector, female/male' +T19,3 +Param2_PIC16C84_dil300 +T20,2 +Param1_generic28_dil28 +T20,2 +Param1_MC68HC11_plcc52 +T13,62 +PKG_SOJ28_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',28,23622,86614,50000,346457) +T21,18 +Description_74242_dil`4 bus tranceiver' +F6,6 +m4exitm4exit +T17,99 +PinList_74111_dil`1K',`/1Pre',`/1Clr',`1J',`1Clk',`/1Q',`1Q',`Gnd',`2Q',`/2Q',`2Clk',`2J',`/2Clr',`/2Pre',`2K',`Vcc' +T16,3 +Param2_74190_dil300 +T16,3 +Param2_74153_dil300 +T16,3 +Param2_74116_dil300 +T22,39 +Description_geda_SO32W`Small outline package, wider (450mil)' +F9,9 +changecomchangecom +T8,0 +__unix__ +T16,3 +Param2_74382_dil300 +T15,3 +Param2_7420_dil300 +T21,65 +Description_74626_dil`2 voltage controlled oscillator w. enable and complement output' +T21,30 +Description_74293_dil`4bit binary counter w. clear' +T17,86 +PinList_74162_dil`/Clr',`Clk',`A',`B',`C',`D',`ENP',`Gnd',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc' +T17,75 +PinList_74125_dil`/1G',`1A',`1Y',`/2G',`2A',`2Y',`Gnd',`3Y',`3A',`/3G',`4Y',`4A',`/4G',`Vcc' +T16,3 +Param2_74574_dil300 +T16,3 +Param2_74167_dil300 +T33,24 +Description_candk_CANDK_ES02MSABE`C&K ES Series Switches' +T18,131 +PinList_PC_V24_25P`PE',`TxD',`RxD',`RTS',`CTS',`DSR',`Gnd',`DCD',`9',`10',`11',`12',`13',`14',`15',`16',`17',`18',`19',`DTR',`21',`RI',`23',`24',`25' +T17,103 +PinList_74354_dil`D7',`D6',`D5',`D4',`D3',`D2',`D1',`D0',`/DC',`Gnd',`/SC',`S2',`S1',`S0',`/G1',`/G2',`G3',`W',`Y',`Vcc' +T16,3 +Param2_LM324_dil300 +T16,3 +Param2_74396_dil300 +T19,2 +Param1_GAL22V10_dil24 +T16,2 +Param1_74641_dil20 +T16,2 +Param1_74604_dil28 +T15,45 +PKG_TQFN48_7_EPPKG_QFN_50S(`$1',`$2',`$3', `48', `7', `560') +T10,1007 +PKG_TO3_90Element(0x00 "$1" "`$2'" "$3" 400 800 0 100 0x00) +( + +# The JEDEC drawing specifies that pins #1 +# and #2 have a diameter from 38 to 43 mils. +# The mounting holes (pins 3 and 4 here) are +# 151 to 161 mils. Increasing by 15 mils would +# give a drill diameter of 58 and 176 mils. +# 55 and 177 are close in standard drill sizes. +# a #4 machine screw is 110 mils, a #6 is 140 mils and a +# #8 is 160 mils in diameter. Looks like you can not count +# on using a #8 for a TO3, but a #6 is fair. +# This would give something like a 90 pad size for a +# 35 mil annular ring for pins 1 and 2. + PIN(650, 1000, 90, 55, 1) + PIN(650, 550, 90, 55, 2) + PIN(1320, 775, 250, 177, 3) + PIN(125, 775, 250, 177, 4) + + ElementArc(700 775 500 500 70 40 20) + ElementArc(700 775 500 500 250 40 20) + ElementArc(1320 775 180 180 125 110 20) + ElementArc(125 775 180 180 305 110 20) + ElementLine(25 925 530 1245 20) + ElementLine(25 625 530 305 20) + ElementLine(870 305 1430 630 20) + ElementLine(870 1245 1430 920 20) + + Mark(650 775) +) +T14,44 +PKG_QFN36_6_EPPKG_QFN_50(`$1',`$2',`$3', `36', `6', `370') +T25,12 +Description_smd_diode_603`chip_diode' +T26,42 +Description_geda_SOJ32_300`Small outline J-leaded package (300 mil)' +T27,37 +Description_geda_HEADER26_1`Header connector, DIP pin numbering' +T23,62 +Description_geda_ACY200`Axial non-polar component (typically resistor or capacitor),' +T17,76 +PinList_74176_dil`/Load',`Qc',`C',`A',`Qa',`Clk2',`Gnd',`Clk1',`Qb',`B',`D',`Qd',`/Clr',`Vcc' +T17,91 +PinList_74139_dil`/G1',`A1',`B1',`Y10',`Y11',`Y12',`Y13',`Gnd',`Y23',`Y22',`Y21',`Y20',`B2',`A2',`/G2',`Vcc' +T7,272 +PKG_QFPdefine(`PITCH', 8000) + define(`PAD_WIDTH', 20) + define(`PAD_LENGTH', 90) + define(`XPADS', `eval($4 /4)') + define(`YPADS', `eval($4 /4)') + define(`X_LENGTH', `eval((PITCH*(XPADS-1)+127)/254+232)') + define(`Y_LENGTH', X_LENGTH) + define(`ISTART', 1) + PKG_GEN_QFP($1, $2, $3) + +T10,34 +PKG_DIP22MPKG_N(`$1', `$2', `$3', 22, 400) +T21,38 +Description_geda_SO32`Small outline package, wide (300mil)' +T27,42 +Description_geda_HEADER26_2`Header connector, ribbon cable numbering' +T13,11 +PinList_BS240`D',`G',`S' +T17,95 +PinList_74368_dil`/G1',`1A1',`1Y1',`1A2',`1Y2',`1A3',`1Y3',`Gnd',`1Y4',`1A4',`2Y1',`2A1',`2Y2',`2A2',`/G2',`Vcc' +T19,3 +Param2_generic8_dil300 +T15,3 +Param2_7485_dil300 +T15,3 +Param2_7448_dil300 +T16,2 +Param1_74285_dil16 +T16,2 +Param1_74248_dil16 +T15,44 +PKG_TQFN16_5_EPPKG_QFN_80(`$1',`$2',`$3', `16', `5', `310') +T10,37 +PKG_RCY100PKG_RADIAL_CAN(`$1', `$2', `$3', 200) +T13,666 +PKG_CRYSTAL_Hdefine(`base', `$4') + define(`sizX', `$5') + define(`sizY', `$6') + define(`pad', `$7') + define(`drill', `$8') + define(`pinX', `eval((sizX - base * (`$9'-1)) /2)') + define(`pinY', `ifelse(eval(sizX > 500),1,eval(sizY+200),eval(sizY+100))') + define(`maxY', `eval(sizY + offset)') + +Element(0x00 "$1" "$2" "$3" 0 eval(0 - 60) 0 100 0x00) +( + PIN(pinX, pinY, pad, drill, 1) + PIN(eval(pinX + base), pinY, pad, drill, 2) + ifelse(eval(`$9' == 3), 1, + PIN(eval(pinX + 2 * base), pinY, pad, drill, 3)) + + ElementLine(0 0 sizX 0 20) + ElementLine(sizX 0 sizX sizY 20) + ElementLine(sizX sizY 0 sizY 20) + ElementLine(0 sizY 0 0 20) + + Mark(pinX pinY) +) +T23,31 +Description_geda_PLCC52`Plastic leadless chip carrier' +T17,87 +PinList_74597_dil`B',`C',`D',`E',`F',`G',`H',`Gnd',`Qh+',`/SRClr',`SRCK',`RCK',`/SRLoad',`SER',`A',`Vcc' +T27,3 +Param1_generic_smd_chip_603603 +T13,72 +PKG_PENTAWATTGENERIC_PL_POWER(`$1', `$2', `$3', 5, 67, 409, 189, 177, 90, 60, 157) +T29,26 +Description_DIN41_651_14standDIN41.651 standing 14 pins +T15,22 +PinList_uA7815C`In',`Com',`Out',`Com' +T17,2 +Param1_PC_V24_25P25 +T24,2 +Param1_DIN41_651_40stand40 +T16,2 +Param1_74669_dil16 +T16,2 +Param1_74299_dil20 +T13,74 +PKG_RESC0402LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 15, 31, 56, 28, 0, 0) +T11,69 +PKG_CRYSTALPKG_CRYSTAL_V(`$1',`$2',`$3',`eval($4-100)',`$4',`100',`60',`28',`2') +T16,3 +Param2_TL083_dil300 +T13,74 +PKG_RESC0402MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 36, 35, 51, 106, 38, 0, 0) +T27,37 +Description_geda_HEADER30_1`Header connector, DIP pin numbering' +T13,62 +PKG_SOJ16_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,244094) +T13,74 +PKG_RESC0402NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0) +T43,32 +Description_minicircuits_MINICIRCUITS_CB539`Mini-Circuits CB Style Package' +T27,42 +Description_geda_HEADER30_2`Header connector, ribbon cable numbering' +T8,29 +PKG_US14PKG_US( `$1', `$2', `$3', 14) +T25,9 +Description_generic14_dil`generic' +T24,62 +Description_geda_ACY1300`Axial non-polar component (typically resistor or capacitor),' +T27,37 +Description_cts_CTS_742X_08`CTS 742C Series Chip Resistor Array' +T20,348 +PinList_PIC16C64_dil`/MCLR',`RA0',`RA1',`RA2',`RA3',`RA4/T0CKI',`RA5/SS',`RE0/RD',`RE1/WR',`RE2/CS',`VDD',`VSS',`OSC1',`OSC2',`RC0/T0OSO/T1CKI',`RC1/T0OSI',`RC2/CCPI',`RC3/SCK/SCL',`RD0/PSP0',`RD1/PSP1',`RD2/PSP2',`RD3/PSP3',`RC4/SDI/SDA',`RC5/SDO',`RC6',`RC7',`RD4/PSP4',`RD5/PSP5',`RD6/PSP6',`RD7/PSP7',`VSS',`VDD',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7' +T33,3 +Param1_generic_resistor_axial_500500 +T14,39 +PKG_HEADER80_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 40) +T11,37 +PKG_ACY1000PKG_AXIAL_LAY(`$1', `$2', `$3', 1000) +T8,29 +PKG_US16PKG_US( `$1', `$2', `$3', 16) +T14,38 +PKG_HEADER80_2PKG_CONNECTOR(`$1', `$2', `$3', 40, 2) +T25,9 +Description_generic28_dil`generic' +T25,16 +Description_MC68HC11_plccmicro controller +T21,36 +Description_74322_dil`8bit shift register w. sign extend' +T8,81 +_forloop$4`'ifelse($1, `$3', , + `define(`$1', incr($1))_forloop(`$1', `$2', `$3', `$4')') +T16,3 +Param2_74640_dil300 +T16,2 +Param1_L297A_dil20 +T21,24 +Description_74181_dil`ALU function generator' +T21,18 +Description_74107_dil`2 JK FF w. clear' +T16,81 +PinList_7423_dil`1X',`1A',`1B',`1G',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`2G',`2C',`2D',`/X',`Vcc' +T18,2 +Param1_MAX233A_dil20 +T11,42 +PKG_QFN28_5PKG_QFN_50(`$1',`$2',`$3', `28', `5', `0') +T11,39 +PKG_ACY150PPKG_AXIAL_LAY(`$1', `$2', `$3', 150, 1) +T23,32 +Description_geda_ALF600`Axial diode (pin 1 is cathode)' +T21,38 +Description_74373_dil`8 D-type transparent latches 3-state' +T17,74 +PinList_74242_dil`/Gab',`NC',`A1',`A2',`A3',`A4',`Gnd',`B4',`B3',`B2',`B1',`NC',`Gba',`Vcc' +T16,3 +Param2_74691_dil300 +T16,3 +Param2_74247_dil300 +T16,2 +Param1_74122_dil14 +T23,5 +Description_MC68681_dilDUART +T21,37 +Description_74195_dil`4bit parallel-access shift register' +T21,35 +Description_74158_dil`4 2-to-1 selector inverted output' +T20,13 +Description_7404_dil`6 inverters' +T16,89 +PinList_7474_dil`/1Clr',`1D',`1Clk',`/1Pre',`1Q',`/1Q',`Gnd',`/2Q',`2Q',`/2Pre',`2Clk',`2D',`/2Clr',`Vcc' +T16,71 +PinList_7437_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T28,4 +Param1_generic_smd_chip_12061206 +T14,39 +PKG_HEADER10_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 5) +T16,40 +PKG_DIN41651_16SPKG_DIN41_651STAND(`$1', `$2', `$3', 16) +T26,42 +Description_geda_SOJ42_450`Small outline J-leaded package (450 mil)' +T27,24 +Description_DIN41_651_10layDIN41.651 laying 10 pins +T17,105 +PinList_74626_dil`Gnd',`1Z',`1Y',`/1EN',`1CX1',`1CX2',`Osc-Vcc',`Osc-Gnd',`1FC',`2FC',`2CX2',`2CX1',`/2EN',`2Y',`2Z',`Vcc' +T17,79 +PinList_74293_dil`NC',`NC',`NC',`Qc',`Qb',`NC',`Gnd',`Qd',`Qa',`CKA',`CKB',`R0(1)',`R0(2)',`Vcc' +T16,3 +Param2_74668_dil300 +T16,3 +Param2_74298_dil300 +T16,2 +Param1_74580_dil20 +T16,2 +Param1_74173_dil16 +T16,2 +Param1_74136_dil14 +T10,34 +PKG_SDIP30PKG_NS(`$1', `$2', `$3', 30, 400) +T14,38 +PKG_HEADER10_2PKG_CONNECTOR(`$1', `$2', `$3', 5, 2) +T28,39 +Description_geda_TQFN32_5_EP`Square Quad Flat Nolead (QFN) package' +T20,31 +Description_7492_dil`divide by 12 counter w. clear' +T20,30 +Description_7455_dil`AND-OR inverter (2x4 inputs)' +T20,29 +Description_7418_dil`2 quad-NAND Schmitt trigger' +F8,8 +translittranslit +T24,2 +Param1_generic24smdw_dil24 +T15,2 +Param1_7450_dil14 +T16,2 +Param1_74365_dil16 +T15,2 +Param1_7413_dil14 +T14,44 +PKG_QFN12_4_EPPKG_QFN_80(`$1',`$2',`$3', `12', `4', `210') +T12,701 +PKG_BASE_SOTElement(0x00 "$1" "`$2'" "$3" 0 eval(DY+WY/2+10) 0 100 0x00) +( + define(`WID', WY) + define(`RX', `eval((WX-WID)/2)') + define(`X1', 0) + define(`Y1', DY) + define(`X2', 0) + define(`Y2', 0) + define(`X3', DX) + define(`Y3', `eval(DY/2)') + # Use Pad instead of PAD so all pads come out square + Pad(eval(X1-RX) Y1 eval(X1+RX) Y1 WID "1" 0x100) + Pad(eval(X2-RX) Y2 eval(X2+RX) Y2 WID "2" 0x100) + Pad(eval(X3-RX) Y3 eval(X3+RX) Y3 WID "3" 0x100) + + define(`LX', `eval((DX-OX)/2)') + define(`LY', `eval((DY-OY)/2)') + define(`MX', `eval((DX+OX)/2)') + define(`MY', `eval((DY+OY)/2)') + ElementLine(LX LY LX MY 6) + ElementLine(LX MY MX MY 6) + ElementLine(MX MY MX LY 6) + ElementLine(MX LY LX LY 6) + +) +T20,27 +Description_uA78L15C+15V 0.1A voltage regulator +T24,12 +Description_oscillator_1`oscillator' +T16,16 +PinList_uA78L02C`In',`Com',`Out' +T16,1 +Param1_PC_V24_9P9 +T16,2 +Param1_74594_dil16 +T10,34 +PKG_SDIP32PKG_NS(`$1', `$2', `$3', 32, 400) +T11,43 +PKG_QFN32_5PKG_QFN_50S(`$1',`$2',`$3', `32', `5', `0') +T13,701 +PKG_CRYSTAL_Vdefine(`spacing', `$4') + define(`sizX', `$5') + define(`sizY', `$6') + define(`pad', `$7') + define(`drill', `$8') + define(`centerY', `eval(sizY / 2)') + define(`pinX', `eval((sizX - spacing * (`$9'-1)) /2)') + +Element(0x00 "$1" "$2" "$3" 0 eval(0 - 60) 0 100 0x00) +( + PIN(pinX, centerY, pad, drill, 1) + PIN(eval(pinX + spacing), centerY, pad, drill, 2) + ifelse(eval(`$9' == 3), 1, + PIN(eval(pinX + 2 * spacing), centerY, pad, drill, 3)) + + ElementLine(centerY 0 eval(sizX-centerY) 0 20) + ElementArc(eval(sizX-centerY) centerY centerY centerY 90 180 20) + ElementLine(eval(sizX-centerY) sizY centerY sizY 20) + ElementArc(centerY centerY centerY centerY 270 180 20) + + Mark(pinX centerY) +) +T24,12 +Description_oscillator_2`oscillator' +T29,26 +Description_DIN41_651_22standDIN41.651 standing 22 pins +T20,23 +Description_7469_dil`2 4bit binary counter' +T16,21 +PinList_uA79M05C`Com',`In',`Out',`In' +T15,2 +Param1_7464_dil14 +T16,2 +Param1_74379_dil16 +T15,2 +Param1_7427_dil14 +T22,25 +Description_geda_ZIP12`Zig-zag in-line package' +T26,42 +Description_geda_SOJ26_400`Small outline J-leaded package (400 mil)' +T25,29 +PinList_amphenol_ARFX1230`SIG',`GND',`GND',`GND',`GND' +T19,2 +Param1_RTC62421_dil18 +T10,46 +PKG_SOT323PKG_SMT_TRANSISTOR3( `$1', `$2', `$3', 13, 18) +T11,42 +PKG_QFN32_7PKG_QFN_65(`$1',`$2',`$3', `32', `7', `0') +T20,49 +PKG_PCI5V_AVE_HEIGHTPKG_PCIPINARRAY(`$1', `$2', `$3', 2810,49,100,11) +T14,42 +PKG_LQFP160_24PKG_LQFP_50(`$1',`$2',`$3',`160',`24',`0') +T28,15 +Description_smd_resistor_805`chip_resistor' +T24,12 +Description_oscillator_4`oscillator' +T27,37 +Description_cts_CTS_742C_04`CTS 742C Series Chip Resistor Array' +T21,48 +Description_TL074_dilQuad low noise JFET input operational amplifiers +T16,16 +PinList_uA78L06C`In',`Com',`Out' +T25,29 +PinList_amphenol_ARFX1231`SIG',`GND',`GND',`GND',`GND' +T26,3 +Param1_smd_EIA_B_capacitor140 +T15,2 +Param1_7478_dil14 +T24,12 +Description_oscillator_5`oscillator' +T25,29 +PinList_amphenol_ARFX1232`SIG',`GND',`GND',`GND',`GND' +T10,46 +PKG_SOT325PKG_SMT_TRANSISTOR5( `$1', `$2', `$3', 13, 18) +T12,38 +PKG_JUMPER10PKG_CONNECTOR(`$1', `$2', `$3', 10, 1) +T25,28 +Description_geda_TQFP32_7`Square Quad-side flat pack' +T25,46 +Description_geda_ACY1400P`Axial polar component (typically capacitor),' +T19,2 +Param1_PIC16C71_dil18 +T10,46 +PKG_SOT326PKG_SMT_TRANSISTOR6( `$1', `$2', `$3', 13, 18) +T6,432 +PKG_SDdefine(`MAXY', `eval(`$4' / 2 * 100 + 50)') +Element(0x00 "$1" "`$2'" "$3" 275 50 3 100 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(50, eval(100*(i-1)+50), 60, 35, eval(2*i-1)) + PIN(150, eval(100*(i-1)+100), 60, 35, eval(2*i)) + ') + + ElementLine(0 0 0 MAXY 20) + ElementLine(0 MAXY 200 MAXY 20) + ElementLine(200 MAXY 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + + Mark(50 50) +) +T12,38 +PKG_JUMPER11PKG_CONNECTOR(`$1', `$2', `$3', 11, 1) +T22,25 +Description_geda_ZIP16`Zig-zag in-line package' +T24,30 +Description_geda_SOD106A`SMT diode (pin 1 is cathode)' +T23,64 +Description_geda_RCY300`Bottom lead non-polar circular component (typically capacitor)' +T24,37 +Description_geda_EIA3216`Tantalum SMT capacitor (pin 1 is +)' +T26,15 +Description_BNC_rightangleright angle BNC +T16,3 +Param2_74121_dil300 +T15,3 +Param2_6801_dil600 +T12,38 +PKG_JUMPER12PKG_CONNECTOR(`$1', `$2', `$3', 12, 1) +T24,12 +Description_oscillator_8`oscillator' +T27,37 +Description_cts_CTS_742C_08`CTS 742C Series Chip Resistor Array' +T21,160 +PinList_AT90S1200_dil`/Reset',`PD0',`PD1',`XTAL2',`XTAL1',`PD2/INT0',`PD3',`PD4/T0',`PD5',`Gnd' ,`PD6',`PB0/AIN0',`PB1/AIN1',`PB2',`PB3',`PB4',`PB5/MOSI',`PB6/MISO',`PB7/S CK',`Vcc' +T13,62 +PKG_SOJ40_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,291339) +T12,38 +PKG_JUMPER13PKG_CONNECTOR(`$1', `$2', `$3', 13, 1) +T26,9 +Description_generic28w_dil`generic' +T22,25 +Description_geda_ZIP18`Zig-zag in-line package' +T26,42 +Description_geda_SOJ30_350`Small outline J-leaded package (350 mil)' +T26,28 +Description_geda_QFP128_28`Square Quad-side flat pack' +T21,46 +Description_74631_dil`16bit parallel error detection/correction OC' +T21,43 +Description_74261_dil`2bit by 4bit parallel binary multiplexors' +T16,3 +Param2_74172_dil300 +T16,3 +Param2_74135_dil300 +T19,2 +Param1_PIC17C42_dil40 +T12,38 +PKG_JUMPER14PKG_CONNECTOR(`$1', `$2', `$3', 14, 1) +T20,77 +PKG_JOHNSTECH_QFN_65PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `65',`36',`50', `$6') +T31,26 +Description_smd_EIA_D_capacitor`chip_capacitor_polarized' +T27,37 +Description_geda_HEADER54_1`Header connector, DIP pin numbering' +T21,23 +Description_74490_dil`4 4bit decade counter' +T17,125 +PinList_74322_dil`/G',`S-/P',`D0',`a-Qa',`C-Qc',`E-Qe',`G-Qg',`/OE',`/Clr',`Gnd',`Clk',`Qh+',`H-Qh',`F-Qf',`D-Qd',`B-Qb',`D1',`/SE',`DS',`Vcc' +T15,3 +Param2_7402_dil300 +T20,2 +Param1_MAB8031AH_dil40 +T12,38 +PKG_JUMPER15PKG_CONNECTOR(`$1', `$2', `$3', 15, 1) +T26,42 +Description_geda_SOJ44_350`Small outline J-leaded package (350 mil)' +T27,42 +Description_geda_HEADER54_2`Header connector, ribbon cable numbering' +T21,40 +Description_geda_DIP6`Dual in-line package, narrow (300 mil)' +T21,44 +Description_74682_dil`8bit magnitute comperator w. input pull-up' +T21,26 +Description_74645_dil`8 bus tranceiver 3-state' +T18,529 +PinList_BT484_plcc`PA0',`PA1',`PA2',`PA3',`PA4',`PA5',`PA6',`PA7',`PB0',`PB1',`PB2',`PB3',`PB4',`PB5',`PB6',`PB7',`PC0',`PC1',`PC2',`PC3',`PC4',`PC5',`PC6',`PC7',`PD0',`PD1',`PD2',`PD3',`PD4',`PD5',`PD6',`PD7',`Adjust',`Gnd',`Red',`Gnd',`Green',`Gnd',`Blue',`Vaa',`Comp',`Vaa',`VRefIn',`VRefOut',`Vaa',`/Sense',`/Reset',`/Wr',`/Rd',`RS0',`RS1',`RS2',`RS3',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`/OddEven',`CDE',`/CSync',`/CBlank',`PortSel',`V0',`V1',`V2',`V3',`V4',`V5',`V6',`V7',`Vaa',`PClk1',`Vaa',`PClk0',`Vaa',`Gnd',`LClk',`Gnd',`SClk',`Gnd' +T17,136 +PinList_74181_dil`/B0',`/A0',`S3',`S2',`S1',`S0',`Cn',`M',`/F0',`/F1',`/F2',`Gnd',`/F3',`A=B',`/P',`/Cn+4',`/G',`/B3',`/A3',`/B2',`/A2',`/B1',`/A1',`Vcc' +T17,83 +PinList_74107_dil`1J',`/1Q',`1Q',`1K',`2Q',`/2Q',`Gnd',`2J',`2Clk',`/2Clr',`2K',`1Clk',`/1Clr',`Vcc' +T16,3 +Param2_74593_dil300 +T16,3 +Param2_74519_dil300 +T14,41 +PKG_TQFP160_28PKG_QFP_65(`$1',`$2',`$3',`160',`28',`0') +T12,38 +PKG_JUMPER16PKG_CONNECTOR(`$1', `$2', `$3', 16, 1) +T21,45 +Description_74467_dil`8 buffer 3-state w. seperate enable signals' +T16,22 +PinList_uA78M24C`In',`Com',`Out',`Com' +T17,101 +PinList_74373_dil`/OC',`1Q',`1D',`2D',`2Q',`3Q',`3D',`4D',`4Q',`Gnd',`C',`5Q',`5D',`6D',`6Q',`7Q',`7D',`8D',`8Q',`Vcc' +T19,3 +Param2_GAL22V10_dil300 +T15,3 +Param2_7490_dil300 +T15,3 +Param2_7453_dil300 +T16,3 +Param2_74378_dil300 +T15,3 +Param2_7416_dil300 +T16,2 +Param1_74623_dil20 +T16,2 +Param1_74290_dil14 +T16,2 +Param1_74253_dil16 +T11,42 +PKG_PLCC44XPKG_PLCC_SOCKET(`$1', `$2', `$3', 44, 150) +T12,38 +PKG_JUMPER17PKG_CONNECTOR(`$1', `$2', `$3', 17, 1) +T26,42 +Description_geda_SOJ14_300`Small outline J-leaded package (300 mil)' +T26,38 +Description_geda_RESC0603L`Standard SMT resistor, capacitor etc' +T21,40 +Description_geda_DIP8`Dual in-line package, narrow (300 mil)' +T21,57 +Description_74696_dil`4bit synchronous decade counter w. direct clear 3-state' +T13,11 +PinList_BC160`E',`B',`C' +T17,84 +PinList_74195_dil`/Clr',`J',`/K',`A',`B',`C',`D',`Gnd',`SH-/Ld',`Clk',`/Qd',`Qd',`Qc',`Qb',`Qa',`Vcc' +T17,87 +PinList_74158_dil`/A-B',`1A',`1B',`/1Y',`2A',`2B',`/2Y',`Gnd',`/3Y',`3B',`3A',`4Y',`/4Y',`4A',`/G',`Vcc' +T15,45 +PKG_TQFN32_5_EPPKG_QFN_50S(`$1',`$2',`$3', `32', `5', `310') +T14,44 +PKG_QFN20_4_EPPKG_QFN_50(`$1',`$2',`$3', `20', `4', `210') +T12,38 +PKG_JUMPER18PKG_CONNECTOR(`$1', `$2', `$3', 18, 1) +T23,30 +Description_geda_SOD123`SMT diode (pin 1 is cathode)' +T26,38 +Description_geda_RESC0603M`Standard SMT resistor, capacitor etc' +T29,31 +Description_geda_DIN41651_60S`Header connector with latches' +T26,23 +Description_L298_multiwattdual full-bridge driver +T16,3 +Param2_27128_dil600 +T20,2 +Param1_AT90S1300_dil20 +T16,2 +Param1_74674_dil24 +T16,2 +Param1_74637_dil20 +T10,43 +PKG_QSOP20PKG_SSO( `$1', `$2', `$3', 20, 244, 2500) +T12,38 +PKG_JUMPER19PKG_CONNECTOR(`$1', `$2', `$3', 19, 1) +T8,74 +PKG_0603PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 75, 130, 230, 130, 0, 0) +T25,39 +Description_geda_TQFN24_4`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ28_300`Small outline J-leaded package (300 mil)' +T26,38 +Description_geda_RESC0603N`Standard SMT resistor, capacitor etc' +T24,3 +Description_MC68008_plccCPU +T17,2 +Param1_BT484_plcc84 +T12,41 +PKG_RCY1100PPKG_RADIAL_CAN(`$1', `$2', `$3', 2200, 1) +T16,2 +Param1_74688_dil20 +T20,106 +PKG_SMT_TRANSISTOR5ACOMMON_SMT_TRANSISTOR_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 50, `45123') +T11,63 +PKG_QFN_50SPKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `50',`28',`40', `$6') +T29,31 +Description_geda_DIN41651_64S`Header connector with latches' +T21,38 +Description_geda_2706`Standard SMT resistor, capacitor etc' +T28,96 +PinList_DIN41_612_ab64femaleforloop(`i', 1, 32, `define(`P_'i, `a'i)') + forloop(`i', 1, 32, `define(`P_'eval(i +32), `b'i)') +T9,71 +PKG_TO251GENERIC_PL_POWER(`$1', `$2', `$3', 3, 90, 265, 100, 50, 70, 40, 0) +T6,58 +PKG_SOCOMMON_SMT_DUALINLINE(`$1',`$2',`$3',`$4',`$5',`$6',20,50) +T14,39 +PKG_HEADER34_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 17) +T12,40 +PKG_ACY1000PPKG_AXIAL_LAY(`$1', `$2', `$3', 1000, 1) +T24,33 +Description_geda_QFP80_R`Rectangular Quad-side flat pack' +T29,47 +Description_amphenol_ARFX1230Amphenol ARFX1230 Right Angle SMA Connector (M) +T10,43 +PKG_QSOP24PKG_SSO( `$1', `$2', `$3', 24, 244, 2500) +T22,62 +PKG_MINICIRCUITS_CB539COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,30000,90000,50000,135000) +T14,38 +PKG_HEADER34_2PKG_CONNECTOR(`$1', `$2', `$3', 17, 2) +T27,28 +Description_geda_LQFP120_16`Square Quad-side flat pack' +T29,44 +Description_amphenol_ARFX1231Amphenol ARFX1231 Vertical SMA Connector (F) +F8,8 +errprinterrprint +F4,4 +decrdecr +T17,97 +PinList_TL074_dil`Out1',`In1-',`In1+',`Vcc+',`In2+',`In2-',`Out2',`Out3',`In3-',`In3+',`Vcc-',`In4+',`In4-',`Out4' +T18,118 +PinList_MAX222_dil`NC',`C1+',`V+',`C1-',`C2+',`C2-',`V-',`T2out',`R2in',`R2out',`T2in',`T1in',`R1out',`R1in',`T1out',`GND',`Vcc',`/Shdn' +T16,3 +Param2_7451L_dil300 +T13,41 +PKG_TQFP52_10PKG_QFP_65(`$1',`$2',`$3', `52',`10',`0') +T11,41 +PKG_RCY700PPKG_RADIAL_CAN(`$1', `$2', `$3', 1400, 1) +T29,47 +Description_amphenol_ARFX1232Amphenol ARFX1232 Right Angle SMA Connector (F) +T13,62 +PKG_SOJ42_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',42,23622,86614,50000,196850) +T21,54 +PKG_JOHNSTECH_QFN28_5PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `28', `5', `310') +T11,39 +PKG_ACY800PPKG_AXIAL_LAY(`$1', `$2', `$3', 800, 1) +T19,26 +Description_uA7808C+8V 1.5A voltage regulator +T17,9 +Description_r_025`R 0.25W' +T26,38 +Description_geda_CAPC0402L`Standard SMT resistor, capacitor etc' +T23,62 +Description_geda_ACY150`Axial non-polar component (typically resistor or capacitor),' +T21,30 +Description_74112_dil`2 JK edge FF w. preset/clear' +T18,3231 +COMMON_SMT_DIL_MIL + # number of pads + define(`NPADS', `$4') + # pad width in 1/1000 mil + define(`PADWIDTH', `$5') + # pad length in 1/1000 mil + define(`PADLENGTH',`$6') + # pad pitch 1/1000 mil + define(`PITCH',`$7') + # seperation between pads on opposite sides 1/1000 mil + define(`PADSEP',`$8') + + # X coordinates for the right hand column of pads (1/100 mils) + define(`X1', `eval( (PADSEP/2 + PADLENGTH - PADWIDTH/2)/10)') + define(`X2', `eval( (PADSEP/2 + PADWIDTH/2)/10)') + + # pad clearance to plane layer in 1/100 mil + define(`PADCLEAR', 1000) + + # pad soldermask width in 1/100 mil + define(`PADMASK', eval(PADWIDTH/10 + 1000)) + + # silk screen width (1/100 mils) + define(`SILKW', `1000') + define(`SILKSEP', `500') + + + # figure out if we have an even or odd number of pins per side + define(`TMP1', eval(NPADS/4)) + define(`TMP2', eval((4*TMP1 - NPADS) == 0)) + ifelse(TMP2, 1, `define(`EVEN',"yes")', `define(`EVEN',"no")') + + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) + define(`XMAX', `eval( (PADSEP/2 + PADLENGTH + 5*SILKW)/10 + SILKSEP )') + ifelse(EVEN,"yes", + `define(`YMAX', `eval( ((NPADS/4)*PITCH - PITCH/2 + PADWIDTH/2 + 5*SILKW)/10 + SILKSEP )')', + `define(`YMAX', `eval( ((NPADS/4)*PITCH + PADWIDTH/2 + 5*SILKW)/10 + SILKSEP )')' + ) + + define(`REV', `$9') + + ifelse(REV,"reverse", + `define(`CURPIN', NPADS)' + , + `define(`CURPIN', `1')' + ) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "$1" "`$2'" "$3" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + forloop(`i', 1, eval(NPADS / 2), + `ifelse(EVEN,"yes", + `Pad[ -X1 eval( (-(NPADS/4)*PITCH - PITCH/2 + i*PITCH)/10) + -X2 eval( (-(NPADS/4)*PITCH - PITCH/2 + i*PITCH)/10) + eval(PADWIDTH/10) PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]', + `Pad[ -X1 eval( (-(NPADS/4)*PITCH - PITCH + i*PITCH)/10) + -X2 eval( (-(NPADS/4)*PITCH - PITCH + i*PITCH)/10) + eval(PADWIDTH/10) PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]') + + ifelse(REV,"reverse", + `define(`CURPIN', decr(CURPIN))', + `define(`CURPIN', incr(CURPIN))' + ) + ') + forloop(`i', eval((NPADS / 2) + 1), NPADS, + `ifelse(EVEN,"yes", + `Pad[ X1 eval( ((NPADS/4)*PITCH + PITCH/2 - (i-NPADS/2)*PITCH)/10) + X2 eval( ((NPADS/4)*PITCH + PITCH/2 - (i-NPADS/2)*PITCH)/10) + eval(PADWIDTH/10) PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]', + `Pad[ X1 eval( ((NPADS/4)*PITCH + PITCH - (i-NPADS/2)*PITCH)/10) + X2 eval( ((NPADS/4)*PITCH + PITCH - (i-NPADS/2)*PITCH)/10) + eval(PADWIDTH/10) PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]') + ifelse(REV,"reverse", + `define(`CURPIN', decr(CURPIN))', + `define(`CURPIN', incr(CURPIN))' + ) + ') + + ElementLine[-XMAX -YMAX -XMAX YMAX SILKW] + ElementLine[-XMAX YMAX XMAX YMAX SILKW] + ElementLine[ XMAX YMAX XMAX -YMAX SILKW] + ElementLine[-XMAX -YMAX -2500 -YMAX SILKW] + ElementLine[ XMAX -YMAX 2500 -YMAX SILKW] + + # punt on the arc on small parts as it can cover the pads + ifelse(eval((PADSEP)/1000 > 70), 1, `ElementArc[0 -YMAX 2500 2500 0 180 SILKW]', ) + +) +T15,22 +PinList_uA7806C`In',`Com',`Out',`Com' +T18,45 +PinList_MAX680_dil`C1-',`C2+',`C2-',`V-',`GND',`Vcc',`C1+',`V+' +T9,71 +PKG_TO218GENERIC_PL_POWER(`$1', `$2', `$3', 3, 219, 615, 200, 120,100, 60, 0) +T23,24 +Description_geda_SC70_3`SMT transistor, 3 pins' +T26,38 +Description_geda_CAPC0402M`Standard SMT resistor, capacitor etc' +T10,43 +PKG_QSOP28PKG_SSO( `$1', `$2', `$3', 28, 244, 2500) +T23,24 +Description_geda_SC70_4`SMT transistor, 4 pins' +T28,31 +Description_geda_DIN41651_10`Header connector with latches' +T26,38 +Description_geda_CAPC0402N`Standard SMT resistor, capacitor etc' +T21,47 +Description_74163_dil`syncronous 4bit binary counter w. sync. clear' +T21,36 +Description_74126_dil`4 buffers 3-state positive control' +T16,67 +PinList_7442_dil`0',`1',`2',`3',`4',`5',`6',`Gnd',`7',`8',`9',`D',`C',`B',`A',`Vcc' +T16,71 +PinList_7405_dil`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc' +T16,3 +Param2_74444_dil300 +T17,2 +Param1_MAX222_dil18 +T15,2 +Param1_2532_dil24 +T11,43 +PKG_QFN56_8PKG_QFN_50S(`$1',`$2',`$3', `56', `8', `0') +T29,16 +Description_smd_capacitor_603`chip_capacitor' +T23,24 +Description_geda_SC70_5`SMT transistor, 5 pins' +T24,60 +Description_geda_RCY400P`Bottom lead polar circular component (typically capacitor)' +T22,10 +Description_geda_HC49U`Crystals' +T25,16 +Description_MAB8031AH_dilmicro controller +T21,58 +Description_74355_dil`8-to-1 data selector/multiplexor/register transparent OC' +T17,171 +PinList_74631_dil`DEF',`DB0',`DB1',`DB2',`DB3',`DB4',`DB5',`DB6',`DB7',`DB8',`DB9',`DB10',`DB11',`Gnd',`DB12',`DB13',`DB14',`DB15',`CB5',`CB4',`CB3',`CB2',`CB1',`CB0',`S0',`S1',`SEF',`Vcc' +T17,81 +PinList_74261_dil`B3',`B4',`C',`M2',`/Q4',`Q3',`Q2',`Gnd',`Q1',`Q0',`M0',`M1',`B0',`B1',`B2',`Vcc' +T16,3 +Param2_74673_dil300 +T16,3 +Param2_74636_dil300 +T16,3 +Param2_74266_dil300 +T15,2 +Param1_SUBD_25F25 +T8,74 +PKG_1008PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 230, 110, 260, 410, 280, 70, 230) +T30,28 +Description_geda_TQFP128_14_EP`Square Quad-side flat pack' +T23,24 +Description_geda_SC70_6`SMT transistor, 6 pins' +T24,46 +Description_geda_ACY500P`Axial polar component (typically capacitor),' +T20,24 +Description_7423_dil`2 quad-NOR with strobe' +T21,50 +Description_74177_dil`presettable binary counter/latch (divide by 2/8)' +T16,81 +PinList_7493_dil`ClkB',`R0(1)',`R0(2)',`NC',`Vcc',`NC',`NC',`Qc',`Qb',`Gnd',`Qd',`Qa',`NC',`ClkA' +T17,103 +PinList_74490_dil`1Clk',`1Clr',`1Qa',`1Set9',`1Qb',`1Qc',`1Qd',`Gnd',`2Qd',`2Qc',`2Qb',`2Set9',`2Qa',`2Clr',`2Clk',`Vcc' +T16,71 +PinList_7419_dil`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc' +T17,1 +Param1_MAX680_dil8 +T21,55 +PKG_JOHNSTECH_QFN32_5PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `32', `5', `310') +T11,39 +PKG_ACY100PPKG_AXIAL_LAY(`$1', `$2', `$3', 100, 1) +T26,42 +Description_geda_SOJ24_450`Small outline J-leaded package (450 mil)' +T28,31 +Description_geda_DIN41651_50`Header connector with latches' +T21,11 +Description_27512_dilEPROM 64Kx8 +F8,8 +maketempmaketemp +T16,16 +PinList_uA79L15C`Out',`In',`Com' +T17,105 +PinList_74682_dil`/P>Q',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T17,103 +PinList_74645_dil`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/EN',`Vcc' +T16,3 +Param2_74687_dil300 +T16,2 +Param1_74192_dil16 +T16,2 +Param1_74155_dil16 +T28,31 +Description_geda_DIN41651_14`Header connector with latches' +T20,38 +Description_7474_dil`2 D-type pos-edge FF w. preset/clear' +T21,59 +Description_74598_dil`8bit shift register w. input register/parallel 3-state IO' +T20,20 +Description_7437_dil`4 dual-NAND buffer' +T15,8 +PinList_LED_3MM`-', `+' +T17,119 +PinList_74467_dil`/1G',`1A1',`1Y1',`1A2',`1Y2',`1A3',`1Y3',`1A4',`1Y4',`Gnd',`2Y1',`2A1',`2Y2',`2A2',`2Y3',`2A3',`2Y4',`2A4',`/2G',`Vcc' +T22,2 +Param1_DIN41_651_24lay24 +T16,2 +Param1_74384_dil16 +T15,2 +Param1_7432_dil14 +T21,54 +PKG_JOHNSTECH_QFN32_7PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `32', `7', `470') +T16,40 +PKG_DIN41651_40SPKG_DIN41_651STAND(`$1', `$2', `$3', 40) +T8,74 +PKG_1825PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 680, 160, 400, 610, 730, 160, 680) +T26,42 +Description_geda_SOJ38_450`Small outline J-leaded package (450 mil)' +T27,28 +Description_geda_LQFP144_20`Square Quad-side flat pack' +T17,30 +Description_BC307PNP general purpose transistor +T25,33 +Description_AT90S1300_dilAVR Enhanced RISC microcontroller +T17,115 +PinList_74696_dil`U-/D',`CCK',`A',`B',`C',`D',`/ENP',`/CClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc' +T26,2 +Param2_smd_EIA_B_capacitor90 +T23,2 +Param1_generic18smd_dil18 +T16,2 +Param1_74169_dil16 +T28,31 +Description_geda_DIN41651_16`Header connector with latches' +T15,21 +PinList_uA7924C`Com',`In',`Out',`In' +T15,2 +Param1_7483_dil16 +T15,2 +Param1_7446_dil16 +T15,2 +Param1_7409_dil14 +T13,39 +PKG_HEADER2_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 1) +T20,26 +Description_uA78L02C+2V 0.1A voltage regulator +T20,9 +Description_menu_qfp`generic' +F7,7 +includeinclude +T19,3 +Param2_RTC62421_dil300 +T24,3 +Param1_generic_diode_800800 +T13,38 +PKG_HEADER2_2PKG_CONNECTOR(`$1', `$2', `$3', 1, 2) +T20,26 +Description_uA79M05C-5V 0.5A voltage regulator +T15,2 +Param1_SUBD_25M25 +T24,2 +Param1_DIN41_651_18stand18 +T15,2 +Param1_7497_dil16 +T13,41 +PKG_LQFP128_RPKG_LQFP_50(`$1',`$2',`$3',`128',`0',`0') +T20,3 +Param2_generic40_dil600 +T18,3 +Param2_MAX233A_dil300 +T35,3 +Param1_generic_capacitor_radial_600600 +T16,1 +Param1_TL071_dil8 +T20,26 +Description_uA78L06C+6V 0.1A voltage regulator +T16,173 +PinList_6551_dil`Vss',`CS0',`/CS1',`/Reset',`RxC',`XTLI',`XTLO',`/RTS',`/CTS',`TxD',`/DTR',`RxD',`RS0',`RS1',`Vcc',`/DCD',`/DSR',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`/Irq',`Phi2',`R-/W' +T19,3 +Param2_PIC16C71_dil300 +T15,3 +Param2_2114_dil300 +T9,67 +PKG_SO16WCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 16, 20000, 80000, 50000, 280000) +T10,36 +PKG_ACY600PKG_AXIAL_LAY(`$1', `$2', `$3', 600) +T28,9 +Description_generic20smd_dil`generic' +T16,3 +Param2_74140_dil300 +T16,2 +Param1_TL085_dil14 +T10,35 +PKG_PLCC44PKG_PLCC(`$1', `$2', `$3', 44, 150) +T16,619 +GENERIC_PL_POWERdefine(`pin1X', `eval((`$6' - (`$4' - 1) * `$5')/2)') +Element(0x00 "$1" "`$2'" "$3" eval(`$6'+60) 50 3 100 0x00) +( + forloop(`i', 1, `$4', + `PIN(eval(pin1X + (i-1)*`$5'), ifelse(eval(i % 2 == 0), 0, eval(`$8'+`$11'), `$8'), `$9', `$10', i) + ') + ElementLine(0 0 0 `$7' 20) + ElementLine(0 `$7' `$6' `$7' 20) + ElementLine(`$6' `$7' `$6' 0 20) + ElementLine(`$6' 0 0 0 20) + ElementLine(0 50 `$6' 50 10) + ElementLine(eval(`$6'/2 - 75) 0 eval(`$6'/2 - 75) 50 10) + ElementLine(eval(`$6'/2 + 75) 0 eval(`$6'/2 + 75) 50 10) + Mark(pin1X eval(`$7'+`$10')) + ) +T22,29 +Description_geda_DB25F`DSUB connector, female/male' +T13,62 +PKG_SOJ22_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,291339) +T13,74 +PKG_CAPC4532LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 330, 140, 380, 540, 370, 160, 330) +T21,40 +Description_74280_dil`9bit odd/even parity generator/checker' +T21,39 +Description_74243_dil`4 bus tranceiver w. inverting outputs' +T17,99 +PinList_74112_dil`1Clk',`1K',`1J',`/1Pre',`1Q',`/1Q',`/2Q',`Gnd',`2Q',`/2Pre',`2J',`2K',`2Clk',`/2Clr',`/1Clr',`Vcc' +T19,3 +Param2_PIC17C42_dil600 +T16,3 +Param2_74191_dil300 +T16,3 +Param2_74154_dil300 +T15,3 +Param2_2764_dil600 +T14,39 +PKG_HEADER58_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 29) +T15,40 +PKG_DIN41651_10PKG_DIN41_651LAY( `$1', `$2', `$3', 10) +T13,74 +PKG_CAPC4532MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 350, 180, 420, 700, 450, 160, 350) +T25,39 +Description_geda_TQFN48_7`Square Quad Flat Nolead (QFN) package' +T24,16 +Description_PIC16C84_dilmicro controller +T4,114 +args + ifelse($#, 0, , $#, 1,`define(`arg'cnt,`$1')', + `define(`arg'cnt,`$1') define(`cnt',incr(cnt)) args(shift($@))') +T15,3 +Param2_7421_dil300 +T16,2 +Param1_74221_dil16 +T13,62 +PKG_SOJ36_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,291339) +T11,41 +PKG_QFP64_RPKG_QFP_100(`$1',`$2',`$3', `64',`0',`0') +T16,2284 +PKG_PQFP52_10X10Element(0x00 "$1" "`$2'" "$3" 250 200 0 150 0x00) +( + Pad(0 129 80 129 16 "1" 0x00000101) + Pad(0 155 80 155 16 "2" 0x00000001) + Pad(0 180 80 180 16 "3" 0x00000001) + Pad(0 206 80 206 16 "4" 0x00000001) + Pad(0 232 80 232 16 "5" 0x00000001) + Pad(0 257 80 257 16 "6" 0x00000001) + Pad(0 283 80 283 16 "7" 0x00000001) + Pad(0 308 80 308 16 "8" 0x00000001) + Pad(0 334 80 334 16 "9" 0x00000001) + Pad(0 359 80 359 16 "10" 0x00000001) + Pad(0 385 80 385 16 "11" 0x00000001) + Pad(0 411 80 411 16 "12" 0x00000001) + Pad(0 436 80 436 16 "13" 0x00000001) + + Pad(129 565 129 485 16 "14" 0x00000001) + Pad(155 565 155 485 16 "15" 0x00000001) + Pad(180 565 180 485 16 "16" 0x00000001) + Pad(206 565 206 485 16 "17" 0x00000001) + Pad(232 565 232 485 16 "18" 0x00000001) + Pad(257 565 257 485 16 "19" 0x00000001) + Pad(283 565 283 485 16 "20" 0x00000001) + Pad(308 565 308 485 16 "21" 0x00000001) + Pad(334 565 334 485 16 "22" 0x00000001) + Pad(359 565 359 485 16 "23" 0x00000001) + Pad(385 565 385 485 16 "24" 0x00000001) + Pad(411 565 411 485 16 "25" 0x00000001) + Pad(436 565 436 485 16 "26" 0x00000001) + + Pad(485 436 565 436 16 "27" 0x00000001) + Pad(485 411 565 411 16 "28" 0x00000001) + Pad(485 385 565 385 16 "29" 0x00000001) + Pad(485 359 565 359 16 "30" 0x00000001) + Pad(485 334 565 334 16 "31" 0x00000001) + Pad(485 308 565 308 16 "32" 0x00000001) + Pad(485 283 565 283 16 "33" 0x00000001) + Pad(485 257 565 257 16 "34" 0x00000001) + Pad(485 232 565 232 16 "35" 0x00000001) + Pad(485 206 565 206 16 "36" 0x00000001) + Pad(485 180 565 180 16 "37" 0x00000001) + Pad(485 155 565 155 16 "38" 0x00000001) + Pad(485 129 565 129 16 "39" 0x00000001) + + Pad(436 0 436 80 16 "40" 0x00000001) + Pad(411 0 411 80 16 "41" 0x00000001) + Pad(385 0 385 80 16 "42" 0x00000001) + Pad(359 0 359 80 16 "43" 0x00000001) + Pad(334 0 334 80 16 "44" 0x00000001) + Pad(308 0 308 80 16 "45" 0x00000001) + Pad(283 0 283 80 16 "46" 0x00000001) + Pad(257 0 257 80 16 "47" 0x00000001) + Pad(232 0 232 80 16 "48" 0x00000001) + Pad(206 0 206 80 16 "49" 0x00000001) + Pad(180 0 180 80 16 "50" 0x00000001) + Pad(155 0 155 80 16 "51" 0x00000001) + Pad(129 0 129 80 16 "52" 0x00000001) + + ElementLine( 135 129 436 129 20) + ElementLine( 129 135 129 436 20) + ElementLine( 129 436 436 436 20) + ElementLine( 436 436 436 129 20) + ElementLine( 129 135 135 129 20) + + Mark(0 0) +) +T10,35 +PKG_PLCC84PKG_PLCC(`$1', `$2', `$3', 84, 150) +T14,38 +PKG_HEADER58_2PKG_CONNECTOR(`$1', `$2', `$3', 29, 2) +T13,74 +PKG_CAPC4532NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340) +T20,27 +Description_uA78M20C+20V 0.5A voltage regulator +T26,42 +Description_geda_SOJ26_350`Small outline J-leaded package (350 mil)' +T24,47 +Description_geda_PLCC20X`Plastic leadless chip carrier with pin socket' +T21,33 +Description_74627_dil`2 voltage controlled oscillator' +T21,41 +Description_74294_dil`programmable divider/timer (2 power 15)' +T21,35 +Description_74257_dil`4 2-to-1 selectors/demultiplexors' +T17,86 +PinList_74163_dil`/Clr',`Clk',`A',`B',`C',`D',`ENP',`Gnd',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc' +T17,71 +PinList_74126_dil`1G',`1A',`1Y',`2G',`2A',`2Y',`Gnd',`3Y',`3A',`3G',`4Y',`4A',`4G',`Vcc' +T16,3 +Param2_74575_dil300 +T16,3 +Param2_74538_dil300 +T9,46 +PKG_SOD80PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 37, 16) +T27,37 +Description_geda_HEADER12_1`Header connector, DIP pin numbering' +T21,50 +Description_74449_dil`4 bus tranceiver w. individual direction control' +T18,117 +PinList_uA747C_dil`1 In-',`1 In+',`1 OfsN2',`Vcc-',`2 OfsN2',`2 In+',`2 In-',`2 OfsN1',`2 Vcc+',`2 Out',`NC',`1 Out',`1 Vcc+',`1 OfsN1' +T17,103 +PinList_74355_dil`D7',`D6',`D5',`D4',`D3',`D2',`D1',`D0',`/DC',`Gnd',`/SC',`S2',`S1',`S0',`/G1',`/G2',`G3',`W',`Y',`Vcc' +T16,124 +PinList_4016_dil`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`/W',`A9',`A8',`Vcc' +T15,3 +Param2_7472_dil300 +T16,2 +Param1_74642_dil20 +T13,41 +PKG_TQFP80_12PKG_QFP_50(`$1',`$2',`$3', `80',`12',`0') +T15,40 +PKG_DIN41651_50PKG_DIN41_651LAY( `$1', `$2', `$3', 50) +T27,28 +Description_geda_TQFP144_28`Square Quad-side flat pack' +T22,40 +Description_geda_SO18M`Small outline package, medium (200mil)' +T27,42 +Description_geda_HEADER12_2`Header connector, ribbon cable numbering' +T17,76 +PinList_74177_dil`/Load',`Qc',`C',`A',`Qa',`Clk2',`Gnd',`Clk1',`Qb',`B',`D',`Qd',`/Clr',`Vcc' +T21,77 +PKG_JOHNSTECH_QFN_50SPKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `50',`36',`50', `$6') +T15,40 +PKG_DIN41651_14PKG_DIN41_651LAY( `$1', `$2', `$3', 14) +T20,27 +Description_uA78M24C+24V 0.5A voltage regulator +T22,40 +Description_geda_SO18N`Small outline package, narrow (150mil)' +T21,38 +Description_geda_1806`Standard SMT resistor, capacitor etc' +T17,149 +PinList_27512_dil`A15',`A12',`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8',`A13',`A14',`Vcc' +T20,3 +Param2_AT90S4434_dil300 +T15,3 +Param2_7486_dil300 +T15,3 +Param2_7449_dil300 +T20,2 +Param1_PEB2086N_plcc44 +T16,2 +Param1_74693_dil20 +T13,41 +PKG_TQFP80_14PKG_QFP_65(`$1',`$2',`$3', `80',`14',`0') +T14,39 +PKG_HEADER62_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 31) +T40,18 +Description_generic_capacitor_radial_300`capacitor_radial' +T23,64 +Description_geda_RCY250`Bottom lead non-polar circular component (typically capacitor)' +T27,39 +Description_geda_QFN36_6_EP`Square Quad Flat Nolead (QFN) package' +T22,29 +Description_geda_DB25M`DSUB connector, female/male' +T17,139 +PinList_74598_dil`A-Qa',`B-Qb',`C-Qc',`D-Qd',`E-Qe',`F-Qf',`G-Qg',`H-Qh',`/SRLoad',`Gnd',`Qh+',`/SRClr',`SRCK',`/SRCKEn',`RCK',`/G',`Ser1',`Ser0',`DS',`Vcc' +T16,3 +Param2_TL070_dil300 +T16,2 +Param1_81C17_dil20 +T14,38 +PKG_HEADER62_2PKG_CONNECTOR(`$1', `$2', `$3', 31, 2) +T11,44 +PKG_EIA7343PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 73, 43) +T15,40 +PKG_DIN41651_16PKG_DIN41_651LAY( `$1', `$2', `$3', 16) +T29,31 +Description_geda_DIN41651_10S`Header connector with latches' +T17,2 +Param1_uA747C_dil14 +T13,62 +PKG_SOJ40_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,244094) +T24,47 +Description_geda_PLCC28X`Plastic leadless chip carrier with pin socket' +T16,22 +PinList_uA78M15C`In',`Com',`Out',`Com' +T20,3 +Param2_generic80_qfp150 +T16,3 +Param2_TL084_dil300 +T24,2 +Param1_DIN41_651_26stand26 +T14,72 +PKG_MULTIWATT8GENERIC_PL_POWER(`$1', `$2', `$3', 8, 100, 800, 200, 115, 90, 60, 0) +T22,14 +Description_PC_V24_25PPC V24 25-pins +T14,271 +PKG_TO126STANDElement(0x00 "$1" "`$2'" "$3" 60 170 0 100 0x00) +( + PIN(110, 100, 80, 52, 1) + PIN(200, 100, 80, 52, 2) + PIN(290, 100, 80, 52, 3) + + ElementLine(50 50 350 50 20) + ElementLine(350 50 350 150 20) + ElementLine(350 150 50 150 20) + ElementLine(50 150 50 50 20) + + Mark(100 100) +) +T9,46 +PKG_SOD87PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 37, 21) +T29,31 +Description_geda_DIN41651_14S`Header connector with latches' +T10,63 +PKG_QFN_50PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `50',`28',`60', `$6') +T22,38 +Description_geda_SO14W`Small outline package, wide (300mil)' +T16,127 +PinList_2716_dil`n/c',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/CeP',`A10',`/Oe',`Vpp',`A9',`A8',`Vcc' +T13,62 +PKG_SOJ24_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,196850) +T21,55 +PKG_JOHNSTECH_QFN56_8PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `56', `8', `665') +T27,24 +Description_DIN41_651_64layDIN41.651 laying 64 pins +T16,71 +PinList_7410_dil`A1',`B1',`A2',`B2',`C2',`Y2',`Gnd',`Y3',`A3',`B3',`C3',`Y1',`C1',`Vcc' +T24,49 +Description_geda_TSSOP10`Thin shrink small outline package, narrow (3mm)' +T28,27 +Description_PCI5V_AVE_HEIGHTPCI 5V Array Average Height +T21,39 +Description_74323_dil`8bit universal shift/storage register' +T16,3 +Param2_74641_dil300 +T16,3 +Param2_74604_dil300 +T14,41 +PKG_TQFP208_28PKG_QFP_50(`$1',`$2',`$3',`208',`28',`0') +T8,30 +PKG_TO92PKG_TO92BASE(`$1', `$2', `$3') +T8,30 +PKG_TO18PKG_TO18BASE(`$1', `$2', `$3') +T13,62 +PKG_SOJ38_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,196850) +T7,401 +PKG_SILdefine(`MAXY', `eval(`$4' * 100 -50)') +Element(0x00 "$1" "`$2'" "$3" 160 10 3 100 0x00) +( + forloop(`i', 1, $4, + `PIN(50, eval(i * 100 -50), 60, 28, i) + ') + ElementLine( 0 50 0 MAXY 20) + ElementLine(100 50 100 MAXY 20) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 MAXY 50 50 0 180 20) + forloop(`i', 1, eval($4 /2 -1), + `ElementLine(0 eval(i * 200) 100 eval(i * 200) 10) + ') + Mark(50 50) +) +T15,4504 +PKG_GENERIC_QFN + # number of pins on left/right sides (pin1 is upper pin on left side) + define(`LRPINS', `eval($4 / 4)') + # number of pins on top/bottom sides + define(`TBPINS', `eval(`$4' / 2 - LRPINS)') + # pin pitch (1/1000 mil) + define(`PITCH',`eval(`$6'*100000/254)') + # y-coordinate for upper pin on left/right sides (1/1000 mil) + define(`LRYMAX', `eval((LRPINS-1)*PITCH/2)') + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + define(`TBXMAX', `eval((TBPINS-1)*PITCH/2)') + # total horizontal package width (1/1000 mil) + define(`LRWIDTHMM', `ifelse(0,`$5',`787',`eval($5)')') + define(`LRWIDTH', `ifelse(0,`$5',`787',`eval(`$5'*10000000/254)')') + # total vertical package width (1/1000 mil) + define(`TBWIDTH',`ifelse(0,`$5',`551',`eval(`$5'*10000000/254)')') + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + define(`EXTOUT', eval(25*100000/254)) + # how much pads extend inward from the package pad edge (1/1000 mil) + define(`EXTIN', eval(5*100000/254)) + # pad length/width (1/1000 mil) + define(`PADLENGTH', `eval(`$8'*100000/254)') + define(`PADWIDTH', `eval(`$7'*100000/254)') + # pad width (mil/100) + define(`PADWIDTHMIL100', `eval(PADWIDTH/10)') + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + define(`LRXMAX',`eval((LRWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`LRXMIN',`eval((LRWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + define(`TBYMAX',`eval((TBWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`TBYMIN',`eval((TBWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + + # silkscreen width (mils/100) + define(`SILKW', 1000) + # how much the silk screen is moved away from the package (1/1000 mil) + define(`SILKEXT', `eval(EXTOUT + SILKW*10/2 + 5*1000)') + # upper right corner for silk screen (mil/100) + define(`SILKX', `eval((LRWIDTH/2 + SILKEXT )/10)') + define(`SILKY', `eval((TBWIDTH/2 + SILKEXT )/10)') + # refdes text size (mil/100) + define(`TEXTSIZE', 100) + # x,y coordinates for refdes label (mil/100) + define(`TEXTX', -SILKX) + define(`TEXTY', `eval(-SILKY - 1000 - TEXTSIZE/2)') + # square exposed paddle size (mil/100) + define(`EPSIZE', `eval(`$9'*10000/254)') + + # pad clearance to polygons (1/100 mil) + define(`PADCLEAR', `2000') + + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + define(`PADMASK', `eval(2*150 + PADWIDTHMIL100)') + + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side + define(`EPMASK', `eval(2*200 + EPSIZE)') + +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "$1" "`$2'" "$3" 0 0 TEXTX TEXTY 0 TEXTSIZE 0x00000000] +( + + +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] + +# left row +define(`CURPIN', 1) +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval((-LRYMAX + PITCH*idx)/10)')' + `Pad[-LRXMAX Y -LRXMIN Y PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# bottom row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((-TBXMAX + PITCH*idx)/10)')' + `Pad[X TBYMAX X TBYMIN PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000900]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# right row +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval(( LRYMAX - PITCH*idx)/10)')' + `Pad[LRXMAX Y LRXMIN Y PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# top row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((TBXMAX - PITCH*idx)/10)')' + `Pad[X -TBYMAX X -TBYMIN PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000900]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# Exposed paddle (if this is an exposed paddle part) +ifelse(1, eval(EPSIZE>0), +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 EPSIZE 0 EPMASK "CURPIN" "CURPIN" 0x00000100] + define(`CURPIN', incr(CURPIN)) +) + +# Silk screen around package +ElementLine[ SILKX SILKY SILKX -SILKY SILKW] +ElementLine[ SILKX -SILKY -SILKX -SILKY SILKW] +ElementLine[-SILKX -SILKY -SILKX SILKY SILKW] +ElementLine[-SILKX SILKY SILKX SILKY SILKW] + +# Pin 1 indicator +ElementLine[-SILKX -SILKY eval(-SILKX - 1500) eval(-SILKY - 1500) SILKW] + +) +T22,38 +Description_geda_SO18W`Small outline package, wide (300mil)' +T25,35 +Description_geda_MTA100_2`MTA Connector, (0.100 inch pitch)' +T21,28 +Description_74182_dil`look-ahead carry generator' +T21,24 +Description_74145_dil`BCD to decimal decoder' +T16,71 +PinList_7424_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T12,42 +PKG_TQFN12_4PKG_QFN_80(`$1',`$2',`$3', `12', `4', `0') +T29,15 +Description_smd_resistor_1206`chip_resistor' +T25,35 +Description_geda_MTA100_3`MTA Connector, (0.100 inch pitch)' +T23,10 +Description_geda_HC51UH`Crystals' +T21,19 +Description_74374_dil`8 edge FF 3-state' +T17,71 +PinList_74280_dil`G',`H',`NC',`I',`SumEven',`SumOdd',`Gnd',`A',`B',`C',`D',`E',`F',`Vcc' +T17,74 +PinList_74243_dil`/Gab',`NC',`A1',`A2',`A3',`A4',`Gnd',`B4',`B3',`B2',`B1',`NC',`Gba',`Vcc' +T23,2 +Param2_smd_resistor_60330 +T16,3 +Param2_74285_dil300 +T16,3 +Param2_74248_dil300 +T15,2 +Param1_L297_dil20 +T16,2 +Param1_74160_dil16 +T16,2 +Param1_74123_dil16 +T9,37 +PKG_TO126PKG_TO126LAY( `$1', `$2', `$3') +T15,3643 +PKG_GENERIC_QFPdefine(`QUARTER', `ifelse(0,`$5',`eval($4 * 3 / 10)',`eval($4 / 4)')') + define(`QUARTER2', `eval(`$4' / 2 - QUARTER)') + define(`OFFSET', `eval((QUARTER +1) /2)') + define(`WIDTH', `ifelse(0,`$5',`78740',`eval(`$5'*1000000/254)')') + define(`WIDTH2',`ifelse(0,`$5',`55118',`eval(`$5'*1000000/254)')') + define(`PITCH',`eval(`$6'*100000/254)') + define(`PINREACH', `eval(((QUARTER-1)*PITCH/10)/2)') + define(`PINREACH2', `eval(((QUARTER2-1)*PITCH/10)/2)') + define(`HALFWIDTH', `eval(WIDTH / 2)') + define(`HALFWIDTH2', `eval(WIDTH2 / 2)') + define(`NUMPINS', `$4') + define(`PADTHICKNESS',`eval(`$7'*10000/254)') + define(`PADMINOFFSET',`eval(`$8'*100+PADTHICKNESS/2)') + define(`PADMAXOFFSET',`eval(`$9'*100-PADTHICKNESS/2)') + define(`PIN1INMIDDLE',`$10') + define(`CUTSIZE',`3300') + define(`EDGECUT',`ifelse(1,eval(PIN1INMIDDLE),`HALFWIDTH',`eval(HALFWIDTH-CUTSIZE)')') + define(`EDGECUT2',`ifelse(1,eval(PIN1INMIDDLE),`HALFWIDTH2',`eval(HALFWIDTH2-CUTSIZE)')') + define(`STARTPIN',`ifelse(1,eval(PIN1INMIDDLE),`eval(((QUARTER+1)/2)+1)',`1')') + define(`CIRCLECENTRE',`eval(-EDGECUT)') + define(`CIRCLECENTRE2',`ifelse(1,eval(PIN1INMIDDLE),`0',`eval(-EDGECUT2)')') + define(`PADMASK', `eval(2*150 + PADTHICKNESS)') + define(`PADCLEAR', `3000') + define(`EPCLEAR', `500') + define(`EPSIZE', `eval(`$11'*10000/254)') + define(`EPMASK', `eval(2*200 + EPSIZE)') + define(`SILKW', `800') + define(`SLX', `eval(-HALFWIDTH2 + SILKW/2)') + define(`SRX', `eval( HALFWIDTH2 - SILKW/2)') + define(`SUY', `eval(-HALFWIDTH + SILKW/2)') + define(`SLY', `eval( HALFWIDTH - SILKW/2)') + + +Element[0x00000000 "$1" "`$2'" "$3" 0 0 -2000 -6000 0 100 0x00000000] +( + + # left row +define(`QFPPIN',`STARTPIN') +define(`idx',0) +define(`PADIN',`eval(-HALFWIDTH2-PADMINOFFSET)') +define(`PADOUT',`eval(-HALFWIDTH2-PADMAXOFFSET)') +forloop(`i', 1, QUARTER, + `define(`Y', `eval(-PINREACH+((PITCH*idx)/10))')' + `Pad[PADOUT Y PADIN Y PADTHICKNESS PADCLEAR PADMASK "QFPPIN" "QFPPIN" 0x00000100]' + `define(`QFPPIN', incr(QFPPIN))' + `define(`idx',incr(idx))' +) + + # bottom row +define(`idx',0) +define(`PADIN',`eval(HALFWIDTH+PADMINOFFSET)') +define(`PADOUT',`eval(HALFWIDTH+PADMAXOFFSET)') +forloop(`i', 1, QUARTER2, + `define(`X', `eval(-PINREACH2+((PITCH*idx)/10))')' + `Pad[X PADOUT X PADIN PADTHICKNESS PADCLEAR PADMASK "QFPPIN" "QFPPIN" 0x00000900]' + `define(`QFPPIN', incr(QFPPIN))' + `define(`idx',incr(idx))' +) + + # right row +define(`idx',0) +define(`PADIN',`eval(HALFWIDTH2+PADMINOFFSET)') +define(`PADOUT',`eval(HALFWIDTH2+PADMAXOFFSET)') +forloop(`i', 1, QUARTER, + `define(`Y', `eval(PINREACH-((PITCH*idx)/10))')' + `Pad[PADOUT Y PADIN Y PADTHICKNESS PADCLEAR PADMASK "QFPPIN" "QFPPIN" 0x00000100]' + `define(`QFPPIN', incr(QFPPIN))' + `define(`idx',incr(idx))' +) + + # top row +define(`idx',0) +define(`PADIN',`eval(-HALFWIDTH-PADMINOFFSET)') +define(`PADOUT',`eval(-HALFWIDTH-PADMAXOFFSET)') +forloop(`i', 1, QUARTER2, + `define(`X',`eval(PINREACH2-((PITCH*idx)/10))')' + `Pad[X PADOUT X PADIN PADTHICKNESS PADCLEAR PADMASK "QFPPIN" "QFPPIN" 0x00000900]' + `define(`QFPPIN', incr(QFPPIN))' + `ifelse(eval(QFPPIN > NUMPINS), 1, `define(`QFPPIN', 1)')' + `define(`idx',incr(idx))' +) + + # exposed paddle (if this is an exposed paddle part) +ifelse(1, eval(EPSIZE>0), + `Pad[0 0 0 0 EPSIZE EPCLEAR EPMASK "QFPPIN" "QFPPIN" 0x00000100]' + `define(`QFPPIN', incr(QFPPIN))' +) + + # top + ElementLine[-EDGECUT2 SUY SRX SUY SILKW] + # right + ElementLine[SRX SUY SRX SLY SILKW] + # bottom + ElementLine[SRX SLY SLX SLY SILKW] + # left + ElementLine[SLX SLY SLX -EDGECUT SILKW] + # angled corner + ElementLine[SLX -EDGECUT -EDGECUT2 SUY SILKW] + + ElementArc[CIRCLECENTRE2 CIRCLECENTRE 1000 1000 0 360 SILKW] + +) +T19,41 +PKG_CANDK_ES01MSABEPKG_CANDK_ES_SMT_SWITCH(`$1', `$2', `$3') +T25,35 +Description_geda_MTA100_4`MTA Connector, (0.100 inch pitch)' +T20,17 +Description_7442_dil`4-to-10 decoder' +T21,50 +Description_74196_dil`presettable decade counter/latch (divide by 2/5)' +T21,20 +Description_74159_dil`4-to-16 decoder OC' +T20,16 +Description_7405_dil`6 inverters OC' +T20,311 +PinList_MC68008_plcc`A2',`A3',`A4',`A5',`A6',`A7',`A8',`A9',`A10',`A11',`A12',`A13',`A21',`A14',`Vcc',`A15',`Gnd',`A16',`A17',`A18',`A19',`A20',`D7',`D6',`D5',`D4',`D3',`D2',`D1',`D0',`/As',`/Ds',`R/W',`/Dtack',`/Bg',`/BgAck',`/Br',`Clk',`Gnd',`/Halt',`/Reset',`E',`/Vpa',`/Berr',`/Ipl1',`/Ipl2',`/Ipl0',`Fc2',`Fc1',`Fc0',`A0',`A1' +T16,91 +PinList_7475_dil`/1Q',`1D',`2D',`3C-4C',`Vcc',`3D',`4D',`/4Q',`4Q',`3Q',`/3Q',`Gnd',`1C-2C',`/2Q',`2Q',`1Q' +T16,71 +PinList_7438_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T20,3 +Param1_MOLEX_025_120120 +T16,2 +Param1_74352_dil16 +T15,2 +Param1_7400_dil14 +T10,46 +PKG_SOT23DPKG_SMT_TRANSISTOR2( `$1', `$2', `$3', 20, 21) +T24,53 +Description_geda_TSSOP14`Thin shrink small outline package, standard (4.4mm)' +T25,35 +Description_geda_MTA100_5`MTA Connector, (0.100 inch pitch)' +T23,62 +Description_geda_ACY800`Axial non-polar component (typically resistor or capacitor),' +T23,3 +Description_MC68881_pgaFPU +T27,24 +Description_DIN41_651_20layDIN41.651 laying 20 pins +T17,105 +PinList_74627_dil`1Osc-Vcc',`1FC',`1CX1',`1CX2',`1Osc-Gnd',`1Y',`Gnd',`2Y',`2Osc-Gnd',`2CX2',`2CX1',`2FC',`2Osc-Vcc',`Vcc' +T17,82 +PinList_74294_dil`B',`A',`Tp',`Clk1',`Clk2',`NC',`Q',`Gnd',`NC',`NC',`/Clr',`NC',`NC',`D',`C',`Vcc' +T17,83 +PinList_74257_dil`/A-B',`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3B',`3A',`4Y',`4B',`4A',`/G',`Vcc' +T16,3 +Param2_74669_dil300 +T16,3 +Param2_74299_dil300 +T16,2 +Param1_74174_dil16 +T16,2 +Param1_74137_dil16 +T13,74 +PKG_CAPC3225LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 260, 110, 280, 400, 290, 90, 260) +T32,12 +Description_generic_smd_chip_402smd chip 402 +T25,35 +Description_geda_MTA100_6`MTA Connector, (0.100 inch pitch)' +T20,30 +Description_7493_dil`4bit binary counter w. clear' +T20,28 +Description_7419_dil`6 inverter Schmitt trigger' +T17,93 +PinList_74449_dil`/GBA',`A1',`Dir2',`A2',`A3',`Dir3',`A4',`Gnd',`B4',`Dir4',`B3',`B2',`Dir1',`B1',`/GAB',`Vcc' +T14,15 +PinList_2N3055`E',`B',`C',`C' +T16,2 +Param1_74366_dil16 +T15,2 +Param1_7414_dil14 +T10,38 +PKG_RCY700PKG_RADIAL_CAN(`$1', `$2', `$3', 1400) +T13,74 +PKG_CAPC3225MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 280, 150, 320, 560, 380, 100, 280) +T20,27 +Description_uA79L15C-15V 0.1A voltage regulator +T24,53 +Description_geda_TSSOP16`Thin shrink small outline package, standard (4.4mm)' +T25,35 +Description_geda_MTA100_7`MTA Connector, (0.100 inch pitch)' +T26,28 +Description_geda_LQFP64_10`Square Quad-side flat pack' +T25,6 +Description_PEB2086N_plccISAC-S +T20,3 +Param1_MOLEX_025_160160 +T16,2 +Param1_74595_dil16 +T10,46 +PKG_SOD110PKG_SMT_DIODE( `$1', `$2', `$3', 21, 14) +T13,74 +PKG_CAPC3225NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270) +T25,35 +Description_geda_MTA100_8`MTA Connector, (0.100 inch pitch)' +T15,2 +Param1_7465_dil14 +T15,2 +Param1_7428_dil14 +T9,46 +PKG_SOT89PKG_SMT_TRANSISTOR4X(`$1', `$2', `$3', 31, 31) +T13,74 +PKG_RESC4532LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 330, 140, 380, 540, 370, 160, 330) +T14,42 +PKG_LQFP100_10PKG_LQFP_40(`$1',`$2',`$3',`100',`10',`0') +T28,39 +Description_geda_TQFN56_8_EP`Square Quad Flat Nolead (QFN) package' +T27,39 +Description_geda_QFN12_4_EP`Square Quad Flat Nolead (QFN) package' +T25,35 +Description_geda_MTA100_9`MTA Connector, (0.100 inch pitch)' +T24,2 +Param1_DIN41_651_34stand34 +T13,74 +PKG_RESC4532MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 350, 180, 420, 700, 450, 160, 350) +T33,13 +Description_generic_smd_chip_1210smd chip 1210 +T24,49 +Description_geda_TSSOP56`Thin shrink small outline package, wide (6.1mm)' +T27,37 +Description_geda_HEADER36_1`Header connector, DIP pin numbering' +T27,37 +Description_cts_CTS_743C_04`CTS 743C Series Chip Resistor Array' +T21,38 +Description_TL075_dilQuad JFET input operational amplifiers +T20,2 +Param1_generic20_dil20 +T12,55 +PKG_TSSOP48NCOMMON_SMT_DIL_MM(`$1',`$2',`$3', 48, 25, 150, 40, 440) +T13,62 +PKG_SOJ20_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,346457) +T13,74 +PKG_RESC4532NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340) +T26,28 +Description_geda_LQFP64_14`Square Quad-side flat pack' +T27,42 +Description_geda_HEADER36_2`Header connector, ribbon cable numbering' +T20,2 +Param2_smd_diode_80550 +T16,3 +Param2_L297A_dil300 +T24,3 +Param1_generic_diode_300300 +T13,62 +PKG_SOJ34_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,346457) +T14,42 +PKG_LQFP100_14PKG_LQFP_50(`$1',`$2',`$3',`100',`14',`0') +T11,37 +PKG_ACY1600PKG_AXIAL_LAY(`$1', `$2', `$3', 1600) +T22,24 +Description_geda_SOT23`SMT transistor, 3 pins' +T23,34 +Description_geda_MSOP10`Mini small outline package, .5mm' +T15,21 +PinList_uA7952C`Com',`In',`Out',`In' +T15,21 +PinList_uA7915C`Com',`In',`Out',`In' +T16,3 +Param2_74122_dil300 +T15,3 +Param2_2732_dil600 +T20,2 +Param1_generic28_zip28 +T39,50 +Description_johnstech_JOHNSTECH_QFN24_4`Johnstech QFN Socket, Series 1MM (724812-724839)' +T27,37 +Description_cts_CTS_743C_08`CTS 743C Series Chip Resistor Array' +T17,8 +Description_BS240P-MOSFET +T21,36 +Description_74440_dil`4 tridirectional bus tranceiver OC' +T20,20 +Description_6551_dilACIA for 65xx series +T19,2 +Param1_MOLEX_025_3030 +T14,41 +PKG_TQFP100_12PKG_QFP_40(`$1',`$2',`$3',`100',`12',`0') +T11,42 +PKG_SSOP64WPKG_SSO( `$1', `$2', `$3', 64, 545, 3150) +T10,72 +PKG_QFP_40PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `40',`25',`20', `80',`0',`$6') +T11,44 +PKG_EIA6032PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 60, 32) +T10,36 +PKG_ACY100PKG_AXIAL_LAY(`$1', `$2', `$3', 100) +T22,24 +Description_geda_SOT25`SMT transistor, 5 pins' +T27,37 +Description_geda_HEADER40_1`Header connector, DIP pin numbering' +T16,21 +PinList_uA79M20C`Com',`In',`Out',`In' +T17,3 +Param2_BT484_plcc150 +T16,3 +Param2_74580_dil300 +T16,3 +Param2_74173_dil300 +T16,3 +Param2_74136_dil300 +T39,17 +Description_generic_capacitor_axial_800`capacitor_axial' +T22,24 +Description_geda_SOT26`SMT transistor, 6 pins' +T21,59 +Description_geda_SME3`Surface mount electrolytic capacitor, number is dia in mm' +T27,42 +Description_geda_HEADER40_2`Header connector, ribbon cable numbering' +T18,25 +Description_2N3055high-power NPN transistor +F11,11 +__program____program__ +T17,124 +PinList_74323_dil`S0',`/G1',`/G2',`G-Qg',`E-Qe',`C-Qc',`A-Qa',`Qa+',`/Clr',`Gnd',`SR',`Clk',`B-Qb',`D-Qd',`F-Qf',`H-Qh',`Qh+',`SL',`S1',`Vcc' +T15,3 +Param2_7440_dil300 +T16,3 +Param2_74365_dil300 +T15,3 +Param2_7403_dil300 +T16,2 +Param1_74240_dil20 +T15,2 +Param1_6116_dil24 +T14,41 +PKG_TQFP100_14PKG_QFP_50(`$1',`$2',`$3',`100',`14',`0') +T13,62 +PKG_SOJ18_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',18,23622,86614,50000,291339) +T11,38 +PKG_RCY1000PKG_RADIAL_CAN(`$1', `$2', `$3', 2000) +T21,59 +Description_geda_SME4`Surface mount electrolytic capacitor, number is dia in mm' +T24,28 +Description_MOLEX_025_40Molex .025 pitch 40 pin plug +T21,47 +Description_74683_dil`8bit magnitute comperator OC w. input pull-up' +T21,35 +Description_74646_dil`8 bus tranceiver/register 3-state' +T21,11 +Description_74276_dil`4 J-/K FF' +T17,95 +PinList_74182_dil`/G1',`/P1',`/G0',`/P0',`/G3',`/P3',`/P',`Gnd',`Cn+Z',`/G',`Cn+Y',`Cn+X',`Cn',`/G2',`/P2',`Vcc' +T17,67 +PinList_74145_dil`0',`1',`2',`3',`4',`5',`6',`Gnd',`7',`8',`9',`D',`C',`B',`A',`Vcc' +T16,3 +Param2_74594_dil300 +T19,2 +Param1_MOLEX_025_7070 +T10,72 +PKG_QFP_80PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `80',`40',`20', `80',`0',`$6') +T14,39 +PKG_HEADER16_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 8) +T21,12 +Description_geda_TO39`Transistor' +T21,59 +Description_geda_SME5`Surface mount electrolytic capacitor, number is dia in mm' +T25,29 +Description_MOLEX_025_120Molex .025 pitch 120 pin plug +T27,24 +Description_DIN41_651_18layDIN41.651 laying 18 pins +T21,55 +Description_74468_dil`8 inverting buffer 3-state w. seperate enable signals' +T16,21 +PinList_uA79M24C`Com',`In',`Out',`In' +T24,13 +PinList_PCI5V_MIN_HEIGHTPinList_PCI5V +T17,101 +PinList_74374_dil`/OC',`1Q',`1D',`2D',`2Q',`3Q',`3D',`4D',`4Q',`Gnd',`C',`5Q',`5D',`6D',`6Q',`7Q',`7D',`8D',`8Q',`Vcc' +T15,3 +Param2_7491_dil300 +T15,3 +Param2_7454_dil300 +T16,3 +Param2_74379_dil300 +T22,1 +Param1_generic8smd_dil8 +T16,2 +Param1_74624_dil14 +T9,42 +PKG_MSOP8PKG_SSO( `$1', `$2', `$3', 8, 199, 2559) +T14,38 +PKG_HEADER16_2PKG_CONNECTOR(`$1', `$2', `$3', 8, 2) +T21,59 +Description_geda_SME6`Surface mount electrolytic capacitor, number is dia in mm' +T23,45 +Description_geda_DIP20M`Dual in-line package, medium wide (400 mil)' +T21,57 +Description_74697_dil`4bit synchronous binary counter w. direct clear 3-state' +T17,76 +PinList_74196_dil`/Load',`Qc',`C',`A',`Qa',`Clk2',`Gnd',`Clk1',`Qb',`B',`D',`Qd',`/Clr',`Vcc' +T17,109 +PinList_74159_dil`0',`1',`2',`3',`4',`5',`6',`7',`8',`9',`10',`Gnd',`11',`12',`13',`14',`15',`/G1',`/G2',`D',`C',`B',`A',`Vcc' +T16,2 +Param1_74446_dil16 +T10,46 +PKG_SOT143PKG_SMT_TRANSISTOR4( `$1', `$2', `$3', 19, 21) +T10,341 +PKG_R_AJ_HElement(0x00 "$1" "`$2'" "$3" 100 325 0 100 0x00) +( + PIN(50, 450, 80, 30, 1) + PIN(250, 450, 80, 30, 3) + PIN(150, 50, 80, 30, 2) + ElementLine(0 150 0 500 20) + ElementLine(0 500 300 500 20) + ElementLine(300 500 300 150 20) + ElementArc(150 150 100 100 0 360 10) + ElementArc(150 150 150 150 0 -180 20) + Mark(50 450) +) +T11,40 +PKG_RCY150PPKG_RADIAL_CAN(`$1', `$2', `$3', 300, 1) +T39,26 +Description_smd_capacitor_polarized_603`chip_capacitor_polarized' +T21,24 +Description_geda_SIP1`Single in line package' +T25,28 +Description_geda_LQFP24_4`Square Quad-side flat pack' +T24,28 +Description_MOLEX_025_80Molex .025 pitch 80 pin plug +T20,17 +Description_4016_dil`Static RAM 2Kx8' +T15,3 +Param2_7468_dil300 +T26,3 +Param1_smd_EIA_C_capacitor240 +T16,2 +Param1_74638_dil20 +T21,59 +Description_geda_SME8`Surface mount electrolytic capacitor, number is dia in mm' +T21,24 +Description_geda_SIP2`Single in line package' +T25,29 +Description_MOLEX_025_160Molex .025 pitch 160 pin plug +T21,495 +PinList_MC68HC11_plcc`Vss',`MdB_StB',`MdA_/LIR',`StA_/AS',`E',`StB_R/W',`EXTAL',`XTAL',`PC0_AD0',`PC1_AD1',`PC2_AD2',`PC3_AD3',`PC4_AD4',`PC5_AD5',`PC6_AD6',`PC7_AD7',`/RESET',`/XIRQ',`/IRQ',`PD0_RxD',`PD1_Txd',`PD2_MISO',`PD3_MOSI',`PD4_SCK',`PD5_/SS',`Vdd',`PA7_OCAI',`PA6_OC2',`PA5_OC3',`PA4_OC4',`PA3_OCIC',`PA2_IC1',`PA1_IC2',`PA0_IC3',`PB7_A15',`PB6_A14',`PB5_A13',`PB4_A12',`PB3_A11',`PB2_A10',`PB1_A9',`PB0_A8',`PE0_AN0',`PE4_AN4',`PE1_AN1',`PE5_AN5',`PE2_AN2',`PE6_AN6',`PE3_AN3',`PE7_AN7',`VRef_l',`VRef_h' +T20,2 +Param1_AT90S8535_dil40 +T21,24 +Description_geda_SIP3`Single in line package' +T27,39 +Description_geda_QFN20_4_EP`Square Quad Flat Nolead (QFN) package' +T23,45 +Description_geda_DIP24M`Dual in-line package, medium wide (400 mil)' +T29,26 +Description_DIN41_651_16standDIN41.651 standing 16 pins +T13,21 +PinList_LM337`Adj',`In',`Out',`In' +T16,2 +Param1_74689_dil20 +T15,45 +PKG_TQFN56_8_EPPKG_QFN_50S(`$1',`$2',`$3', `56', `8', `665') +T13,62 +PKG_SOJ22_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,244094) +T14,44 +PKG_QFN44_7_EPPKG_QFN_50(`$1',`$2',`$3', `44', `7', `470') +T14,39 +PKG_HEADER20_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 10) +T13,74 +PKG_CAPC4564LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 670, 140, 380, 540, 700, 160, 670) +T21,25 +Description_geda_ZIP9`Zig-zag in-line package' +T21,24 +Description_geda_SIP4`Single in line package' +T24,33 +Description_geda_JUMPER1`Jumper, i.e. single row headers' +T23,40 +Description_geda_DIP24N`Dual in-line package, narrow (300 mil)' +T22,18 +Description_BT484_plcccolor lookup table +T17,3 +Param2_MAX222_dil300 +T12,42 +PKG_TQFN36_6PKG_QFN_50(`$1',`$2',`$3', `36', `6', `0') +T14,38 +PKG_HEADER20_2PKG_CONNECTOR(`$1', `$2', `$3', 10, 2) +T13,74 +PKG_CAPC4564MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 690, 180, 420, 700, 790, 160, 690) +T25,9 +Description_generic20_dil`generic' +T20,38 +Description_geda_US8`Ultra (Micro?) Small outline package' +T21,24 +Description_geda_SIP5`Single in line package' +T24,33 +Description_geda_JUMPER2`Jumper, i.e. single row headers' +T13,62 +PKG_SOJ36_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,244094) +T13,74 +PKG_CAPC4564NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 680, 160, 400, 610, 730, 160, 680) +T20,27 +Description_uA78M15C+15V 0.5A voltage regulator +T21,24 +Description_geda_SIP6`Single in line package' +T24,47 +Description_geda_PLCC52X`Plastic leadless chip carrier with pin socket' +T24,33 +Description_geda_JUMPER3`Jumper, i.e. single row headers' +T23,45 +Description_geda_DIP28M`Dual in-line package, medium wide (400 mil)' +T21,10 +Description_43256_dilSRAM 32Kx8 +T17,97 +PinList_TL075_dil`In1-',`In1+',`Out1',`Out2',`In2+',`In2-',`Vcc-',`In3-',`In3+',`Out3',`Vcc+',`Out4',`In4+',`In4-' +T17,3 +Param2_MAX680_dil300 +T12,43 +PKG_QFN68_10PKG_QFN_50(`$1',`$2',`$3', `68', `10', `0') +T10,37 +PKG_ALF500PKG_DIODE_LAY(`$1', `$2', `$3', 500) +T21,24 +Description_geda_SIP7`Single in line package' +T23,64 +Description_geda_RCY900`Bottom lead non-polar circular component (typically capacitor)' +T24,33 +Description_geda_JUMPER4`Jumper, i.e. single row headers' +T23,40 +Description_geda_DIP28N`Dual in-line package, narrow (300 mil)' +T23,3 +Description_MC68000_dilCPU +T20,121 +PinList_PIC16C84_dil`RA2',`RA3',`RA4/T0CKI',`/MCLR',`VSS',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`VDD',`OSC2',`OSC1',`RA0',`RA1' +T16,1 +Param1_MTA_156_22 +T10,153 +PKG_SM0805Element(0x00 "$1" "`$2'" "$3" 0 100 0 100 0x00) +( + Pad(100 100 100 100 50 "1" 0x00000100) + Pad(100 180 100 180 50 "2" 0x00000100) + + Mark(100 100) +) +T13,74 +PKG_RESC3225LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 260, 110, 280, 400, 290, 90, 260) +T9,34 +PKG_DIP14PKG_N(`$1', `$2', `$3', 14, 300) +T25,9 +Description_generic28_zip`generic' +T21,24 +Description_geda_SIP8`Single in line package' +T24,33 +Description_geda_JUMPER5`Jumper, i.e. single row headers' +T24,12 +Description_SMA_verticalvertical SMA +T21,43 +Description_74520_dil`8bit identity comperator w. input pull-up' +T21,18 +Description_74150_dil`1-of-16 selector' +T21,24 +Description_74113_dil`2 JK edge FF w. preset' +T10,37 +PKG_TO220SPKG_TO220LAY_WIDE( `$1', `$2', `$3') +T13,74 +PKG_RESC3225MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 280, 150, 320, 560, 380, 100, 280) +T13,33 +PKG_MTA100_10PKG_MTA_100(`$1', `$2', `$3', 10) +T21,24 +Description_geda_SIP9`Single in line package' +T24,33 +Description_geda_JUMPER6`Jumper, i.e. single row headers' +T20,12 +Description_2716_dil`EPROM 2Kx8' +T16,22 +PinList_uA78M06C`In',`Com',`Out',`Com' +T18,58 +PinList_TLC271_dil`OfsN1',`In-',`In+',`Gnd',`OfsN2',`Out',`Vdd',`BiasSelect' +T16,3 +Param2_74623_dil300 +T16,3 +Param2_74290_dil300 +T16,3 +Param2_74253_dil300 +T12,43 +PKG_TQFN40_6PKG_QFN_50S(`$1',`$2',`$3', `40', `6', `0') +T13,74 +PKG_RESC3225NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270) +T13,33 +PKG_MTA100_11PKG_MTA_100(`$1', `$2', `$3', 11) +T9,34 +PKG_DIP16PKG_N(`$1', `$2', `$3', 16, 300) +T29,9 +Description_generic28smdw_dil`generic' +T24,39 +Description_geda_QFN12_4`Square Quad Flat Nolead (QFN) package' +T24,33 +Description_geda_JUMPER7`Jumper, i.e. single row headers' +T21,34 +Description_74164_dil`8bit parallel-out shift register' +T20,15 +Description_7410_dil`3 triple-NAND' +T17,105 +PinList_74440_dil`/CS',`B1',`C1',`C2',`B2',`B3',`C3',`C4',`B4',`Gnd',`S0',`S1',`A4',`A3',`A2',`A1',`/GA',`/GB',`/GC',`Vcc' +T16,71 +PinList_7406_dil`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc' +T14,1 +Param1_SUBD_9F9 +T16,2 +Param1_74320_dil16 +T16,45 +PKG_TQFN68_10_EPPKG_QFN_50(`$1',`$2',`$3', `68', `10', `770') +T13,41 +PKG_QFP144_28PKG_QFP_65(`$1',`$2',`$3',`144',`28',`0') +T13,33 +PKG_MTA100_12PKG_MTA_100(`$1', `$2', `$3', 12) +T24,33 +Description_geda_JUMPER8`Jumper, i.e. single row headers' +T25,46 +Description_geda_ACY1500P`Axial polar component (typically capacitor),' +T21,50 +Description_74393_dil`2 4bit binary counter w. individual clocks/clear' +T21,66 +Description_74356_dil`8-to-1 data selector/multiplexor/register edge-triggered 3-state' +T16,3 +Param2_74674_dil300 +T16,3 +Param2_74637_dil300 +T13,33 +PKG_MTA100_13PKG_MTA_100(`$1', `$2', `$3', 13) +T9,34 +PKG_DIP18PKG_N(`$1', `$2', `$3', 18, 300) +T42,32 +Description_minicircuits_MINICIRCUITS_KK81`Mini-Circuits KK Style Package' +T24,33 +Description_geda_JUMPER9`Jumper, i.e. single row headers' +T22,40 +Description_MAX222_dilhigh-speed dual RS232 driver w. shutdown +T20,29 +Description_7424_dil`4 dual-NAND Schmitt trigger' +T21,37 +Description_74178_dil`4bit parallel-access shift register' +F7,7 +mkstempmkstemp +T16,46 +PinList_7457_dil`ClkB',`Vcc',`Qa',`Gnd',`ClkA',`Clr',`Qb',`Qc' +T10,37 +PKG_TO220WPKG_TO220STAND( `$1', `$2', `$3') +T13,33 +PKG_MTA100_14PKG_MTA_100(`$1', `$2', `$3', 14) +T25,28 +Description_geda_QFP64_14`Square Quad-side flat pack' +T25,10 +Description_geda_HC49U_3H`Crystals' +T17,105 +PinList_74683_dil`/P>Q',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T17,126 +PinList_74646_dil`CAB',`SAB',`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/G',`SBA',`CBA',`Vcc' +T17,117 +PinList_74276_dil`/Clr',`1J',`1Clk',`/1K',`1Q',`2Q',`/2K',`2Clk',`2J',`Gnd',`/Pre',`3J',`3Clk',`/3K',`3Q',`4Q',`/4K',`4Clk',`4J',`Vcc' +T16,3 +Param2_74688_dil300 +T17,1 +Param1_TLC271_dil8 +T16,2 +Param1_74193_dil16 +T16,2 +Param1_74156_dil16 +T10,153 +PKG_SM1206Element(0x00 "$1" "`$2'" "$3" 0 100 0 100 0x00) +( + Pad(100 100 100 100 60 "1" 0x00000100) + Pad(100 230 100 230 60 "2" 0x00000100) + + Mark(100 100) +) +T13,33 +PKG_MTA100_15PKG_MTA_100(`$1', `$2', `$3', 15) +T17,49 +PKG_DIN41612C64MSPKG_DIN41_612MALE_SMALL( `$1', `$2', `$3', `ac') +T22,23 +Description_MAX680_dil+-10V voltage converter +T20,40 +Description_7475_dil`4bit bi-stable latch w. compl. outputs' +T21,40 +Description_74599_dil`8bit shift register w. output latch OC' +T20,23 +Description_7438_dil`4 dual-NAND buffer OC' +T19,128 +PinList_MAX233A_dil`T2in',`T1in',`R1out',`R1in',`T1out',`Gnd',`Vcc',`C1+',`Gnd',`C2-',`C2+',`V-',`C1-',`V+',`C2+',`C2-',`V-',`T2out',`R2in',`R2out' +T17,119 +PinList_74468_dil`/1G',`1A1',`1Y1',`1A2',`1Y2',`1A3',`1Y3',`1A4',`1Y4',`Gnd',`2Y1',`2A1',`2Y2',`2A2',`2Y3',`2A3',`2Y4',`2A4',`/2G',`Vcc' +T22,2 +Param1_DIN41_651_34lay34 +T15,2 +Param1_7470_dil14 +T16,2 +Param1_74385_dil20 +T16,2 +Param1_74348_dil16 +T15,2 +Param1_7433_dil14 +T10,282 +PKG_R_AJ_VElement(0x00 "$1" "`$2'" "$3" 100 45 0 100 0x00) +( + PIN(50, 50, 80, 30, 1) + PIN(250, 50, 80, 30, 3) + PIN(150, 0, 80, 30, 2) + ElementLine(0 0 0 100 20) + ElementLine(0 0 300 0 20) + ElementLine(300 0 300 100 20) + ElementLine(0 100 300 100 20) + Mark(50 50) +) +T26,42 +Description_geda_SOJ32_400`Small outline J-leaded package (400 mil)' +T27,37 +Description_geda_HEADER64_1`Header connector, DIP pin numbering' +T26,37 +Description_geda_HEADER2_1`Header connector, DIP pin numbering' +T23,62 +Description_geda_ACY300`Axial non-polar component (typically resistor or capacitor),' +T17,115 +PinList_74697_dil`U-/D',`CCK',`A',`B',`C',`D',`/ENP',`/CClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc' +T34,3 +Param1_generic_capacitor_axial_800800 +T27,42 +Description_geda_HEADER64_2`Header connector, ribbon cable numbering' +T26,42 +Description_geda_HEADER2_2`Header connector, ribbon cable numbering' +T21,39 +Description_TL080_dilSingle JFET input operational amplifier +T29,26 +Description_DIN41_651_24standDIN41.651 standing 24 pins +T25,33 +Description_AT90S8535_dilAVR Enhanced RISC microcontroller +T24,2 +Param1_DIN41_651_50stand50 +T15,2 +Param1_7447_dil16 +T16,2 +Param1_74399_dil16 +T10,37 +PKG_RCY200PKG_RADIAL_CAN(`$1', `$2', `$3', 400) +T39,50 +Description_johnstech_JOHNSTECH_QFN48_7`Johnstech QFN Socket, Series 1MM (724812-724839)' +T27,28 +Description_geda_LQFP128_14`Square Quad-side flat pack' +T14,1 +Param1_SUBD_9M9 +T28,39 +Description_geda_TQFN40_6_EP`Square Quad Flat Nolead (QFN) package' +T14,44 +PKG_QFN20_5_EPPKG_QFN_65(`$1',`$2',`$3', `20', `5', `310') +T13,74 +PKG_CAPC3216LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 170, 110, 280, 400, 200, 90, 170) +T28,9 +Description_generic16smd_dil`generic' +T24,15 +Description_RTC62421_dilreal-time clock +T34,2 +Param1_smd_capacitor_polarized_60360 +T16,1 +Param1_TL072_dil8 +T11,55 +PKG_TSSOP32COMMON_SMT_DIL_MM(`$1',`$2',`$3', 32, 33, 150, 65, 610) +T13,74 +PKG_CAPC3216MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 190, 150, 320, 560, 290, 100, 190) +T21,915 +PKG_AMPHENOL_ARFX1229define(`PAD_SIZE', `100') + define(`HOLE_SIZE', `67') + define(`CPAD_SIZE', `100') + define(`CHOLE_SIZE', `67') + define(`PAD_SPACE', `200') + define(`CENTER', `eval(PAD_SPACE/2)') + define(`SILK', `70') + define(`XMIN', `eval(-1*SILK)') + define(`XMAX', `eval(PAD_SPACE+SILK)') + define(`YMIN', `eval(-1*SILK)') + define(`YMAX', `eval(PAD_SPACE+SILK)') +Element(0x00 "$1" "`$2'" "$3" eval(XMIN+20) eval(YMAX+20) 0 100 0x00) +( + + Pin(CENTER CENTER CPAD_SIZE CHOLE_SIZE "1" 0x01) + Pin(0 0 PAD_SIZE HOLE_SIZE "2" 0x01) + Pin(0 PAD_SPACE PAD_SIZE HOLE_SIZE "3" 0x01) + Pin(PAD_SPACE 0 PAD_SIZE HOLE_SIZE "4" 0x01) + Pin(PAD_SPACE PAD_SPACE PAD_SIZE HOLE_SIZE "5" 0x01) + + # silk screen + # ends + ElementLine(XMIN YMIN XMIN YMAX 10) + ElementLine(XMAX YMAX XMAX YMIN 10) + ElementLine(XMIN YMIN XMAX YMIN 10) + ElementLine(XMAX YMAX XMIN YMAX 10) + Mark(CENTER CENTER) +) +T38,16 +Description_generic_resistor_axial_800`resistor_axial' +T20,2 +Param1_generic16_dil16 +T19,2 +Param1_PIC16C54_dil18 +T13,62 +PKG_SOJ16_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',16,23622,86614,50000,346457) +T12,41 +PKG_RCY1200PPKG_RADIAL_CAN(`$1', `$2', `$3', 2400, 1) +T13,74 +PKG_CAPC3216NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 180, 130, 300, 470, 230, 100, 180) +T27,28 +Description_geda_TQFP128_14`Square Quad-side flat pack' +T23,45 +Description_geda_SDIP18`Shrink dual in-line package, wide (300 mil)' +T26,38 +Description_geda_CAPC4532L`Standard SMT resistor, capacitor etc' +T17,18 +Description_BC160bipolar transistor +F6,6 +ifelseifelse +T17,149 +PinList_43256_dil`A14',`A12',`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`GND',`D3',`D4',`D5',`D6',`D7',`/CS',`A10',`/OE',`A11',`A9',`A8',`A13',`/WE',`Vcc' +T20,3 +Param2_generic18_dil300 +T28,9 +Description_generic28smd_dil`generic' +T22,38 +Description_geda_DIP32`Dual in-line package, wide (600 mil)' +T26,38 +Description_geda_CAPC4532M`Standard SMT resistor, capacitor etc' +T24,62 +Description_geda_ACY1400`Axial non-polar component (typically resistor or capacitor),' +T24,16 +Description_PIC16C71_dilmicro controller +T21,61 +Description_74422_dil`retriggerable monostable multivibrator w. internal resistor' +T33,3 +Param1_generic_resistor_axial_600600 +T14,39 +PKG_HEADER44_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 22) +T12,40 +PKG_ACY1100PPKG_AXIAL_LAY(`$1', `$2', `$3', 1100, 1) +T11,37 +PKG_ACY1100PKG_AXIAL_LAY(`$1', `$2', `$3', 1100) +T23,12 +Description_geda_TO126S`Transistor' +T25,28 +Description_geda_LQFP48_7`Square Quad-side flat pack' +T26,38 +Description_geda_CAPC4532N`Standard SMT resistor, capacitor etc' +T21,38 +Description_geda_0402`Standard SMT resistor, capacitor etc' +T21,72 +Description_74651_dil`8 inverting bus tranceiver/register 3-state A-output, 3-state B-output' +T21,25 +Description_74244_dil`8 buffer/driver 3-state' +T17,105 +PinList_74520_dil`/OEN',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T17,122 +PinList_74150_dil`E7',`E6',`E5',`E4',`E3',`E2',`E1',`E0',`/G',`W',`D',`Gnd',`C',`B',`A',`E15',`E14',`E13',`E12',`E11',`E10',`E9',`E8',`Vcc' +T17,83 +PinList_74113_dil`1Clk',`1K',`1J',`/1Pre',`1Q',`/1Q',`Gnd',`/2Q',`2Q',`/2Pre',`2J',`2K',`2Clk',`Vcc' +T16,3 +Param2_74192_dil300 +T16,3 +Param2_74155_dil300 +T14,45 +PKG_QFN16_3_EPPKG_QFN_50S(`$1',`$2',`$3', `16', `3', `150') +T14,38 +PKG_HEADER44_2PKG_CONNECTOR(`$1', `$2', `$3', 22, 2) +T21,1382 +COMMON_SMT_DUALINLINEdefine(`pinCOUNT', `$4') + define(`pinS100', `$6') + define(`padY', `$7') + define(`addTIN', `eval(padY / 5)') + define(`maxX', `eval($5 + 2*addTIN)') + define(`padX', `eval($8 + 2*addTIN)') + define(`maxY', `eval((pinCOUNT/2 * pinS100) / 100)') + define(`lowX1', `eval(padY/2)') + define(`highX1', `eval(padX-padY)') + define(`lowX2', `eval(maxX - (padX-padY))') + define(`highX2', `eval(maxX - padY/2)') + define(`centerX', `eval(maxX / 2)') +Element(0x00 "$1" "`$2'" "$3" eval(centerX + 20) 50 3 100 0x00) +( + forloop(`i', 1, eval(pinCOUNT/2), + `PAD(lowX1, eval((i*pinS100 - pinS100/2)/100), + highX1, eval((i*pinS100 - pinS100/2)/100), padY, i) + ') + forloop(`i', 1, eval(pinCOUNT/2), + `PAD(lowX2, eval(maxY - (i*pinS100 - pinS100/2)/100), + highX2, eval(maxY - (i*pinS100 - pinS100/2)/100), padY, eval(i + pinCOUNT/2)) + ') + define(`smaxX', `eval(maxX+rSILK)') + define(`smaxY', `eval(maxY+rSILK)') + define(`rSh', `eval(-rSILK)') + define(`radW', `eval($5/3)') + define(`radP', `eval(pinS100/100)') + define(`rad', `ifelse(1,eval(radW= 5), 1, + `eval((spaceX * 21) / 100)', + `eval((spaceX * 31) / 100)' + )') + define(`addFRAME', `eval(padY / 5)') + define(`maxX', `eval(2*addFRAME+spaceX+padX)') + define(`maxY', `eval(2*addFRAME+spaceY+padY)') + define(`centerX', `eval(maxX / 2)') + define(`centerY1', `eval(addFRAME + padY/2)') + define(`centerX1', `eval(addFRAME + padX/2)') + define(`deltaY', `eval((padY-padX)/2)') + define(`silkW', `ifelse(eval(spaceY >= 50), 1, 10, eval(spaceY/5))') + define(`indeX', `0') + +Element(0x00 "$1" "`$2'" "$3" eval(maxX+20) 0 3 100 0x00) +( + ElementLine(0 0 0 maxY silkW) + ElementLine(0 maxY maxX maxY silkW) + ElementLine(maxX maxY maxX 0 silkW) + ElementLine(maxX 0 0 0 silkW) + + # 1st pin on pin side + Pad(centerX1 eval(centerY1+spaceY-deltaY) + centerX1 eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + + ifelse(eval(len(`$7') == 4), 1, + `Pad(centerX eval(centerY1+spaceY-deltaY) + centerX eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + ') + ifelse(eval(len(`$7') == 5), 1, + `Pad(eval(centerX1+spaceX/3) eval(centerY1+spaceY-deltaY) + eval(centerX1+spaceX/3) eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + Pad(eval(centerX1+2*spaceX/3) eval(centerY1+spaceY-deltaY) + eval(centerX1+2*spaceX/3) eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + ') + + # last pin on pin side + Pad(eval(centerX1+spaceX) eval(centerY1+spaceY-deltaY) + eval(centerX1+spaceX) eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + + # extra wide pin on opposite side + Pad(eval(centerX+spaceX/4) centerY1 + eval(centerX-spaceX/4) centerY1 + padY "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + + Mark(centerX1 eval(centerY1+spaceY)) +) +T17,3 +Param2_TLC271_dil300 +T16,3 +Param2_74240_dil300 +T20,2 +Param1_generic20_zif20 +T13,62 +PKG_SOJ44_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',44,23622,86614,50000,196850) +T19,1144 +PKG_DIN41_612FEMALEdefine(`MAXX', 420) + define(`MAXX1', `eval(MAXX -170)') + define(`MAXX2', `eval(MAXX -40)') +Element(0x00 "$1" "`$2'" "$3" 50 100 3 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 32, `PIN(200, eval(300 + 100 *i), 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , + forloop(`i', 1, 32, `PIN(300, eval(300 + 100 *i), 60, 30, eval(32 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MAXX', 520)' + forloop(`i', 1, 32, `PIN(400, eval(300 + 100 *i), 60, 30, eval(64 + i)) + ')) + + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 3720 120 80 "M2" 0x01) + + # Aeussere Begrenzung + ElementLine( 80 80 MAXX 80 20) + ElementLine(MAXX 80 MAXX 3820 20) + ElementLine(MAXX 3820 80 3820 20) + ElementLine( 80 3820 80 80 20) + + # Innere Begrenzung + ElementLine(120 320 MAXX1 320 10) + ElementLine(MAXX1 320 MAXX1 360 10) + ElementLine(MAXX1 360 MAXX2 360 10) + ElementLine(MAXX2 360 MAXX2 3540 10) + ElementLine(MAXX2 3540 MAXX1 3540 10) + ElementLine(MAXX1 3540 MAXX1 3580 10) + ElementLine(MAXX1 3580 120 3580 10) + ElementLine(120 3580 120 320 10) + + # Markierung: Pin 1a + Mark(200 400) +) +T16,43 +PKG_DIN41612C64MPKG_DIN41_612MALE( `$1', `$2', `$3', `ac') +T22,25 +Description_geda_ZIP20`Zig-zag in-line package' +T24,50 +Description_geda_EMI0603`3-Pin SMT EMI Filter based on standard SMT sizes' +T21,26 +Description_74521_dil`8bit identity comperator' +T21,17 +Description_74151_dil`1-of-8 selector' +T21,43 +Description_74114_dil`2 JK edge FF w. preset and common Clr/Clk' +T20,379 +PinList_PIC17C42_dil`VDD',`RC0/AD0',`RC1/AD1',`RC2/AD2',`RC3/AD3',`RC4/AD4',`RC5/AD5',`RC6/AD6',`RC7/AD7',`VSS',`RB0/CAP1',`RB1/CAP2',`RB2/PWM1',`RB3/PWM2',`RB4/TCLK12',`RB5/TCLK3',`RB6',`RB7',`OSC1',`OSC2',`RA5/TX/CK',`RA4/RX/DT',`RA3',`RA2',`RA1/T0CKI',`RA0/INT',`TEST',`RE2/WR',`RE1/OE',`RE0/ALE',`VSS',`/MCLR',`RD7/AD15',`RD6/AD14',`RD5/AD13',`RD4/AD12',`RD3/AD11',`RD2/AD10',`RD1/AD9',`RD0/AD8' +T21,277 +PinList_PEB2086N_plcc`AD4',`AD5',`AD6',`AD7',`SDAR',`A1',`SDAX/SDS1',`SCA/SDS2',`RST',`A5',`Vssd',`DCL',`FSC1',`FSC2',`M1',`X2',`A4',`A3',`NC',`X1',`M0',`CP/BCL',`/INT',`Vssa',`XTAL2',`XTAL1',`SR2',`SR1',`NC',`NC',`Vdd',`SX1',`SX2',`IDP0',`IDP1',`ALE',`/CS',`/WR',`/RD',`A0',`AD0',`AD1',`AD2',`AD3' +T16,62 +PinList_7430_dil`A',`B',`C',`D',`E',`F',`Gnd',`Y',`NC',`NC',`G',`H',`NC',`Vcc' +T19,1 +Param1_oscillator_44 +T10,34 +PKG_SDIP42PKG_NS(`$1', `$2', `$3', 42, 600) +T5,50 +PKG_NPKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28) +T10,36 +PKG_ACY700PKG_AXIAL_LAY(`$1', `$2', `$3', 700) +T23,10 +Description_geda_UM1_3H`Crystals' +T22,40 +Description_geda_SO20M`Small outline package, medium (200mil)' +T27,37 +Description_geda_HEADER46_1`Header connector, DIP pin numbering' +T16,21 +PinList_uA79M06C`Com',`In',`Out',`In' +T18,53 +PinList_TLC272_dil`Out1',`In1-',`In1+',`Gnd',`In2+',`In2-',`Out2',`Vdd' +T16,3 +Param2_74624_dil300 +T19,1 +Param1_oscillator_55 +T15,61 +PKG_CTS_745C_10COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,32000,51000,50000,67000) +T22,40 +Description_geda_SO20N`Small outline package, narrow (150mil)' +T27,42 +Description_geda_HEADER46_2`Header connector, ribbon cable numbering' +T21,35 +Description_74165_dil`parallel-load 8bit shift register' +T21,16 +Description_74128_dil`4 line drivers' +T20,14 +Description_7411_dil`3 triple-AND' +T17,105 +PinList_74441_dil`/CS',`B1',`C1',`C2',`B2',`B3',`C3',`C4',`B4',`Gnd',`S0',`S1',`A4',`A3',`A2',`A1',`/GA',`/GB',`/GC',`Vcc' +T16,71 +PinList_7407_dil`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc' +T16,3 +Param2_74446_dil300 +T16,2 +Param1_74321_dil16 +T5,50 +PKG_PPKG_DIL(`$1', `$2', `$3', `$4', `$5', 100, 60, 28) +T22,24 +Description_geda_SIP10`Single in line package' +T17,83 +PinList_74670_dil`D2',`D3',`D4',`Rb',`Ra',`Q4',`Q3',`Gnd',`Q2',`Q1',`/Gr',`/Gw',`Wb',`Wa',`D1',`Vcc' +T16,3 +Param2_74638_dil300 +T26,3 +Param1_smd_EIA_D_capacitor280 +T16,2 +Param1_74180_dil14 +T16,2 +Param1_74143_dil24 +T19,41 +PKG_CANDK_ES03MSABEPKG_CANDK_ES_SMT_SWITCH(`$1', `$2', `$3') +T22,25 +Description_geda_ZIP24`Zig-zag in-line package' +T22,40 +Description_geda_SO24M`Small outline package, medium (200mil)' +T22,24 +Description_geda_SIP11`Single in line package' +T20,22 +Description_7425_dil`2 quad-NOR w. strobe' +T16,74 +PinList_7495_dil`Ser',`A',`B',`C',`D',`Mode',`Gnd',`Clk2',`Clk1',`Qd',`Qc',`Qb',`Qa',`Vcc' +T19,1 +Param1_oscillator_88 +T15,2 +Param1_7420_dil14 +T12,38 +PKG_JUMPER20PKG_CONNECTOR(`$1', `$2', `$3', 20, 1) +T25,39 +Description_geda_TQFN16_3`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ26_450`Small outline J-leaded package (450 mil)' +T22,24 +Description_geda_SIP12`Single in line package' +T27,37 +Description_cts_CTS_742C_16`CTS 742C Series Chip Resistor Array' +T17,105 +PinList_74684_dil`/P>Q',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T17,126 +PinList_74647_dil`CAB',`SAB',`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/G',`SBA',`CBA',`Vcc' +T16,3 +Param2_74689_dil300 +T17,1 +Param1_TLC272_dil8 +T16,2 +Param1_74194_dil16 +T16,2 +Param1_74157_dil16 +T12,38 +PKG_JUMPER21PKG_CONNECTOR(`$1', `$2', `$3', 21, 1) +T25,39 +Description_geda_TQFN16_4`Square Quad Flat Nolead (QFN) package' +T22,24 +Description_geda_SIP13`Single in line package' +T27,37 +Description_geda_HEADER50_1`Header connector, DIP pin numbering' +T20,25 +Description_7476_dil`2 JK FF w. preset/clear' +T20,23 +Description_7439_dil`4 dual-NAND buffer OC' +T16,2 +Param1_74386_dil14 +T15,45 +PKG_QFN68_10_EPPKG_QFN_50(`$1',`$2',`$3', `68', `10', `770') +T12,38 +PKG_JUMPER22PKG_CONNECTOR(`$1', `$2', `$3', 22, 1) +T25,39 +Description_geda_TQFN16_5`Square Quad Flat Nolead (QFN) package' +T27,42 +Description_geda_HEADER50_2`Header connector, ribbon cable numbering' +T22,51 +Description_TLC271_dilSingle programmable low power operational amplifier +T14,16 +PinList_BUK453`G',`D',`S', `D' +T12,38 +PKG_JUMPER23PKG_CONNECTOR(`$1', `$2', `$3', 23, 1) +T7,1241 +PKG_DIL +# retain backwards compatibility to older versions of PKG_DIL +# which did not have $6,$7,$8 args + + ifelse("`$6'","", + `define(`PINSPACE', `100')' + , + `define(`PINSPACE', eval(`$6'))' + ) + ifelse("`$7'","", + `define(`PADSIZE', `60')' + , + `define(`PADSIZE', `$7')' + ) + ifelse("`$8'","", + `define(`DRILLSIZE', `28')' + , + `define(`DRILLSIZE', `$8')' + ) + define(`MAXY', `eval(`$4' / 2 * PINSPACE)') + define(`MAXX', `eval(`$5' + 100)') + define(`CENTERX', `eval(MAXX / 2)') + define(`MARKY', `eval(PINSPACE / 2)') +Element(0x00 "$1" "`$2'" "$3" eval(CENTERX + 20) 100 3 100 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PIN(50, eval((2*i-1) * PINSPACE/2), + eval(PADSIZE), eval(DRILLSIZE), i) + ') + forloop(`i', 1, eval($4 / 2), + `PIN(eval(MAXX -50), eval(MAXY - (2*i-1) * PINSPACE/2), + eval(PADSIZE), eval(DRILLSIZE), eval(i + $4/2)) + ') + ElementLine(0 0 0 MAXY 10) + ElementLine(0 MAXY MAXX MAXY 10) + ElementLine(MAXX MAXY MAXX 0 10) + ElementLine(0 0 eval(CENTERX - 50) 0 10) + ElementLine(eval(CENTERX + 50) 0 MAXX 0 10) + ElementArc(CENTERX 0 50 50 0 180 10) + Mark(50 MARKY) +) +T22,25 +Description_geda_ZIP28`Zig-zag in-line package' +T21,39 +Description_TL081_dilSingle JFET input operational amplifier +T18,153 +PinList_DS1225_dil`n/c', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Ce',`A10',`/Oe',`A11',`A9',`A8',`n/c', `/We', `Vcc' +T17,154 +PinList_27256_dil`Vpp', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8', `A13', `A14', `Vcc' +T15,2 +Param1_7485_dil16 +T15,2 +Param1_7448_dil16 +T12,38 +PKG_JUMPER24PKG_CONNECTOR(`$1', `$2', `$3', 24, 1) +T14,39 +PKG_HEADER26_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 13) +T8,74 +PKG_0201PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 40, 40, 60, 130, 80, 0, 0) +T40,18 +Description_generic_capacitor_radial_400`capacitor_radial' +T23,64 +Description_geda_RCY350`Bottom lead non-polar circular component (typically capacitor)' +T26,38 +Description_geda_CAPC3216L`Standard SMT resistor, capacitor etc' +T20,2 +Param1_generic20_zip20 +T12,38 +PKG_JUMPER25PKG_CONNECTOR(`$1', `$2', `$3', 25, 1) +T14,38 +PKG_HEADER26_2PKG_CONNECTOR(`$1', `$2', `$3', 13, 2) +T29,31 +Description_geda_DIN41651_20S`Header connector with latches' +T26,38 +Description_geda_CAPC3216M`Standard SMT resistor, capacitor etc' +T14,3 +Param2_z80_dil600 +T20,2 +Param1_oscillator_1010 +T20,2 +Param1_generic40_dil40 +T13,62 +PKG_SOJ40_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',40,23622,86614,50000,346457) +T13,74 +PKG_RESC1005LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 55, 35, 90, 150, 80, 0, 0) +T11,40 +PKG_RCY250PPKG_RADIAL_CAN(`$1', `$2', `$3', 500, 1) +T12,38 +PKG_JUMPER26PKG_CONNECTOR(`$1', `$2', `$3', 26, 1) +T25,39 +Description_geda_TQFN20_4`Square Quad Flat Nolead (QFN) package' +T22,38 +Description_geda_SO20W`Small outline package, wide (300mil)' +T26,38 +Description_geda_CAPC3216N`Standard SMT resistor, capacitor etc' +T19,2 +Param1_MC68008_plcc52 +T13,74 +PKG_RESC1005MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 75, 55, 110, 200, 120, 0, 0) +T9,25 +PKG_OSC14PKG_OSC(`$1', `$2', `$3') +T25,39 +Description_geda_TQFN20_5`Square Quad Flat Nolead (QFN) package' +T16,3 +Param2_74320_dil300 +T20,2 +Param1_oscillator_1212 +T19,2 +Param1_PIC16C55_dil28 +T17,2 +Param1_DS1225_dil28 +T9,37 +PKG_TO220PKG_TO220LAY( `$1', `$2', `$3') +T13,74 +PKG_RESC1005NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 65, 45, 100, 170, 100, 0, 0) +T6,40 +PKG_DWCOMMON_D_DW(`$1', `$2', `$3', `$4', 419) +T25,9 +Description_generic20_zif`generic' +T27,39 +Description_geda_QFN20_5_EP`Square Quad Flat Nolead (QFN) package' +T32,24 +Description_DIN41_612_ac64femaleDIN41.612 row a+c female +T11,43 +PKG_QFN48_7PKG_QFN_50S(`$1',`$2',`$3', `48', `7', `0') +T14,39 +PKG_HEADER30_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 15) +T22,38 +Description_geda_SO24W`Small outline package, wide (300mil)' +T24,5 +Description_MC68681_plccDUART +T21,33 +Description_7451S_dil`2 AND-OR inverters (2x2 inputs)' +T21,40 +Description_74423_dil`retriggerable monostable multivibrator' +T13,62 +PKG_SOJ24_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,291339) +T14,38 +PKG_HEADER30_2PKG_CONNECTOR(`$1', `$2', `$3', 15, 2) +T28,39 +Description_geda_TQFN32_7_EP`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ14_350`Small outline J-leaded package (350 mil)' +T21,62 +Description_74652_dil`8 bus tranceiver/register 3-state A-output, 3-state B-output' +T21,26 +Description_74245_dil`8 bus tranceiver 3-state' +T17,105 +PinList_74521_dil`/OEN',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T17,76 +PinList_74151_dil`D3',`D2',`D1',`D0',`Y',`W',`/G',`Gnd',`C',`B',`A',`D7',`D6',`D5',`D4',`Vcc' +T17,82 +PinList_74114_dil`/Clr',`1K',`1J',`/1Pre',`1Q',`/1Q',`Gnd',`/2Q',`2Q',`/2Pre',`2J',`2K',`Clk',`Vcc' +T16,3 +Param2_74193_dil300 +T16,3 +Param2_74156_dil300 +T21,55 +PKG_JOHNSTECH_QFN24_4PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `24', `4', `210') +T21,40 +Description_geda_SO8M`Small outline package, medium (200mil)' +T17,35 +Description_LM337-1.2 to -37V 1.5A voltage regulator +T16,3 +Param2_74385_dil300 +T16,3 +Param2_74348_dil300 +T15,3 +Param2_7423_dil300 +T20,2 +Param1_oscillator_1616 +T16,2 +Param1_74630_dil28 +T16,2 +Param1_74260_dil14 +T13,62 +PKG_SOJ38_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,291339) +T19,26 +Description_uA7805C+5V 1.5A voltage regulator +T25,16 +Description_geda_TO220ACS`diode in TO220' +T26,42 +Description_geda_SOJ28_350`Small outline J-leaded package (350 mil)' +T22,39 +Description_geda_SO28W`Small outline package, wider (325mil)' +T18,8 +Description_BUK453N-MOSFET +T21,35 +Description_74629_dil`2 controlled oscillator w. enable' +T21,26 +Description_74259_dil`8bit addressable latches' +T17,85 +PinList_74165_dil`SH-/LD',`Clk',`E',`F',`G',`H',`/Qh',`Gnd',`Qh',`SER',`A',`B',`C',`D',`Clk_Inh',`Vcc' +T17,71 +PinList_74128_dil`1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc' +T6,308 +PKG_TP + define(`DRILL', `$4') + define(`SILK', `eval($5/2)') + define(`PADDIA', `eval(DRILL + 20)') + define(`SILKW', `10') +Element(0x00 "$1" "`$2'" "$3" -20 eval(-SILK - 60) 0 100 0x00) +( + Pin( 0 0 PADDIA DRILL "1" "1" 0x0) + ElementArc(0 0 SILK SILK 0 360 SILKW) + + # Mark at the common centroid + Mark(0 0) +) +T10,34 +PKG_DIP14MPKG_N(`$1', `$2', `$3', 14, 400) +T27,39 +Description_geda_QFN16_3_EP`Square Quad Flat Nolead (QFN) package' +T13,11 +PinList_BC546`E',`B',`C' +T15,3 +Param2_7474_dil300 +T16,3 +Param2_74399_dil300 +T15,3 +Param2_7437_dil300 +T16,2 +Param1_74681_dil20 +T16,2 +Param1_74644_dil20 +T16,2 +Param1_74607_dil28 +T20,49 +PKG_PCI5V_MIN_HEIGHTPKG_PCIPINARRAY(`$1', `$2', `$3', 1420,49,100,11) +T11,58 +PKG_HC49U_3PKG_CRYSTAL_V(`$1', `$2', `$3', 96, 435, 183, 60, 32, 3) +T13,11 +PinList_BC547`E',`B',`C' +T20,2 +Param1_AT90S4434_dil28 +T16,2 +Param1_74466_dil20 +T9,71 +PKG_TO264GENERIC_PL_POWER(`$1', `$2', `$3', 3, 219, 800, 210, 130,100, 60, 0) +T3,74 +PADPad($1 $2 $3 $4 $5 ifdef(`P_$6', "P_$6", "$6") ifelse($6, 1, 0x00, 0x100)) +T28,39 +Description_geda_TQFN28_5_EP`Square Quad Flat Nolead (QFN) package' +T26,37 +Description_geda_HEADER8_1`Header connector, DIP pin numbering' +T23,62 +Description_geda_ACY900`Axial non-polar component (typically resistor or capacitor),' +T33,26 +Description_DIN41_612_abc96femaleDIN41.612 row a+b+c female +T13,11 +PinList_BC548`E',`B',`C' +T12,42 +PKG_LQFP64_7PKG_LQFP_40(`$1',`$2',`$3', `64', `7',`0') +T13,74 +PKG_INDC1608LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 90, 65, 120, 200, 120, 0, 0) +T10,34 +PKG_DIP18MPKG_N(`$1', `$2', `$3', 18, 400) +T29,7 +Description_generic_diode_800`diode' +T26,42 +Description_geda_HEADER8_2`Header connector, ribbon cable numbering' +T25,46 +Description_geda_ACY1600P`Axial polar component (typically capacitor),' +T16,3 +Param2_TL072_dil300 +T10,38 +PKG_RCY800PKG_RADIAL_CAN(`$1', `$2', `$3', 1600) +T13,74 +PKG_INDC1608MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 110, 85, 140, 270, 150, 0, 0) +T22,45 +Description_geda_SSOP8`Shrink small outline package, .65mm, narrow' +T28,31 +Description_geda_DIN41651_20`Header connector with latches' +T29,26 +Description_DIN41_651_18standDIN41.651 standing 18 pins +T16,16 +PinList_uA79L12C`Out',`In',`Com' +T20,2 +Param1_generic80_qfp80 +T13,62 +PKG_SOJ42_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',42,23622,86614,50000,244094) +T13,74 +PKG_INDC1608NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 100, 75, 130, 230, 130, 0, 0) +T12,12 +GETNUMARRAYSeval(($#)/2) +T25,9 +Description_generic20_zip`generic' +T15,22 +PinList_uA7885C`In',`Com',`Out',`Com' +T17,52 +PinList_TL081_dil`OfsN1',`In-',`In+',`Vcc-',`OfsN2',`Out',`Vcc+',`NC' +T25,12 +Description_oscillator_10`oscillator' +T25,9 +Description_generic40_dil`generic' +T27,28 +Description_geda_TQFP304_40`Square Quad-side flat pack' +T27,28 +Description_geda_TQFP120_28`Square Quad-side flat pack' +T25,60 +Description_geda_RCY1000P`Bottom lead polar circular component (typically capacitor)' +T20,47 +PKG_PANASONIC_EXBV4VCOMMON_SMT_DIL_MM(`$1',`$2',`$3',4,43,70,80,80) +T28,31 +Description_geda_DIN41651_60`Header connector with latches' +T17,1374 +PKG_DIN41_612MALEdefine(`XPOS', `eval(300 + 100 * i)') + define(`MINY', 300) +Element(0x00 "$1" "`$2'" "$3" 520 550 0 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 32, `PIN(eval(3600 - 100 *i), 300, 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , `define(`MINY', 200)' + forloop(`i', 1, 32, `PIN(eval(3600 - 100 *i), 200, 60, 30, eval(32 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MINY', 100)' + forloop(`i', 1, 32, `PIN(eval(3600 - 100 *i), 100, 60, 30, eval(64 + i)) + ')) + # Rueckseite Kontaktstifte + forloop(`i', 1, 32, `ElementLine(XPOS MINY XPOS 375 40) + ') + + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(3700 400 120 80 "M2" 0x01) + + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + + # Begrenzung M2 + ElementLine(3580 300 3800 300 20) + ElementLine(3800 300 3800 620 20) + ElementLine(3800 620 3700 620 20) + ElementLine(3700 620 3580 620 10) + ElementLine(3580 620 3580 395 10) + ElementLine(3580 395 3580 300 20) + + # Kante Pins + ElementLine( 320 395 3580 395 20) + + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 3700 800 20) + ElementLine(3700 800 3700 620 20) + + Mark(3500 300) +) +T25,12 +Description_oscillator_12`oscillator' +T21,38 +Description_geda_SO8W`Small outline package, wide (300mil)' +T24,3 +Param1_generic_diode_400400 +T13,62 +PKG_SOJ26_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',26,23622,86614,50000,196850) +T21,35 +Description_74540_dil`8 inverting buffer/driver 3-state' +T21,26 +Description_74170_dil`4-by-4 register files OC' +T21,15 +Description_74133_dil`13 input NAND' +T16,71 +PinList_7412_dil`A1',`B1',`A2',`B2',`C2',`Y2',`Gnd',`Y3',`A3',`B3',`C3',`Y1',`C1',`Vcc' +T26,3 +Param2_smd_EIA_D_capacitor100 +T20,47 +PKG_PANASONIC_EXBV8VCOMMON_SMT_DIL_MM(`$1',`$2',`$3',8,43,70,80,80) +T16,44 +PKG_DIN41612C96FPKG_DIN41_612FEMALE(`$1', `$2', `$3', `abc') +T28,31 +Description_geda_DIN41651_26`Header connector with latches' +T21,372 +PinList_AT90S8535_dil`PB0/T0',`PB1/T1',`PB2/AIN0',`PB3/AIN1',`PB4//SS',`PB5/MOSI',`PB6/MISO',`PB7/SCK',`/Reset',`Vcc',`Gnd',`XTAL2',`XTAL1',`PD0/RxD',`PD1/TxD',`PD2/INT0', `PD3/INT1',`PD4/OC1B',`PD5/OC1A',`PD6/ICP',`PD7/OC2',`PC0',`PC1',`PC2',`PC3',`PC4',`PC5',`PC6/TOSC1',`PC7/TOSC2',`AVcc',`AGnd',`AREF',`PA7/ADC7',`PA6/ADC6',`PA5/ADC5',`PA4/ADC4',`PA3/ADC3',`PA2/ADC2',`PA1/ADC1',`PA0/ADC0' +T16,3 +Param2_74606_dil300 +T16,3 +Param2_74273_dil300 +T35,3 +Param1_generic_capacitor_radial_200200 +T20,2 +Param1_generic16_zif16 +T16,2 +Param1_74111_dil16 +T15,2 +Param1_6801_dil40 +T15,44 +PKG_TQFN32_7_EPPKG_QFN_65(`$1',`$2',`$3', `32', `7', `470') +T26,28 +Description_geda_QFP160_28`Square Quad-side flat pack' +T28,31 +Description_geda_DIN41651_64`Header connector with latches' +T21,43 +Description_74591_dil`8bit binary counter w. output register OC' +T20,12 +Description_7430_dil`octal-NAND' +T21,17 +Description_74147_dil`10-to-4 encoder' +T17,71 +PinList_7451S_dil`1A',`2A',`2B',`2C',`2D',`2Y',`Gnd',`1Y',`1C',`1D',`NC',`NC',`1B',`Vcc' +T17,111 +PinList_74423_dil`1A',`1B',`/1Clr',`/1Q',`2Q',`2Cext',`2Rext-Cext',`Gnd',`2A',`2B',`/2Clr',`/2Q',`1Q',`1Cext',`1Rext-Cext',`Vcc' +T16,71 +PinList_7426_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T16,3 +Param2_74465_dil300 +T10,36 +PKG_ACY200PKG_AXIAL_LAY(`$1', `$2', `$3', 200) +T20,26 +Description_uA79M06C-6V 0.5A voltage regulator +T25,12 +Description_oscillator_16`oscillator' +T21,9 +Description_74376_dil`4 JK FF' +T17,127 +PinList_74652_dil`CAB',`SAB',`GAB',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/GBA',`SA',`CBA',`Vcc' +T17,102 +PinList_74245_dil`Dir',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/G',`Vcc' +T16,2 +Param1_74162_dil16 +T16,2 +Param1_74125_dil14 +T24,16 +Description_geda_TO247_2`diode in TO220' +T21,21 +Description_74198_dil`8bit shift register' +T20,14 +Description_7407_dil`6 buffers OC' +T16,2 +Param1_74354_dil20 +T15,2 +Param1_7402_dil14 +T11,38 +PKG_RCY1100PKG_RADIAL_CAN(`$1', `$2', `$3', 2200) +T10,35 +PKG_PLCC52PKG_PLCC(`$1', `$2', `$3', 52, 150) +T27,24 +Description_DIN41_651_40layDIN41.651 laying 40 pins +F5,5 +shiftshift +T17,109 +PinList_74629_dil`2FC',`1FC',`1RNG',`1CX1',`1CX2',`/1EN',`1Y',`Osc-Gnd',`Gnd',`2Y',`/2EN',`2CX1',`2CX2',`2RNG',`Osc-Vcc',`Vcc' +T17,82 +PinList_74259_dil`S0',`S1',`S2',`Q0',`Q1',`Q2',`Q3',`Gnd',`Q4',`Q5',`Q6',`Q7',`D',`/G',`/Clr',`Vcc' +T18,1 +Param1_connector105 +T16,2 +Param1_74176_dil14 +T16,2 +Param1_74139_dil16 +T14,39 +PKG_HEADER54_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 27) +T12,40 +PKG_ACY1200PPKG_AXIAL_LAY(`$1', `$2', `$3', 1200, 1) +T25,39 +Description_geda_TQFN44_7`Square Quad Flat Nolead (QFN) package' +T25,33 +Description_AT90S4434_dilAVR Enhanced RISC microcontroller +T20,37 +Description_7495_dil`4bit parallel-access shift register' +T18,153 +PinList_DS1230_dil`A14', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Ce',`A10',`/Oe',`A11',`A9',`A8',`A13', `/We', `Vcc' +T19,2 +Param1_WD33C93A_dil40 +T15,2 +Param1_7490_dil14 +T15,2 +Param1_7453_dil14 +T16,2 +Param1_74368_dil16 +T15,2 +Param1_7416_dil14 +T15,44 +PKG_TQFN28_5_EPPKG_QFN_50(`$1',`$2',`$3', `28', `5', `325') +T14,44 +PKG_QFN16_4_EPPKG_QFN_65(`$1',`$2',`$3', `16', `4', `210') +T22,55 +PKG_JOHNSTECH_QFN68_10PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `68', `10', `770') +T8,2736 +PKG_ISA8Element(0x00 "$1" "`$2'" "$3" 4000 0 0 100 0x00) +( + # Pins, entspr. Anschl. auf Loetseite (b31..b1) + Pin( 200 3850 60 35 "Gnd (b31)" 0x01) + Pin( 300 3850 60 35 "Osc" 0x01) + Pin( 400 3850 60 35 "+5V" 0x01) + Pin( 500 3850 60 35 "BALE" 0x01) + Pin( 600 3850 60 35 "TC" 0x01) + Pin( 700 3850 60 35 "/DAck2" 0x01) + Pin( 800 3850 60 35 "IRq3" 0x01) + Pin( 900 3850 60 35 "IRq4" 0x01) + Pin(1000 3850 60 35 "IRq5" 0x01) + Pin(1100 3850 60 35 "IRq6" 0x01) + Pin(1200 3850 60 35 "IRq7" 0x01) + Pin(1300 3850 60 35 "Clock" 0x01) + Pin(1400 3850 60 35 "/Ref" 0x01) + Pin(1500 3850 60 35 "DRq1" 0x01) + Pin(1600 3850 60 35 "/DAck1" 0x01) + Pin(1700 3850 60 35 "DRq3" 0x01) + Pin(1800 3850 60 35 "/DAck3" 0x01) + Pin(1900 3850 60 35 "/IOR" 0x01) + Pin(2000 3850 60 35 "/IOW" 0x01) + Pin(2100 3850 60 35 "/SMEMR" 0x01) + Pin(2200 3850 60 35 "/SMEMW" 0x01) + Pin(2300 3850 60 35 "Gnd" 0x01) + Pin(2400 3850 60 35 "+12V" 0x01) + Pin(2500 3850 60 35 "/0WS" 0x01) + Pin(2600 3850 60 35 "-12V" 0x01) + Pin(2700 3850 60 35 "DRq2" 0x01) + Pin(2800 3850 60 35 "-5V" 0x01) + Pin(2900 3850 60 35 "IEQ2" 0x01) + Pin(3000 3850 60 35 "+5V" 0x01) + Pin(3100 3850 60 35 "ResDrv" 0x01) + Pin(3200 3850 60 35 "Gnd (b1)" 0x01) + + # Pins, entspr. Anschl. auf Bestueckseite + Pin( 200 3950 60 35 "SA0 (a31)" 0x01) + Pin( 300 3950 60 35 "SA1" 0x01) + Pin( 400 3950 60 35 "SA2" 0x01) + Pin( 500 3950 60 35 "SA3" 0x01) + Pin( 600 3950 60 35 "SA4" 0x01) + Pin( 700 3950 60 35 "SA5" 0x01) + Pin( 800 3950 60 35 "SA6" 0x01) + Pin( 900 3950 60 35 "SA7" 0x01) + Pin(1000 3950 60 35 "SA8" 0x01) + Pin(1100 3950 60 35 "SA9" 0x01) + Pin(1200 3950 60 35 "SA10" 0x01) + Pin(1300 3950 60 35 "SA11" 0x01) + Pin(1400 3950 60 35 "SA12" 0x01) + Pin(1500 3950 60 35 "SA13" 0x01) + Pin(1600 3950 60 35 "SA14" 0x01) + Pin(1700 3950 60 35 "SA15" 0x01) + Pin(1800 3950 60 35 "SA16" 0x01) + Pin(1900 3950 60 35 "SA17" 0x01) + Pin(2000 3950 60 35 "SA18" 0x01) + Pin(2100 3950 60 35 "SA19" 0x01) + Pin(2200 3950 60 35 "AEN" 0x01) + Pin(2300 3950 60 35 "IOChRdy" 0x01) + Pin(2400 3950 60 35 "SD0" 0x01) + Pin(2500 3950 60 35 "SD1" 0x01) + Pin(2600 3950 60 35 "SD2" 0x01) + Pin(2700 3950 60 35 "SD3" 0x01) + Pin(2800 3950 60 35 "SD4" 0x01) + Pin(2900 3950 60 35 "SD5" 0x01) + Pin(3000 3950 60 35 "SD6" 0x01) + Pin(3100 3950 60 35 "SD7" 0x01) + Pin(3200 3950 60 35 "/IOChCk (a1)" 0x01) + + # Umrahmung + ElementLine( 0 100 100 100 2) + ElementLine( 100 0 100 100 2) + ElementLine( 100 100 4250 100 5) + ElementLine(4250 100 4250 3810 5) + ElementLine(4250 3810 3620 3810 5) + ElementLine(3620 3810 3620 3510 5) + ElementLine(3620 3510 3300 3510 5) + ElementLine(3300 3510 3300 3810 5) + ElementLine(3300 3810 100 3810 5) + ElementLine( 100 3810 100 3510 5) + ElementLine( 100 3510 0 3510 2) + + # Markierung == Pin B1 + Mark(3200 3850) +) +T14,38 +PKG_HEADER54_2PKG_CONNECTOR(`$1', `$2', `$3', 27, 2) +T18,1 +Param1_connector124 +T16,2 +Param1_74597_dil16 +T11,41 +PKG_RCY900PPKG_RADIAL_CAN(`$1', `$2', `$3', 1800, 1) +T16,44 +PKG_DIN41612C96MPKG_DIN41_612MALE( `$1', `$2', `$3', `abc') +T21,22 +Description_sot_nec19`NEC SOT-NE19 package' +T29,26 +Description_DIN41_651_26standDIN41.651 standing 26 pins +T18,2 +Param1_connector5025 +T20,47 +PKG_PANASONIC_EXB14VCOMMON_SMT_DIL_MM(`$1',`$2',`$3',4,30,30,50,30) +T15,40 +PKG_DIN41651_20PKG_DIN41_651LAY( `$1', `$2', `$3', 20) +T3,71 +PINPin($1 $2 $3 $4 ifdef(`P_$5', "P_$5", "$5") ifelse($5, 1, 0x101, 0x01)) +T25,9 +Description_generic80_qfp`generic' +T22,16 +Description_geda_TO247`diode in TO220' +T18,1 +Param1_connector147 +T23,43 +PKG_AMP_MICTOR_767054_1COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',38) +T26,38 +Description_geda_RESC2012L`Standard SMT resistor, capacitor etc' +T24,67 +COMMON_AMP_MICTOR_767054COMMON_AMP_MICTOR_MIL(`$1',`$2',`$3',`$4',17000,50000,25000,278000) +T20,2 +Param1_smd_diode_80580 +T18,1 +Param1_connector155 +T17,2 +Param1_DS1230_dil28 +T13,62 +PKG_SOJ22_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',22,23622,86614,50000,346457) +T21,55 +PKG_JOHNSTECH_QFN48_7PKG_JOHNSTECH_QFN_50S(`$1',`$2',`$3', `48', `7', `560') +T13,465 +PKG_CONNECTORdefine(`MAXY', `eval(`$4' * 100)') + define(`MAXX', `eval(`$5' * 100)') +Element(0x00 "$1" "`$2'" "$3" eval(MAXX + 60) 0 3 100 0x00) +( + forloop(`row', 1, $4, `forloop(`col', 1, $5, + `PIN(eval(col * 100 -50), eval(row * 100 -50), 60, 38, eval((row-1)*$5+col)) + ') ') + ElementLine(0 0 0 MAXY 10) + ElementLine(0 MAXY MAXX MAXY 10) + ElementLine(MAXX MAXY MAXX 0 10) + ElementLine(MAXX 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) +T23,43 +PKG_AMP_MICTOR_767054_2COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',76) +T26,38 +Description_geda_RESC2012M`Standard SMT resistor, capacitor etc' +T20,20 +Description_SUBD_15FSUB-D female 15 pins +T14,23 +PinList_TL431C`Cathode',`Anode',`Ref' +T29,150 +PinList_DIN41_612_abc96femaleforloop(`i', 1, 32, `define(`P_'i, `a'i)') + forloop(`i', 1, 32, `define(`P_'eval(i +32), `b'i)') + forloop(`i', 1, 32, `define(`P_'eval(i +64), `c'i)') +T20,3 +Param2_generic24_dil300 +T19,3 +Param2_MC68008_plcc150 +T18,1 +Param1_connector168 +T18,2 +Param1_GAL16V8_dil20 +T15,40 +PKG_DIN41651_60PKG_DIN41_651LAY( `$1', `$2', `$3', 60) +T23,44 +PKG_AMP_MICTOR_767054_3COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',114) +T26,38 +Description_geda_RESC2012N`Standard SMT resistor, capacitor etc' +T24,60 +Description_geda_RCY600P`Bottom lead polar circular component (typically capacitor)' +T19,3 +Param2_PIC16C55_dil600 +T19,2 +Param1_PIC16C74_dil40 +T13,62 +PKG_SOJ36_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',36,23622,86614,50000,346457) +T11,40 +PKG_RCY200PPKG_RADIAL_CAN(`$1', `$2', `$3', 400, 1) +T23,44 +PKG_AMP_MICTOR_767054_4COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',152) +T27,28 +Description_geda_TQFP240_32`Square Quad-side flat pack' +T24,46 +Description_geda_ACY700P`Axial polar component (typically capacitor),' +T21,37 +Description_74620_dil`8 inverting bus tranceivers 3-state' +T7,63 +forlooppushdef(`$1', `$2')_forloop(`$1', `$2', `$3', `$4')popdef(`$1') +T16,22 +PinList_uA78M12C`In',`Com',`Out',`Com' +T16,3 +Param2_74161_dil300 +T16,3 +Param2_74124_dil300 +T18,1 +Param1_connector186 +T11,37 +PKG_TO220SWPKG_TO220STAND_WIDE(`$1', `$2', `$3') +T11,42 +PKG_PLCC32XPKG_PLCC_SOCKET(`$1', `$2', `$3', 32, 150) +T15,61 +PKG_CTS_746X_10COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,14000,32000,25000,28000) +T23,44 +PKG_AMP_MICTOR_767054_5COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',190) +T10,37 +PKG_ALF600PKG_DIODE_LAY(`$1', `$2', `$3', 600) +T11,39 +PKG_ACY300PPKG_AXIAL_LAY(`$1', `$2', `$3', 300, 1) +T30,16 +Description_smd_capacitor_1206`chip_capacitor' +T26,38 +Description_geda_INDC2012L`Standard SMT resistor, capacitor etc' +T24,16 +Description_PIC16C54_dilmicro controller +T21,41 +Description_74442_dil`4 tridirectional bus tranceiver 3-state' +T16,3 +Param2_74390_dil300 +T16,3 +Param2_74353_dil300 +T14,42 +PKG_LQFP120_16PKG_LQFP_50(`$1',`$2',`$3',`120',`16',`0') +T15,40 +PKG_DIN41651_26PKG_DIN41_651LAY( `$1', `$2', `$3', 26) +T23,44 +PKG_AMP_MICTOR_767054_6COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',228) +T25,9 +Description_generic16_zif`generic' +T26,38 +Description_geda_INDC2012M`Standard SMT resistor, capacitor etc' +T26,38 +Description_geda_CAPC1005L`Standard SMT resistor, capacitor etc' +T21,61 +Description_74671_dil`4bit universal shift register/latch 3-state w. direct clear' +T17,103 +PinList_74540_dil`/G1',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`Y8',`Y7',`Y6',`Y5',`Y4',`Y3',`Y2',`Y1',`/G2',`Vcc' +T17,83 +PinList_74170_dil`D2',`D3',`D4',`Rb',`Ra',`Q4',`Q3',`Gnd',`Q2',`Q1',`/Gr',`/Gw',`Wb',`Wa',`D1',`Vcc' +T17,67 +PinList_74133_dil`A',`B',`C',`D',`E',`F',`G',`Gnd',`Y',`H',`I',`J',`K',`L',`M',`Vcc' +T16,3 +Param2_74175_dil300 +T16,3 +Param2_74138_dil300 +T22,2 +Param1_DIN41_651_26lay26 +T9,46 +PKG_DO214PKG_SMT_DIODE( `$1', `$2', `$3', 77, 33) +T15,40 +PKG_DIN41651_64PKG_DIN41_651LAY( `$1', `$2', `$3', 64) +T23,44 +PKG_AMP_MICTOR_767054_7COMMON_AMP_MICTOR_767054(`$1',`$2',`$3',266) +T25,53 +Description_geda_TSSOP38N`Thin shrink small outline package, standard (4.4mm)' +T26,38 +Description_geda_INDC2012N`Standard SMT resistor, capacitor etc' +T26,38 +Description_geda_CAPC1005M`Standard SMT resistor, capacitor etc' +F6,6 +sysvalsysval +T15,3 +Param2_7442_dil300 +T16,3 +Param2_74367_dil300 +T15,3 +Param2_7405_dil300 +T16,2 +Param1_74242_dil14 +T26,42 +Description_geda_SOJ40_300`Small outline J-leaded package (300 mil)' +T26,38 +Description_geda_CAPC1005N`Standard SMT resistor, capacitor etc' +T19,19 +Description_SUBD_9FSUB-D female 9 pins +T21,30 +Description_74685_dil`8bit magnitute comperator OC' +T21,45 +Description_74648_dil`8 inverting bus tranceiver/register 3-state' +T21,36 +Description_74278_dil`4bit cascadable priority registers' +T17,92 +PinList_74591_dil`Qb',`Qc',`Qd',`Qe',`Qf',`Qg',`Qh',`Gnd',`/RCO',`/CClr',`CCK',`/CCKEn',`RCK',`/G',`Qa',`Vcc' +T17,68 +PinList_74147_dil`4',`5',`6',`7',`8',`C',`B',`Gnd',`A',`9',`1',`2',`3',`D',`NC',`Vcc' +T16,3 +Param2_74596_dil300 +T20,18 +Description_SUBD_15MSUB-D male 15 pins +T17,88 +PinList_74376_dil`/Clr',`1J',`/1K',`1Q',`2Q',`/2K',`2J',`Gnd',`Clk',`3J',`/3K',`3Q',`4Q',`/4K',`4J',`Vcc' +T15,3 +Param2_7493_dil300 +T15,3 +Param2_7419_dil300 +T16,2 +Param1_74626_dil16 +T16,2 +Param1_74293_dil14 +T21,56 +Description_74699_dil`4bit synchronous binary counter w. sync. clear 3-state' +F8,8 +__line____line__ +T17,122 +PinList_74198_dil`S0',`SPSer',`A',`Qa',`B',`Qb',`C',`Qc',`D',`Qd',`Clk',`Gnd',`/Clr',`Qe',`E',`Qf',`F',`Qg',`G',`Qh',`H',`SLSer',`S1',`Vcc' +T14,45 +PKG_QFN24_4_EPPKG_QFN_50S(`$1',`$2',`$3', `24', `4', `210') +T11,69 +PKG_EMI1806COMMON_SMT_3PAD_MIL( `$1', `$2', `$3', 39, 39, 177, 102, 59, 10, 10) +T9,74 +PKG_01005PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0) +T19,17 +Description_sot_323`SOT-323 package' +T26,39 +Description_geda_TQFN68_10`Square Quad Flat Nolead (QFN) package' +T24,53 +Description_geda_TSSOP20`Thin shrink small outline package, standard (4.4mm)' +T18,36 +Description_TL431CAdjustable precision shunt regulator +T29,26 +Description_DIN41_651_34standDIN41.651 standing 34 pins +T19,402 +PKG_TO126STAND_WIDEElement(0x00 "$1" "`$2'" "$3" 270 170 0 100 0x00) +( + PIN(110, 100, 80, 52, 1) + PIN(200, 200, 80, 52, 2) + PIN(290, 100, 80, 52, 3) + + # Gehaeuse + ElementLine(200 200 200 150 30) + ElementLine( 50 50 350 50 20) + ElementLine(350 50 350 150 20) + ElementLine(350 150 50 150 20) + ElementLine( 50 150 50 50 20) + + # Bohrung + ElementLine(150 50 150 150 10) + ElementLine(250 50 250 150 10) + + Mark(100 100) +) +T12,41 +PKG_QFP52_10PKG_QFP_65(`$1',`$2',`$3', `52',`10',`0') +T25,78 +PKG_NICHICON_WT_CAP_3_5p4PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `170', `80', `350', `350', `590') +T27,37 +Description_geda_HEADER28_1`Header connector, DIP pin numbering' +T23,62 +Description_geda_ACY400`Axial non-polar component (typically resistor or capacitor),' +T13,62 +PKG_SOJ24_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,244094) +T9,41 +PKG_DB15FPKG_SUBD_FEMALE_LAY(`$1', `$2', `$3', 15) +T29,7 +Description_generic_diode_300`diode' +T27,42 +Description_geda_HEADER28_2`Header connector, ribbon cable numbering' +T10,37 +PKG_RCY300PKG_RADIAL_CAN(`$1', `$2', `$3', 600) +T10,63 +PKG_QFN_65PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `65',`37',`60', `$6') +T14,42 +PKG_LQFP144_20PKG_LQFP_50(`$1',`$2',`$3',`144',`20',`0') +T20,27 +Description_uA79L12C-12V 0.1A voltage regulator +T25,12 +Description_smd_diode_805`chip_diode' +T19,17 +Description_SUBD_9MSUB-D male 9 pins +T20,267 +PinList_MC68681_plcc`NC',`RS1',`IP3',`RS2',`IP1',`RS3',`RS4',`IP0',`R-/W',`/DTAck',`RxD1',`NC',`TxD1',`OP1',`OP3',`OP5',`OP7',`D1',`D3',`D5',`D7',`Gnd',`NC',`/Irq',`D6',`D4',`D2',`D0',`OP6',`OP4',`OP2',`OP0',`TxD0',`NC',`RxD0',`X1-Clk',`X2',`/Reset',`/CS',`IP2',`/IAck',`IP5',`IP4',`Vcc' +T20,3 +Param2_AT90S2313_dil300 +T13,62 +PKG_SOJ38_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,244094) +T24,53 +Description_geda_TSSOP24`Thin shrink small outline package, standard (4.4mm)' +T23,16 +Description_MC68332_qfpmicro controller +T16,71 +PKG_TO220ACSTANDGENERIC_PL_POWER(`$1', `$2', `$3', 2, 200, 400, 180, 100, 80, 40, 0) +T24,81 +PKG_NICHICON_WT_CAP_8_10PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `110', `310', `310', `850', `850', `1050') +T8,67 +PKG_MPAKCOMMON_SMT_TRANSISTORX_MIL(`$1', `$2', `$3', 150, 437, 20, `12345') +T11,562 +PKG_BNC_LAYdefine(`BASEX', 200) + define(`BASEY', -200) +Element(0x00 "$1" "`$2'" "$3" BASEX eval(BASEY+200) 3 100 0x00) +( + define(`X1', `eval(BASEX-260)') + define(`Y1', `eval(BASEY-90)') + define(`X2', `eval(BASEX+290)') + define(`Y2', `eval(BASEY+490)') + ElementLine(X1 Y1 X2 Y1 10) + ElementLine(X2 Y1 X2 Y2 10) + ElementLine(X2 Y2 X1 Y2 10) + ElementLine(X1 Y2 X1 Y1 10) + PIN(eval(BASEX-200), eval(BASEY+200), 60, 35, 1) + PIN(eval(BASEX-200), eval(BASEY+100), 60, 35, 2) + PIN(eval(BASEX), eval(BASEY), 100, 81, m1) + PIN(eval(BASEX), eval(BASEY+400), 100, 81, m2) +) +T39,50 +Description_johnstech_JOHNSTECH_QFN16_4`Johnstech QFN Socket, Series 1MM (724812-724839)' +T17,3 +Param2_TLC272_dil300 +T16,3 +Param2_74241_dil300 +T15,3 +Param2_6551_dil600 +T27,3 +Param1_generic_smd_chip_805805 +T11,37 +PKG_TO126SWPKG_TO126STAND_WIDE(`$1', `$2', `$3') +T19,27 +Description_uA7910C-10V 1.5A voltage regulator +T39,50 +Description_johnstech_JOHNSTECH_QFN16_5`Johnstech QFN Socket, Series 1MM (724812-724839)' +T27,37 +Description_geda_HEADER32_1`Header connector, DIP pin numbering' +T21,46 +Description_74522_dil`8bit identity comperator OC w. input pull-up' +F6,6 +m4wrapm4wrap +T16,81 +PinList_7431_dil`1A',`1Y',`2A',`2Y',`3A',`3B',`3Y',`Gnd',`4Y',`4A',`4B',`5Y',`5A',`6Y',`6A',`Vcc' +T15,2 +Param1_2114_dil18 +T24,49 +Description_geda_TSSOP64`Thin shrink small outline package, wide (6.1mm)' +T27,42 +Description_geda_HEADER32_2`Header connector, ribbon cable numbering' +T21,44 +Description_74381_dil`ALU function generator w. look-ahead carry' +T17,104 +PinList_74620_dil`GAB',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/GBA',`Vcc' +T16,3 +Param2_74625_dil300 +T16,3 +Param2_74292_dil300 +T14,2 +Param1_LED_3MM60 +T24,53 +Description_geda_TSSOP28`Thin shrink small outline package, standard (4.4mm)' +T24,62 +Description_geda_ACY1500`Axial non-polar component (typically resistor or capacitor),' +T21,36 +Description_74573_dil`8 D-type transparent latch 3-state' +T21,35 +Description_74166_dil`parallel-load 8bit shift register' +T20,18 +Description_7412_dil`3 triple-NAND OC' +T16,67 +PinList_7445_dil`0',`1',`2',`3',`4',`5',`6',`Gnd',`7',`8',`9',`D',`C',`B',`A',`Vcc' +T17,105 +PinList_74442_dil`/CS',`B1',`C1',`C2',`B2',`B3',`C3',`C4',`B4',`Gnd',`S0',`S1',`A4',`A3',`A2',`A1',`/GA',`/GB',`/GC',`Vcc' +T16,71 +PinList_7408_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T16,2 +Param1_74322_dil20 +T9,41 +PKG_DB15MPKG_SUBD_MALE_LAY( `$1', `$2', `$3', 15) +T11,37 +PKG_ACY1200PKG_AXIAL_LAY(`$1', `$2', `$3', 1200) +T23,49 +Description_geda_TSSOP8`Thin shrink small outline package, narrow (3mm)' +T21,40 +Description_74395_dil`4bit cascadable shift register 3-state' +T15,21 +PinList_uA7912C`Com',`In',`Out',`In' +T17,114 +PinList_74671_dil`Ser-R',`SRCK',`A',`B',`C',`D',`Ser-L',`/SRClr',`RCK',`Gnd',`R-/S',`/G',`S1',`S0',`Qd',`Qc',`Qb',`Qa',`CASC',`Vcc' +T16,3 +Param2_74639_dil300 +T16,2 +Param1_74181_dil24 +T16,2 +Param1_74107_dil14 +T15,2 +Param1_2764_dil28 +T10,46 +PKG_SOD123PKG_SMT_DIODE( `$1', `$2', `$3', 40, 15) +T7,1358 +PKG_BGAdefine(`XBLOCK', `$4') + define(`YBLOCK', `$5') + define(`PITCH', `50') + define(`DIAMETER', `25') + define(`NUMPINS', `eval(4*(XBLOCK-4)*YBLOCK)') +Element(0x00 "$1" "`$2'" "$3" 100 0 0 100 0x00) +( + forloop(`i', 0, eval(XBLOCK-1), + `forloop(`j', 0, eval(YBLOCK-1), + `PAD(eval(i*PITCH), eval(j*PITCH), eval(i*PITCH), eval(j*PITCH), DIAMETER, 1)' + `PAD(eval((XBLOCK+YBLOCK-j-1)*PITCH), eval(i*PITCH), eval((XBLOCK+YBLOCK-j-1)*PITCH), eval(i*PITCH), DIAMETER, 1)' + `PAD(eval((XBLOCK+YBLOCK-i-1)*PITCH), eval((XBLOCK+YBLOCK-j-1)*PITCH), eval((XBLOCK+YBLOCK-i-1)*PITCH), eval((XBLOCK+YBLOCK-j-1)*PITCH), DIAMETER, 1)' + `PAD(eval(j*PITCH), eval((XBLOCK+YBLOCK-i-1)*PITCH), eval(j*PITCH), eval((XBLOCK+YBLOCK-i-1)*PITCH), DIAMETER, 1)' + )' + ) + ElementLine(eval(-PITCH/2) eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval(-1*PITCH) 10) + ElementLine(eval((XBLOCK+YBLOCK)*PITCH) eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval((XBLOCK+YBLOCK)*PITCH) 10) + ElementLine(eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval((XBLOCK+YBLOCK)*PITCH) eval((XBLOCK+YBLOCK)*PITCH) 10) + ElementLine(eval(-1*PITCH) eval(-PITCH/2) eval(-1*PITCH) eval((XBLOCK+YBLOCK)*PITCH) 10) + ElementLine(eval(-PITCH/2) eval(-PITCH) eval(-PITCH/2) eval(-PITCH/2) 10) + ElementLine(eval(-PITCH) eval(-PITCH/2) eval(-PITCH/2) eval(-PITCH/2) 10) + Mark(eval((YBLOCK+1)*PITCH) eval((YBLOCK+1)*PITCH)) +) +T39,50 +Description_johnstech_JOHNSTECH_QFN20_4`Johnstech QFN Socket, Series 1MM (724812-724839)' +T20,26 +Description_7426_dil`4 dual NAND high-voltage' +T16,81 +PinList_7496_dil`Clk',`A',`B',`C',`Vcc',`D',`E',`Pre',`Ser',`Qe',`Qd',`Gnd',`Qc',`Qb',`Qa',`/Clr' +T21,3 +Param1_smd_diode_1206120 +T16,2 +Param1_74373_dil20 +T15,2 +Param1_7421_dil14 +T29,7643 +PKG_GENERIC_JOHNSTECH7248_QFN + # number of pins on left/right sides (pin1 is upper pin on left side) + define(`LRPINS', `eval($4 / 4)') + # number of pins on top/bottom sides + define(`TBPINS', `eval(`$4' / 2 - LRPINS)') + # pin pitch (1/1000 mil) + define(`PITCH',`eval(`$6'*100000/254)') + # y-coordinate for upper pin on left/right sides (1/1000 mil) + define(`LRYMAX', `eval((LRPINS-1)*PITCH/2)') + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + define(`TBXMAX', `eval((TBPINS-1)*PITCH/2)') + # total horizontal package width (1/1000 mil) + define(`LRWIDTHMM', `ifelse(0,`$5',`787',`eval($5)')') + define(`LRWIDTH', `ifelse(0,`$5',`787',`eval(`$5'*10000000/254)')') + # total vertical package width (1/1000 mil) + define(`TBWIDTHMM', `ifelse(0,`$5',`551',`eval($5)')') + define(`TBWIDTH',`ifelse(0,`$5',`551',`eval(`$5'*10000000/254)')') + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + define(`EXTOUT', eval(75*100000/254)) + # how much pads extend inward from the package pad edge (1/1000 mil) + define(`EXTIN', eval(5*100000/254)) + # pad length/width (1/1000 mil) + define(`PADLENGTH', `eval(`$8'*100000/254)') + define(`PADWIDTH', `eval(`$7'*100000/254)') + # pad width (mil/100) + define(`PADWIDTHMIL100', `eval(PADWIDTH/10)') + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + define(`LRXMAX',`eval((LRWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`LRXMIN',`eval((LRWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + define(`TBYMAX',`eval((TBWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`TBYMIN',`eval((TBWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + + # pad size and drill size (mil/100) for the mounting holes + define(`MOUNTPAD', 7700) + define(`MOUNTDRILL', 2000) + # soldermask relief size for mounting holes (mil/100) + define(`MOUNTMASK', `eval(MOUNTPAD + 1000)') + + # silkscreen width (mils/100) + define(`SILKW', 1000) + # how much the silk screen is moved away from the package (1/1000 mil) + define(`SILKEXT', `eval(200*100000/254 + SILKW*10/2 + 5*1000)') + # upper right corner for silk screen (mil/100) + define(`SILKX', `eval((LRWIDTH/2 + SILKEXT )/10)') + define(`SILKY', `eval((TBWIDTH/2 + SILKEXT )/10)') + # refdes text size (mil/100) + define(`TEXTSIZE', 100) + # x,y coordinates for refdes label (mil/100) + define(`TEXTX', -SILKX) + define(`TEXTY', `eval(-SILKY - 1000 - TEXTSIZE/2)') + # square exposed paddle size (mil/100) + define(`EPSIZE', `eval(`$9'*10000/254)') + + # location of mounting holes (mil/100) + define(`MOUNTX', `eval((LRWIDTH/2 + 39370)/10)') + define(`MOUNTY', `eval((TBWIDTH/2 + 39370)/10)') + + # latch silkscreen width (mils/100) + define(`LSILKW', 100) + # points for latch silk on the left/right sides of the part (mil/100) + define(`LATCHLRYMIN', `eval((-TBWIDTH/2 - 25*100000/254 )/10)') + define(`LATCHLRYMAX', `eval(( TBWIDTH/2 + 25*100000/254 )/10)') + define(`LATCHLRXMIN', SILKX) + define(`LATCHLRXMAX', `eval(( LRWIDTH/2 + 400*100000/254 )/10)') + # points for latch silk on the top/bottom sides of the part (mil/100) + define(`LATCHTBXMIN', `eval((-LRWIDTH/2 - 25*100000/254 )/10)') + define(`LATCHTBXMAX', `eval(( LRWIDTH/2 + 25*100000/254 )/10)') + define(`LATCHTBYMIN', SILKY) + define(`LATCHTBYMAX', `eval(( TBWIDTH/2 + 400*100000/254 )/10)') + + # points for silk showing where the exposed paddle contacts are (mil/100) + define(`CONTL', 100) + ifelse(1, eval(TBWIDTHMM>4), define(`CONTL', 200)) + ifelse(1, eval(TBWIDTHMM>5), define(`CONTL', 300)) + + # spacing between rows of EP contacts in 1/100 mm. + define(`CONTS', 0) + ifelse(1, eval(TBWIDTHMM>5), define(`CONTS', `eval(TBWIDTHMM*100 - 430)')) + + define(`EPY', `eval((CONTL*100000/254)/10)') + define(`EPXMIN', `eval(((CONTS/2 - 20/2)*100000/254)/10)') + define(`EPXMAX', `eval(((CONTS/2 + 20/2)*100000/254)/10)') + + # soldermask opening (mil/100) + define(`MASKSIZE', `eval((400*100000/254 + TBWIDTH)/10)') + + +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "$1" "`$2'" "$3" 0 0 TEXTX TEXTY 0 TEXTSIZE 0x00000000] +( + +# left row +define(`CURPIN', 1) +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval((-LRYMAX + PITCH*idx)/10)')' + `Pad[-LRXMAX Y -LRXMIN Y PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000000]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# bottom row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((-TBXMAX + PITCH*idx)/10)')' + `Pad[X TBYMAX X TBYMIN PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000800]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# right row +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval(( LRYMAX - PITCH*idx)/10)')' + `Pad[LRXMAX Y LRXMIN Y PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000000]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# top row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((TBXMAX - PITCH*idx)/10)')' + `Pad[X -TBYMAX X -TBYMIN PADWIDTHMIL100 0 0 "CURPIN" "CURPIN" 0x00000800]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 EPSIZE 0 MASKSIZE "CURPIN" "CURPIN" 0x00000100] +define(`CURPIN', incr(CURPIN)) +# Mounting pins + +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ MOUNTX MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount1" "CURPIN" 0x0] +define(`CURPIN', incr(CURPIN)) +Pin[ -MOUNTX MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount2" "CURPIN" 0x0] +define(`CURPIN', incr(CURPIN)) +Pin[ -MOUNTX -MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount3" "CURPIN" 0x0] +define(`CURPIN', incr(CURPIN)) +Pin[ MOUNTX -MOUNTY MOUNTPAD 1000 MOUNTMASK MOUNTDRILL "Mount4" "CURPIN" 0x0] + +# Silk screen around package +ElementLine[ SILKX SILKY SILKX -SILKY SILKW] +ElementLine[ SILKX -SILKY -SILKX -SILKY SILKW] +ElementLine[-SILKX -SILKY -SILKX SILKY SILKW] +ElementLine[-SILKX SILKY SILKX SILKY SILKW] + +# Pin 1 indicator +ElementLine[-SILKX -SILKY eval(-SILKX - 1500) eval(-SILKY - 1500) SILKW] + +# Silk showing latch area + +# top +ElementLine[ LATCHTBXMIN -LATCHTBYMIN LATCHTBXMIN -LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMIN -LATCHTBYMAX LATCHTBXMAX -LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMAX -LATCHTBYMIN LATCHTBXMAX -LATCHTBYMAX LSILKW ] + +# bottom +ElementLine[ LATCHTBXMIN LATCHTBYMIN LATCHTBXMIN LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMIN LATCHTBYMAX LATCHTBXMAX LATCHTBYMAX LSILKW ] +ElementLine[ LATCHTBXMAX LATCHTBYMIN LATCHTBXMAX LATCHTBYMAX LSILKW ] + +# left +ElementLine[ -LATCHLRXMIN LATCHLRYMAX -LATCHLRXMAX LATCHLRYMAX LSILKW ] +ElementLine[ -LATCHLRXMAX LATCHLRYMAX -LATCHLRXMAX LATCHLRYMIN LSILKW ] +ElementLine[ -LATCHLRXMIN LATCHLRYMIN -LATCHLRXMAX LATCHLRYMIN LSILKW ] + +# right +ElementLine[ LATCHLRXMIN LATCHLRYMAX LATCHLRXMAX LATCHLRYMAX LSILKW ] +ElementLine[ LATCHLRXMAX LATCHLRYMAX LATCHLRXMAX LATCHLRYMIN LSILKW ] +ElementLine[ LATCHLRXMIN LATCHLRYMIN LATCHLRXMAX LATCHLRYMIN LSILKW ] + +# Silk showing area for exposed paddle socket contacts +ElementLine[ EPXMIN -EPY EPXMIN EPY LSILKW ] +ElementLine[ EPXMAX -EPY EPXMAX EPY LSILKW ] +ElementLine[ EPXMIN EPY EPXMAX EPY LSILKW ] +ElementLine[ EPXMIN -EPY EPXMAX -EPY LSILKW ] + +# packages with width >= 6.0 mm have 2 rows of contacts +ifelse(1, eval(TBWIDTHMM>5), +ElementLine[ -EPXMIN -EPY -EPXMIN EPY LSILKW ] +ElementLine[ -EPXMAX -EPY -EPXMAX EPY LSILKW ] +ElementLine[ -EPXMIN EPY -EPXMAX EPY LSILKW ] +ElementLine[ -EPXMIN -EPY -EPXMAX -EPY LSILKW ] +) + +) +T39,50 +Description_johnstech_JOHNSTECH_QFN20_5`Johnstech QFN Socket, Series 1MM (724812-724839)' +T26,42 +Description_geda_SOJ20_400`Small outline J-leaded package (400 mil)' +T19,2008 +COMMON_SMT_3PAD_MIL + define(`PADW', `$4') + define(`PADL', `$5') + define(`PADS', `$6') + define(`PADW2',`$7') + define(`PADL2',`$8') + define(`SLKW', `$9') + define(`SLKL', `$10') + + # silk screen width (mils) + define(`SILKW', `10') + + # silk screen bounding box + define(`XMIN', `eval( -PADS/2 - PADL/2 - SLKL - SILKW/2)') + define(`XMAX', `eval( PADS/2 + PADL/2 + SLKL + SILKW/2)') + define(`YMIN', `eval(-PADW2/2 - SLKW - SILKW/2)') + define(`YMAX', `eval( PADW2/2 + SLKW + SILKW/2)') + define(`SKIP_SILK', `$11') + + +Element(0x00 "$1" "`$2'" "$3" eval(XMIN+20) eval(YMAX+20) 0 100 0x00) +( + ifelse(0, eval(PADW>PADL), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval(-1*( PADS + PADL - PADW)/2) 0 + eval((-1*PADS + PADL - PADW)/2) 0 eval(PADW) "1" 0x100) + Pad(eval(-1*(-1*PADS + PADL - PADW)/2) 0 + eval(( PADS + PADL - PADW)/2) 0 eval(PADW) "3" 0x100) + , + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(eval(-1*PADS/2) eval(-1*(PADW - PADL)/2) + eval(-1*PADS/2) eval((PADW - PADL)/2) eval(PADL) "1" 0x100) + Pad(eval( PADS/2) eval(-1*(PADW - PADL)/2) + eval( PADS/2) eval((PADW - PADL)/2) eval(PADL) "3" 0x100) + ) + + ifelse(0, eval(PADW2>PADL2), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval((-PADL2 + PADW2)/2) 0 + eval(( PADL2 - PADW2)/2) 0 PADW2 "2" 0x100) + , + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(0 eval((-PADW2 + PADL2)/2) + 0 eval(( PADW2 - PADL2)/2) PADL2 "2" 0x100) + ) + + # silk screen + # ends + ElementLine(XMIN YMIN XMIN YMAX SILKW) + ElementLine(XMAX YMAX XMAX YMIN SILKW) + # sides +ifelse(SKIP_SILK,"no", + #skip side silk + , + ElementLine(XMIN YMIN XMAX YMIN SILKW) + ElementLine(XMAX YMAX XMIN YMAX SILKW) +) + # Mark the common centroid of the part + Mark(0 0) +) +T17,105 +PinList_74685_dil`/P>Q',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T17,126 +PinList_74648_dil`CAB',`SAB',`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/G',`SBA',`CBA',`Vcc' +T17,73 +PinList_74278_dil`Strb',`D3',`D4',`P0',`P1',`Y4',`Gnd',`Y3',`Y2',`Y1',`NC',`D1',`D2',`Vcc' +T15,3 +Param2_4016_dil600 +T16,2 +Param1_74195_dil16 +T16,2 +Param1_74158_dil16 +T19,27 +Description_uA7918C-18V 1.5A voltage regulator +T39,17 +Description_generic_capacitor_axial_400`capacitor_axial' +T23,32 +Description_geda_ALF800`Axial diode (pin 1 is cathode)' +T17,20 +Description_BC635bipolar-N transistor +T19,3 +Param2_WD33C93A_dil600 +T17,3 +Param2_DS1225_dil600 +T15,2 +Param1_7472_dil14 +T11,72 +PKG_LQFP_40PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `40',`25', `5', `50',`0',`$6') +T26,42 +Description_geda_SOJ34_400`Small outline J-leaded package (400 mil)' +T22,37 +Description_TLC272_dilDual precision operational amplifiers +T17,20 +Description_BC636bipolar-P transistor +T17,115 +PinList_74699_dil`U-/D',`CCK',`A',`B',`C',`D',`/ENP',`/CClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc' +T19,2 +Param1_MOLEX_025_4040 +T12,42 +PKG_TQFN28_5PKG_QFN_50(`$1',`$2',`$3', `28', `5', `0') +T10,72 +PKG_QFP_50PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `50',`28',`20', `80',`0',`$6') +T13,41 +PKG_QFP304_40PKG_QFP_50(`$1',`$2',`$3',`304',`40',`0') +T13,41 +PKG_QFP120_28PKG_QFP_80(`$1',`$2',`$3',`120',`28',`0') +T14,39 +PKG_HEADER12_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 6) +T25,15 +Description_isa_eight_bit`isa_eight_bit' +T21,38 +Description_geda_LED3`LED, size in mm (pin 1 is +, 2 is -)' +T21,38 +Description_TL082_dilDual JFET input operational amplifiers +T15,2 +Param1_7486_dil14 +T15,2 +Param1_7449_dil14 +T14,41 +PKG_TQFP144_28PKG_QFP_65(`$1',`$2',`$3',`144',`28',`0') +T14,38 +PKG_HEADER12_2PKG_CONNECTOR(`$1', `$2', `$3', 6, 2) +T27,79 +PKG_NICHICON_WT_CAP_6p3_5p4PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `260', `220', `680', `680', `590') +T11,72 +PKG_LQFP_80PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `80',`40', `5', `50',`0',`$6') +T21,38 +Description_geda_LED5`LED, size in mm (pin 1 is +, 2 is -)' +T28,23 +Description_PCI5V_MIN_HEIGHTPCI 5V Array Min Height +T24,28 +Description_MOLEX_025_50Molex .025 pitch 50 pin plug +T19,2 +Param1_MOLEX_025_8080 +T10,36 +PKG_ACY150PKG_AXIAL_LAY(`$1', `$2', `$3', 150) +T16,2 +Param1_TL074_dil14 +T22,2 +Param1_DIN41_651_10lay10 +T10,46 +PKG_SC70_3PKG_SMT_TRANSISTOR3( `$1', `$2', `$3', 13, 18) +T42,56 +Description_nichicon_NICHICON_WT_CAP_3_5p4`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T19,3 +Param2_PIC16C74_dil600 +T16,3 +Param2_74321_dil300 +T20,2 +Param1_generic18_dil18 +T12,43 +PKG_TQFN32_5PKG_QFN_50S(`$1',`$2',`$3', `32', `5', `0') +T13,62 +PKG_SOJ18_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',18,23622,86614,50000,346457) +T10,46 +PKG_SC70_4PKG_SMT_TRANSISTOR4( `$1', `$2', `$3', 13, 18) +T13,42 +PKG_LQFP44_10PKG_LQFP_80(`$1',`$2',`$3', `44',`10',`0') +T26,28 +Description_geda_QFP208_28`Square Quad-side flat pack' +T24,28 +Description_MOLEX_025_90Molex .025 pitch 90 pin plug +T16,3 +Param2_74180_dil300 +T16,3 +Param2_74143_dil300 +T15,3 +Param2_2716_dil600 +T10,46 +PKG_SC70_5PKG_SMT_TRANSISTOR5A(`$1', `$2', `$3', 13, 18) +T27,79 +PKG_NICHICON_WT_CAP_6p3_5p8PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `260', `220', `680', `680', `630') +T22,13 +Description_DS1225_dil`NVSRAM 8Kx8' +T27,24 +Description_DIN41_651_22layDIN41.651 laying 22 pins +T18,1 +Param2_connector102 +T15,3 +Param2_7410_dil300 +T12,42 +PKG_TQFN32_7PKG_QFN_65(`$1',`$2',`$3', `32', `7', `0') +T10,46 +PKG_SC70_6PKG_SMT_TRANSISTOR6( `$1', `$2', `$3', 13, 18) +T20,27 +Description_uA78M12C+12V 0.5A voltage regulator +T26,38 +Description_geda_RESC1608L`Standard SMT resistor, capacitor etc' +T21,74 +Description_74690_dil`4bit synchronous decade counter w. direct clear, output register 3-state' +T21,67 +Description_74653_dil`8 inverting bus tranceiver/register OC A-output, 3-state B-output' +T21,39 +Description_74283_dil`4bit binary full adders w. fast carry' +T6,2 +rHOLE124 +T17,105 +PinList_74522_dil`/OEN',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`/P=Q',`Vcc' +T16,3 +Param2_74194_dil300 +T16,3 +Param2_74157_dil300 +T18,2 +Param1_MC68681_dil40 +T9,34 +PKG_DIP20PKG_N(`$1', `$2', `$3', 20, 300) +T26,38 +Description_geda_RESC1608M`Standard SMT resistor, capacitor etc' +T23,64 +Description_geda_RCY500`Bottom lead non-polar circular component (typically capacitor)' +T33,24 +Description_candk_CANDK_ES01MSABE`C&K ES Series Switches' +T6,2 +rHOLE228 +T17,101 +PinList_74381_dil`A1',`B1',`A0',`B0',`S0',`S1',`S2',`F0',`F1',`Gnd',`F2',`F3',`/G',`/P',`Cn',`B3',`A3',`B2',`A2',`Vcc' +T18,1 +Param2_connector123 +T16,3 +Param2_74386_dil300 +T15,3 +Param2_7424_dil300 +T16,2 +Param1_74631_dil28 +T16,2 +Param1_74261_dil16 +T12,41 +PKG_QFP80_14PKG_QFP_65(`$1',`$2',`$3', `80',`14',`0') +T26,42 +Description_geda_SOJ22_300`Small outline J-leaded package (300 mil)' +T26,38 +Description_geda_RESC1608N`Standard SMT resistor, capacitor etc' +T21,21 +Description_74297_dil`digital PLL filters' +T6,2 +rHOLE350 +T17,102 +PinList_74573_dil`/Oe',`1D',`2D',`3D',`4D',`5D',`6D',`7D',`8D',`Gnd',`Le',`8Q',`7Q',`6Q',`5Q',`4Q',`3Q',`2Q',`1Q',`Vcc' +T17,86 +PinList_74166_dil`SER',`A',`B',`C',`D',`Clk_Inh',`Clk',`Gnd',`/Clr',`E',`F',`G',`Qh',`H',`SH-/LD',`Vcc' +T18,1 +Param2_connector502 +T16,2 +Param1_74490_dil16 +T9,34 +PKG_DIP22PKG_N(`$1', `$2', `$3', 22, 300) +T6,2 +rHOLE480 +T17,87 +PinList_74395_dil`/Clr',`Ser',`A',`B',`C',`D',`Ld-/Sh',`Gnd',`/OC',`Clk',`Qd+',`Qd',`Qc',`Qb',`Qa',`Vcc' +T18,1 +Param2_connector142 +T15,3 +Param2_7475_dil300 +T15,3 +Param2_7438_dil300 +T16,2 +Param1_74682_dil20 +T16,2 +Param1_74645_dil20 +T13,74 +PKG_INDC0402LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 15, 31, 56, 28, 0, 0) +T26,42 +Description_geda_SOJ36_300`Small outline J-leaded package (300 mil)' +T26,38 +Description_geda_INDC1608L`Standard SMT resistor, capacitor etc' +T27,37 +Description_geda_HEADER56_1`Header connector, DIP pin numbering' +T18,1 +Param2_connector153 +T16,2 +Param1_74467_dil20 +T13,74 +PKG_INDC0402MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 36, 35, 51, 106, 38, 0, 0) +T9,34 +PKG_DIP24PKG_N(`$1', `$2', `$3', 24, 600) +T17,49 +PKG_DIN41612C64FSPKG_DIN41_612FEMALE_SMALL(`$1', `$2', `$3', `ac') +T26,38 +Description_geda_INDC1608M`Standard SMT resistor, capacitor etc' +T27,42 +Description_geda_HEADER56_2`Header connector, ribbon cable numbering' +T25,21 +Description_cy7c64603_qfp`USB Microcontroller' +T29,26 +Description_DIN41_651_50standDIN41.651 standing 50 pins +T18,1 +Param2_connector162 +T18,3 +Param2_GAL16V8_dil300 +T16,2 +Param1_74696_dil20 +T13,74 +PKG_INDC0402NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 26, 25, 41, 81, 33, 0, 0) +T26,38 +Description_geda_INDC1608N`Standard SMT resistor, capacitor etc' +T11,44 +PKG_EIA3528PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 35, 28) +T18,1 +Param2_connector183 +T21,2 +Param1_generic68_plcc68 +T20,2 +Param1_generic44_qfp44 +T9,34 +PKG_DIP64PKG_N(`$1', `$2', `$3', 64, 900) +T21,39 +PKG_AMPHENOL_ARFX1230PKG_AMPHENOL_ARFX1229(`$1', `$2', `$3') +T23,30 +Description_geda_SOD323`SMT diode (pin 1 is cathode)' +T17,55 +PinList_TL082_dil`Out1',`In1-',`In1+',`Vcc-',`In2+',`In2-',`Out2',`Vcc+' +T7,384 +PKG_ZIFdefine(`NUMPINS', `$4') + define(`CENTER', eval(NUMPINS*19)) +Element(0x00 "$1" "`$2'" "$3" 100 CENTER 0 100 0x00) +( + +define(`X', 0) +define(`count', 1) +forloop(`i', 1, NUMPINS, + `PAD(X, 12, X, 97, 24, count)' `define(`count', incr(count))' + `define(`X', eval(X+39))' +) +PAD(-124, -41, -124, -151, 82, eval(NUMPINS+1)) +PAD(eval(X+124-39), -41, eval(X+124-39), -151, 82, eval(NUMPINS+2)) +) +T13,41 +PKG_QFP240_32PKG_QFP_50(`$1',`$2',`$3',`240',`32',`0') +T9,34 +PKG_DIP28PKG_N(`$1', `$2', `$3', 28, 600) +T21,39 +PKG_AMPHENOL_ARFX1231PKG_AMPHENOL_ARFX1229(`$1', `$2', `$3') +T27,37 +Description_geda_HEADER60_1`Header connector, DIP pin numbering' +T20,112 +PinList_PIC16C54_dil`RA2',`RA3',`RTCC',`/MCLR',`VSS',`RB0',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`VDD',`OSC2',`OSC1',`RA0',`RA1' +T34,3 +Param1_generic_capacitor_axial_400400 +T11,42 +PKG_PLCC68XPKG_PLCC_SOCKET(`$1', `$2', `$3', 68, 150) +T21,39 +PKG_AMPHENOL_ARFX1232PKG_AMPHENOL_ARFX1229(`$1', `$2', `$3') +T23,45 +Description_geda_SDIP20`Shrink dual in-line package, wide (300 mil)' +T27,42 +Description_geda_HEADER60_2`Header connector, ribbon cable numbering' +T21,29 +Description_74120_dil`2 pulse synchronizer/driver' +T21,11 +Description_44251_zipVRAM 256Kx4 +F7,7 +esyscmdesyscmd +T21,2 +Param1_AT90S8535_plcc44 +T8,74 +PKG_0805PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 150, 130, 180, 350, 200, 20, 140) +T39,50 +Description_johnstech_JOHNSTECH_QFN44_7`Johnstech QFN Socket, Series 1MM (724812-724839)' +T25,9 +Description_generic18_dil`generic' +T13,11 +PinList_BC327`E',`B',`C' +T16,3 +Param2_74630_dil300 +T16,3 +Param2_74260_dil300 +T12,41 +PKG_QFP100_RPKG_QFP_65L(`$1',`$2',`$3',`100',`0',`0') +T14,39 +PKG_HEADER36_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 18) +T19,27 +Description_uA7824C+24V 1.5A voltage regulator +T23,45 +Description_geda_SDIP22`Shrink dual in-line package, wide (300 mil)' +T21,25 +Description_74541_dil`8 buffer/driver 3-state' +T21,22 +Description_74171_dil`4 D-type FF w. clear' +T21,23 +Description_74134_dil`12 input NAND 3-state' +T16,72 +PinList_7450_dil`1A',`2A',`2B',`2C',`2D',`2Y',`Gnd',`1Y',`1C',`1D',`1X',`1/X',`1B',`Vcc' +T16,71 +PinList_7413_dil`1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc' +T18,2 +Param1_MAX232A_dil16 +T14,38 +PKG_HEADER36_2PKG_CONNECTOR(`$1', `$2', `$3', 18, 2) +T11,2471 +PKG_GEN_QFP + define(`PX', `eval((PITCH*(XPADS-1)+127)/254)') + define(`PY', `eval((PITCH*(YPADS-1)+127)/254)') + define(`PHW', `eval(PAD_WIDTH/2)') +Element(0x00 "$1" "`$2'" "$3" 100 0 0 100 0x00) +( + define(`count', 1) + + # left row, going down + define(`X_OUTER', PHW) + define(`X_INNER', eval(PAD_LENGTH-PHW)) + define(`Y0', `eval((Y_LENGTH-PY)/2)') + forloop(`i', ISTART, YPADS, + `define(`Y', eval(Y0+(PITCH*(i-1)+127)/254) )' + `PAD(X_OUTER, Y, X_INNER, Y, PAD_WIDTH, count)' + `define(`count',incr(count))' + ) + + # bottom row, going right + ifelse(XPADS,0,,` + define(`Y_OUTER', eval(Y_LENGTH-PHW)) + define(`Y_INNER', eval(Y_LENGTH+PHW-PAD_LENGTH)) + define(`X0', `eval((X_LENGTH-PX)/2)') + forloop(`i', 1, XPADS, + `define(`X', eval(X0+(PITCH*(i-1)+127)/254) )' + `PAD(X, Y_OUTER, X, Y_INNER, PAD_WIDTH, count)' + `define(`count',incr(count))' + )') + + # right row, going up + define(`X_OUTER', eval(X_LENGTH-PHW)) + define(`X_INNER', eval(X_LENGTH+PHW-PAD_LENGTH)) + define(`Y0', `eval((Y_LENGTH+PY)/2)') + forloop(`i', 1, YPADS, + `define(`Y', eval(Y0-(PITCH*(i-1)+127)/254) )' + `PAD(X_OUTER, Y, X_INNER, Y, PAD_WIDTH, count)' + `define(`count',incr(count))' + ) + + # top row, going left + ifelse(XPADS,0,,` + define(`Y_OUTER', PHW) + define(`Y_INNER', eval(PAD_LENGTH+PHW-PAD_WIDTH)) + define(`X0', `eval((X_LENGTH+PX)/2)') + forloop(`i', 1, XPADS, + `define(`X', eval(X0-(PITCH*(i-1)+127)/254) )' + `PAD(X, Y_OUTER, X, Y_INNER, PAD_WIDTH, count)' + `define(`count',incr(count))' + )') + + # left row, going down again, maybe + define(`X_OUTER', PHW) + define(`X_INNER', eval(PAD_LENGTH-PHW)) + define(`Y0', `eval((Y_LENGTH-PY)/2)') + ifelse(ISTART,1,,`forloop(`i', 1, eval(ISTART-1), + `define(`Y', eval(Y0+(PITCH*(i-1)+127)/254) )' + `PAD(X_OUTER, Y, X_INNER, Y, PAD_WIDTH, count)' + `define(`count',incr(count))' + )') + + define(`NOSMUDGE', 10) + define(`SSOX', eval(NOSMUDGE+PAD_LENGTH)) + define(`SSOY', ifelse(XPADS,0,0,eval(NOSMUDGE+PAD_LENGTH))) + define(`PPX', eval(X_LENGTH-SSOX)) + define(`PPY', eval(Y_LENGTH-SSOY)) + ElementLine(SSOX SSOY PPX SSOY 8) + ElementLine(PPX SSOY PPX PPY 8) + ElementLine(PPX PPY SSOX PPY 8) + ElementLine(SSOX PPY SSOX SSOY 8) + + # Pin 1 Indicator + define(`Y1', ifelse(ISTART,1,`eval(SSOY+40)', + `eval(Y0+(PITCH*(ISTART-1)+127)/254)')) + ElementArc(eval(SSOX+40) Y1 20 20 0 360 10) + + # Moderately useful place for the Mark. This way, + # if the pins can line up with the grid, they do. + Mark(eval((X_LENGTH-PX)/2) eval((Y_LENGTH-PY)/2)) +) +T26,28 +Description_geda_QFP100_14`Square Quad-side flat pack' +T16,3 +Param2_74681_dil300 +T16,3 +Param2_74644_dil300 +T16,3 +Param2_74607_dil300 +T35,3 +Param1_smd_capacitor_polarized_1206120 +T28,3 +Param1_DIN41_612_abc96femaleabc +T16,2 +Param1_74112_dil16 +T15,2 +Param1_2732_dil24 +T11,40 +PKG_RCY350PPKG_RADIAL_CAN(`$1', `$2', `$3', 700, 1) +T38,16 +Description_generic_resistor_axial_400`resistor_axial' +T23,45 +Description_geda_SDIP24`Shrink dual in-line package, wide (300 mil)' +T21,28 +Description_74592_dil`8bit counter w. load/clear' +T21,46 +Description_74518_dil`8bit identity comperator OC w. input pull-up' +T20,16 +Description_7431_dil`delay elements' +T21,16 +Description_74148_dil`8-to-3 encoder' +T16,59 +PinList_7464_dil`A',`E',`F',`G',`H',`I',`Gnd',`Y',`J',`K',`B',`C',`D',`Vcc' +T16,71 +PinList_7427_dil`1A',`1B',`2A',`2B',`2C',`2Y',`Gnd',`3Y',`3A',`3B',`3C',`1Y',`1C',`Vcc' +T16,3 +Param2_74466_dil300 +T26,42 +Description_geda_SOJ32_450`Small outline J-leaded package (450 mil)' +T21,28 +Description_74377_dil`8 FF w. single rail output' +T7,2 +rHOLE2c40 +T18,13 +PinList_R_adjust_H`1', `c', `2' +T26,13 +PinList_PCI5V_SMALL_HEIGHTPinList_PCI5V +T17,113 +PinList_74690_dil`/CClr',`CCK',`A',`B',`C',`D',`ENP',`/RClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc' +T17,127 +PinList_74653_dil`CAB',`SAB',`GAB',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/GBA',`SA',`CBA',`Vcc' +T17,89 +PinList_74283_dil`Sum2',`B2',`A2',`Sum1',`A1',`B1',`C0',`Gnd',`C4',`Sum4',`B4',`A4',`Sum3',`A3',`B3',`Vcc' +T16,2 +Param1_74163_dil16 +T16,2 +Param1_74126_dil14 +T13,42 +PKG_LQFP72_10PKG_LQFP_50(`$1',`$2',`$3', `72',`10',`0') +T23,1374 +PKG_DIN41_612MALE_SMALLdefine(`XPOS', `eval(300 + 100 * i)') + define(`MINY', 300) +Element(0x00 "$1" "`$2'" "$3" 520 550 0 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 16, `PIN(eval(2000 - 100 *i), 300, 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , `define(`MINY', 200)' + forloop(`i', 1, 16, `PIN(eval(2000 - 100 *i), 200, 60, 30, eval(16 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MINY', 100)' + forloop(`i', 1, 16, `PIN(eval(2000 - 100 *i), 100, 60, 30, eval(32 + i)) + ')) + # Rueckseite Kontaktstifte + forloop(`i', 1, 16, `ElementLine(XPOS MINY XPOS 375 40) + ') + + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(2100 400 120 80 "M2" 0x01) + + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + + # Begrenzung M2 + ElementLine(1980 300 2200 300 20) + ElementLine(2200 300 2200 620 20) + ElementLine(2200 620 2100 620 20) + ElementLine(2100 620 1980 620 10) + ElementLine(1980 620 1980 395 10) + ElementLine(1980 395 1980 300 20) + + # Kante Pins + ElementLine( 320 395 1980 395 20) + + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 2100 800 20) + ElementLine(2100 800 2100 620 20) + + Mark(1900 300) +) +T12,213 +PKG_208_LQFPdefine(`PITCH', 5000) + define(`PAD_LENGTH', 60) + define(`PAD_WIDTH', 10) + define(`XPADS', 52) + define(`YPADS', 52) + define(`X_LENGTH', 1220) + define(`Y_LENGTH', 1220) + define(`ISTART', 1) + PKG_GEN_QFP($1, $2, $3) + +T21,10 +Description_geda_UM1H`Crystals' +T21,10 +Description_geda_HC49`Crystals' +T24,62 +Description_geda_ACY1000`Axial non-polar component (typically resistor or capacitor),' +T20,31 +Description_7445_dil`BCD to decimal decoder/driver' +T21,55 +Description_74199_dil`8bit shift register w. parallel input/output/JK-input' +T20,12 +Description_7408_dil`4 dual-AND' +T20,130 +COMMON_AMP_MICTOR_MMCOMMON_AMP_MICTOR_MIL(`$1',`$2',`$3',`$4', + eval($5*100000/254),eval($6*100000/254),eval($7*100000/254),eval($8*100000/254), `$9') +T11,116 +QueryObjectifdef(`PinList_$1', `DefinePinList(PinList_$1)') +$3 ifdef(`Param1_$1', `Param1_$1') ifdef(`Param2_$1', `Param2_$1') + +T16,82 +PinList_7478_dil`Clk',`/1Pre',`1J',`Vcc',`/Clr',`/2Pre',`2K',`2Q',`/2Q',`2J',`Gnd',`/1Q',`1Q',`1K' +T17,3 +Param2_DS1230_dil600 +T15,2 +Param1_7440_dil14 +T16,2 +Param1_74355_dil20 +T15,2 +Param1_7403_dil14 +T10,37 +PKG_RCY250PKG_RADIAL_CAN(`$1', `$2', `$3', 500) +T11,72 +PKG_QFP_100PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5',`100',`50',`20',`100',`0',`$6') +T14,39 +PKG_HEADER40_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 20) +T8,41 +PKG_DB9FPKG_SUBD_FEMALE_LAY(`$1', `$2', `$3', 9) +T8,74 +PKG_1206PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 190, 130, 300, 490, 240, 120, 190) +T25,33 +Description_geda_JUMPER10`Jumper, i.e. single row headers' +T22,38 +Description_geda_DIP40`Dual in-line package, wide (600 mil)' +T30,31 +Description_geda_DIN41612C64MS`DIN connector, 96 pin housing' +T27,24 +Description_DIN41_651_50layDIN41.651 laying 50 pins +T17,125 +PinList_74297_dil`B',`A',`ENCTR',`K-Clk',`I-D-Clk',`d-/U',`I-D-Out',`Gnd',`Phase-A1',`Phase-B',`XORPD-Out',`ECPD-Out',`Pahse-A2',`D',`C',`Vcc' +T16,2 +Param1_74177_dil14 +T11,55 +PKG_TSSOP80COMMON_SMT_DIL_MM(`$1',`$2',`$3', 80, 25, 150, 40, 610) +T9,57 +PKG_TSSOPCOMMON_SMT_DUALINLINE(`$1',`$2',`$3',`$4',260,2600,14,40) +T14,38 +PKG_HEADER40_2PKG_CONNECTOR(`$1', `$2', `$3', 20, 2) +T11,46 +PKG_DO214ABPKG_SMT_DIODE( `$1', `$2', `$3', 79, 34) +T25,33 +Description_geda_JUMPER11`Jumper, i.e. single row headers' +T20,21 +Description_7496_dil`5bit shift register' +T24,2 +Param1_DIN41_651_10stand10 +T15,2 +Param1_7491_dil14 +T15,2 +Param1_7454_dil14 +T16,2 +Param1_27512_dil28 +T26,42 +Description_geda_SOJ16_400`Small outline J-leaded package (400 mil)' +T24,39 +Description_geda_QFN28_5`Square Quad Flat Nolead (QFN) package' +T25,33 +Description_geda_JUMPER12`Jumper, i.e. single row headers' +T22,38 +Description_geda_DIP42`Dual in-line package, wide (600 mil)' +T24,46 +Description_geda_ACY150P`Axial polar component (typically capacitor),' +T16,2 +Param1_74598_dil20 +T7,58 +PKG_UM1PKG_CRYSTAL_V(`$1', `$2', `$3', 148, 311, 126, 60, 32, 2) +T13,41 +PKG_TQFP64_10PKG_QFP_50(`$1',`$2',`$3', `64',`10',`0') +T12,43 +PKG_TQFN56_8PKG_QFN_50S(`$1',`$2',`$3', `56', `8', `0') +T19,26 +Description_uA7905C-5V 1.5A voltage regulator +T29,16 +Description_smd_capacitor_805`chip_capacitor' +T25,33 +Description_geda_JUMPER13`Jumper, i.e. single row headers' +T23,32 +Description_geda_ALF300`Axial diode (pin 1 is cathode)' +T21,40 +Description_Z8536_dilCIO counter/timer with parallel I/O unit +T18,2 +Param1_I82077_plcc68 +T15,2 +Param1_7468_dil16 +T25,9 +Description_generic44_qfp`generic' +T27,39 +Description_geda_QFN16_4_EP`Square Quad Flat Nolead (QFN) package' +T25,33 +Description_geda_JUMPER14`Jumper, i.e. single row headers' +T22,38 +Description_geda_DIP44`Dual in-line package, wide (600 mil)' +T15,8 +PinList_LED_5MM`-', `+' +T20,2 +Param1_generic40_zip40 +T16,40 +PKG_DIN41651_60SPKG_DIN41_651STAND(`$1', `$2', `$3', 60) +T27,28 +Description_geda_TQFP128_28`Square Quad-side flat pack' +T25,33 +Description_geda_JUMPER15`Jumper, i.e. single row headers' +T14,16 +PinList_MJE350`E',`C',`B', `C' +T19,2 +Param1_PIC16C61_dil18 +T11,55 +PKG_TSSOP48COMMON_SMT_DIL_MM(`$1',`$2',`$3', 48, 30, 150, 50, 610) +T25,33 +Description_geda_JUMPER16`Jumper, i.e. single row headers' +T19,165 +PinList_GAL20V8_dil`CLK/I1',`I2',`I3',`I4',`I5',`I6',`I7',`I8',`I9',`I10',`I11',`Gnd',`I12/OE',`I13',`I/O/Qh',`I/O/Qg',`I/O/Qf',`I/O/Qe',`I/O/Qd',`I/O/Qc',`I/O/Qb',`I/O/Qa',`I14',`Vcc' +T16,3 +Param2_74111_dil300 +T25,2 +Param1_DIN41_612_ab64maleab +T13,41 +PKG_TQFP64_14PKG_QFP_80(`$1',`$2',`$3', `64',`14',`0') +T13,39 +PKG_HEADER4_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 2) +T8,41 +PKG_DB9MPKG_SUBD_MALE_LAY( `$1', `$2', `$3', 9) +T44,56 +Description_nichicon_NICHICON_WT_CAP_6p3_5p4`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T24,39 +Description_geda_QFN32_5`Square Quad Flat Nolead (QFN) package' +T25,33 +Description_geda_JUMPER17`Jumper, i.e. single row headers' +T22,14 +Description_DS1230_dil`NVSRAM 32Kx8' +T17,20 +Description_BC546bipolar-N transistor +T11,42 +PKG_SSOP56WPKG_SSO( `$1', `$2', `$3', 56, 420, 2500) +T13,62 +PKG_SOJ30_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',30,23622,86614,50000,291339) +T9,67 +PKG_SO32WCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 32, 20000, 80000, 50000, 430000) +T13,38 +PKG_HEADER4_2PKG_CONNECTOR(`$1', `$2', `$3', 2, 2) +T16,40 +PKG_DIN41651_64SPKG_DIN41_651STAND(`$1', `$2', `$3', 64) +T26,42 +Description_geda_SOJ20_350`Small outline J-leaded package (350 mil)' +T25,33 +Description_geda_JUMPER18`Jumper, i.e. single row headers' +T22,38 +Description_geda_DIP48`Dual in-line package, wide (600 mil)' +T17,20 +Description_BC547bipolar-N transistor +T21,22 +Description_74621_dil`8 bus tranceivers OC' +T21,35 +Description_74251_dil`data selector/multiplexor 3-state' +T16,22 +PinList_uA79M12C`Com',`In',`Out',`=CC' +T17,93 +PinList_74120_dil`1M',`/1S1',`/1S2',`/1R',`1C',`1Y',`/1Y',`Gnd',`/2Y',`2Y',`2C',`/2R',`/2S1',`/2S2',`2M',`Vcc' +T17,166 +PinList_44251_zip`DSF',`DQ2',`DQ3',`/SE',`SDQ2',`SDQ3',`Vss',`SC',`SDQ0',`SDQ1',`/TRG',`DQ0',`DQ1',`/W',`NC-Gnd',`/RAS',`A8',`A6',`A5',`A4',`Vcc',`A7',`A3',`A2',`A1',`A0',`QSF',`/CAS' +T35,2 +Param2_smd_capacitor_polarized_120660 +T16,3 +Param2_74162_dil300 +T16,3 +Param2_74125_dil300 +T10,58 +PKG_HC51UHPKG_CRYSTAL_H(`$1', `$2', `$3', 485, 757, 775, 80, 40, 2) +T24,39 +Description_geda_QFN32_7`Square Quad Flat Nolead (QFN) package' +T25,33 +Description_geda_JUMPER19`Jumper, i.e. single row headers' +T24,16 +Description_PIC16C55_dilmicro controller +T17,20 +Description_BC548bipolar-N transistor +T21,51 +Description_74443_dil`4 tridirectional inverting bus tranceiver 3-state' +T16,3 +Param2_74354_dil300 +T13,62 +PKG_SOJ44_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',44,23622,86614,50000,291339) +T26,42 +Description_geda_SOJ34_350`Small outline J-leaded package (350 mil)' +T25,60 +Description_geda_RCY1100P`Bottom lead polar circular component (typically capacitor)' +T21,60 +Description_74672_dil`4bit universal shift register/latch 3-state w. sync. clear' +T21,30 +Description_74265_dil`4 complementary output gates' +F4,4 +incrincr +T17,103 +PinList_74541_dil`/G1',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`Y8',`Y7',`Y6',`Y5',`Y4',`Y3',`Y2',`Y1',`/G2',`Vcc' +T17,88 +PinList_74171_dil`/1Q',`/2Q',`2Q',`2D',`3D',`3Q',`/3Q',`Gnd',`/4Q',`4Q',`4D',`Clk',`/Clr',`1D',`1Q',`Vcc' +T17,69 +PinList_74134_dil`A',`B',`C',`D',`E',`F',`G',`Gnd',`Y',`H',`I',`J',`K',`L',`/OC',`Vcc' +T16,3 +Param2_74176_dil300 +T16,3 +Param2_74139_dil300 +T8,58 +PKG_TSOPCOMMON_SMT_DUALINLINE(`$1',`$2',`$3',`$4',`$5',`$6',12,40) +T10,36 +PKG_ACY800PKG_AXIAL_LAY(`$1', `$2', `$3', 800) +T44,56 +Description_nichicon_NICHICON_WT_CAP_6p3_5p8`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T23,28 +Description_geda_TSOP28`Thin small outline package' +T16,3 +Param2_74368_dil300 +T15,3 +Param2_7406_dil300 +T16,2 +Param1_74280_dil14 +T16,2 +Param1_74243_dil14 +T38,42 +Description_panasonic_PANASONIC_EXBV4V`Panasonic EXB Series Chip Resistor Array' +T28,9 +Description_generic18smd_dil`generic' +T25,46 +Description_geda_ACY1000P`Axial polar component (typically capacitor),' +T21,37 +Description_74686_dil`8bit magnitute comperator w. enable' +T21,40 +Description_74649_dil`8 inverting bus tranceiver/register OC' +T21,17 +Description_74279_dil`4 /S-/R latches' +T18,13 +PinList_R_adjust_V`1', `c', `2' +T17,87 +PinList_74592_dil`B',`C',`D',`E',`F',`G',`H',`Gnd',`/Rc0',`/Clr',`Clk',`/ClkEn',`RClk',`/Load',`A',`Vcc' +T17,104 +PinList_74518_dil`/OEN',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`P=Q',`Vcc' +T17,76 +PinList_74148_dil`4',`5',`6',`7',`/Ei',`A2',`A1',`Gnd',`A0',`0',`1',`2',`3',`/Gs',`/Eo',`Vcc' +T16,3 +Param2_74597_dil300 +T8,67 +PKG_SO32COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 32, 20000, 80000, 50000, 280000) +T17,102 +PinList_74377_dil`/G',`1Q',`1D',`2D',`2Q',`3Q',`3D',`4D',`4Q',`Gnd',`Clk',`5Q',`5D',`6D',`6Q',`7Q',`7D',`8D',`8Q',`Vcc' +T15,3 +Param2_7457_dil300 +T16,2 +Param1_74627_dil14 +T16,2 +Param1_74294_dil16 +T16,2 +Param1_74257_dil16 +T43,32 +Description_minicircuits_MINICIRCUITS_CD636`Mini-Circuits CD Style Package' +T26,42 +Description_geda_SOJ18_300`Small outline J-leaded package (300 mil)' +T23,36 +Description_geda_QSOP16`Quarter size small outline package' +T17,123 +PinList_74199_dil`/K',`J',`A',`Qa',`B',`Qb',`C',`Qc',`D',`Qd',`ClkInh',`Gnd',`Clk',`/Clr',`Qe',`E',`Qf',`F',`Qg',`G',`Qh',`H',`SH-/LD',`Vcc' +T27,2 +Param1_DIN41_612_ab64femaleab +T16,2 +Param1_74449_dil16 +T38,42 +Description_panasonic_PANASONIC_EXBV8V`Panasonic EXB Series Chip Resistor Array' +T43,32 +Description_minicircuits_MINICIRCUITS_CD637`Mini-Circuits CD Style Package' +T23,45 +Description_geda_DIP16M`Dual in-line package, medium wide (400 mil)' +T18,20 +Description_MJE350bipolar-P transistor +T14,76 +PKG_SMD_SIMPLEdefine(`SMD_OUTLINE_EXTRA', `') + PKG_SMD_BASE(`$1', `$2', `$3', `$4', `$5') + +T27,28 +Description_geda_LQFP112_20`Square Quad-side flat pack' +T27,37 +Description_geda_HEADER14_1`Header connector, DIP pin numbering' +T21,2 +Param1_generic84_plcc84 +T25,79 +PKG_NICHICON_WT_CAP_4_5p4PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `200', `100', `450', `450', `590') +T16,88 +PKG_BOURNS_3224GPKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `127', `127', `200', `127', `520', `230', `480') +T27,39 +Description_geda_QFN24_4_EP`Square Quad Flat Nolead (QFN) package' +T27,42 +Description_geda_HEADER14_2`Header connector, ribbon cable numbering' +F6,6 +divertdivert +T14,45 +PKG_QFN48_7_EPPKG_QFN_50S(`$1',`$2',`$3', `48', `7', `560') +T25,9 +Description_generic40_zip`generic' +T24,47 +Description_geda_PLCC44X`Plastic leadless chip carrier with pin socket' +F3,3 +dnldnl +T17,236 +PinList_Z8536_dil`D4',`D5',`D6',`D7',`/RD',`/WR',`Gnd',`PB0',`PB1',`PB2',`PB3',`PB4',`PB5',`PB6',`PB7',`PCLK',`IEI',`IEO',`PC0',`PC1',`PC2',`PC3',`/Vcc',`/INT',`/INTACK',`PA7',`PA6',`PA5',`PA4',`PA3',`PA2',`PA1',`PA0',`A0',`A1',`/CE',`D0',`D1',`D2',`D3' +T15,312 +PinList_SCSI_SE`Gnd',`/DB0',`Gnd',`/DB1',`Gnd',`/DB2',`Gnd',`/DB3',`Gnd',`/DB4',`Gnd',`/DB5',`Gnd',`/DB6',`Gnd',`/DB7',`Gnd',`/DBP',`Gnd',`NC',`Gnd',`NC',`Gnd',`NC',`NC',`TermPwr',`Gnd',`NC',`Gnd',`NC',`Gnd',`/Atn',`Gnd',`NC',`Gnd',`/Bsy',`Gnd',`/Ack',`Gnd',`/Rst',`Gnd',`/Msg',`Gnd',`/Sel',`Gnd',`/CD',`Gnd',`/Req',`Gnd',`/IO' +T16,125 +PinList_2532_dil`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`A11',`A10',`/Oe',`Vpp',`A9',`A8',`Vcc' +T12,1252 +PKG_TO220LAYElement(0x00 "$1" "`$2'" "$3" 50 570 1 100 0x00) +( + +# I have been unable to locate the JEDEC drawing. However, refering +# to http://www.zetex.com/3.0/pdf/TO220.pdf which claims to be JEDEC +# compliant, I see that the pins are rectangular with dimensions: +# +# 15-40 mils X 16-20 mils which gives a diagonal of +# 21.9 to 44.7 mils +# +# The pin pitch is 90 to 110 mils. +# +# The mounting hole is 139 to 160 mils diameter + PIN(100, 800, 90, 60, 1) + PIN(200, 800, 90, 60, 2) + PIN(300, 800, 90, 60, 3) + + # Befestigungsbohrung + PIN(200, 130, 150, 130, 4) + + # Anschlussdraehte + ElementLine(100 800 100 620 30) + ElementLine(200 800 200 620 30) + ElementLine(300 800 300 620 30) + + # Gehaeuse + ElementLine( 0 620 400 620 20) + ElementLine(400 620 400 245 20) + ElementLine(400 245 0 245 20) + ElementLine( 0 245 0 620 20) + + # Kuehlfahne mit Kerben + ElementLine( 0 245 400 245 20) + ElementLine(400 245 400 120 20) + ElementLine(400 120 385 120 20) + ElementLine(385 120 385 50 20) + ElementLine(385 50 400 50 20) + ElementLine(400 50 400 10 20) + ElementLine(400 10 0 10 20) + ElementLine( 0 10 0 50 20) + ElementLine( 0 50 15 50 20) + ElementLine( 15 50 15 120 20) + ElementLine( 15 120 0 120 20) + ElementLine( 0 120 0 245 20) + + Mark(200 800) +) +T10,43 +PKG_MSOP10PKG_TSOP( `$1', `$2', `$3', 10, 199, 1969) +T14,39 +PKG_HEADER64_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 32) +T12,40 +PKG_ACY1300PPKG_AXIAL_LAY(`$1', `$2', `$3', 1300, 1) +T40,18 +Description_generic_capacitor_radial_500`capacitor_radial' +T28,39 +Description_geda_TQFN36_6_EP`Square Quad Flat Nolead (QFN) package' +T22,26 +Description_NANOENGINEBright Star nanoEngine SBC +T21,2 +Param2_smd_diode_120660 +T24,2 +Param2_smd_capacitor_60330 +T18,3 +Param2_MC68681_dil600 +T13,62 +PKG_SOJ32_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',32,23622,86614,50000,196850) +T14,44 +PKG_QFN16_5_EPPKG_QFN_80(`$1',`$2',`$3', `16', `5', `310') +T14,38 +PKG_HEADER64_2PKG_CONNECTOR(`$1', `$2', `$3', 32, 2) +T16,88 +PKG_BOURNS_3224JPKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `130', `200', `200', `200', `400', `230', `480') +T14,42 +PKG_LQFP128_14PKG_LQFP_40(`$1',`$2',`$3',`128',`14',`0') +T30,31 +Description_geda_DIN41612C96FS`DIN connector, 96 pin housing' +T21,268 +PinList_AT90S4434_dil`/Reset',`PD0/RxD',`PD1/TxD',`PD2/INT0',`PD3/INT1',`PD4/T0',`Vcc',`Gnd',`XTAL1',`XTAL2',`PD5/T1',`PD6/AIN0',`PD7/AIN1',`PB0/ICP',`PB1/OC1',`PB2//SS', `PB3/MOSI',`PB4/MISO',`PB5/SCK',`AVcc',`AREF',`AGnd',`PC0/ADC0',`PC1/ADC1',`PC2/ADC2',`PC3/ADC3',`PC4/ADC4',`PC5/ADC5' +T16,3 +Param2_74242_dil300 +T26,28 +Description_geda_LQFP48_12`Square Quad-side flat pack' +T23,23 +Description_GAL20V8_dilgeneric PAL replacement +T21,37 +Description_74190_dil`syncronous 4bit BCD up/down counter' +T21,20 +Description_74153_dil`2 4-to-1 selectors' +T21,25 +Description_74116_dil`2 4bit lacthes w. clear' +T16,71 +PinList_7432_dil`A1',`B1',`Y1',`A2',`B2',`Y2',`Gnd',`Y3',`A3',`B3',`Y4',`A4',`B4',`Vcc' +T38,42 +Description_panasonic_PANASONIC_EXB14V`Panasonic EXB Series Chip Resistor Array' +T23,10 +Description_geda_HC49UH`Crystals' +T29,31 +Description_geda_DIN41651_34S`Header connector with latches' +T21,49 +Description_74382_dil`ALU function generator w. overflow/ripple-carry' +T18,95 +PinList_TLC274_dil`Out1',`In1-',`In1+',`Vdd',`In2+',`In2-',`Out2',`Out3',`In3-',`In3+',`Gnd',`In4+',`In4-',`Out4' +T17,104 +PinList_74621_dil`GAB',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/GBA',`Vcc' +T17,76 +PinList_74251_dil`D3',`D2',`D1',`D0',`Y',`W',`/G',`Gnd',`C',`B',`A',`D7',`D6',`D5',`D4',`Vcc' +T16,3 +Param2_74626_dil300 +T16,3 +Param2_74293_dil300 +T21,26 +Description_74574_dil`8 D-type edge FF 3-state' +T20,33 +Description_7450_dil`2 AND-OR inverters (2x2 inputs)' +T21,35 +Description_74167_dil`syncronous decade rate multiplier' +T20,35 +Description_7413_dil`2 quad-input NAND Schmitt trigger' +T16,89 +PinList_7483_dil`A4',`Sum3',`A3',`B3',`Vcc',`Sum2',`B2',`A2',`Sum1',`A1',`B1',`Gnd',`C0',`C4',`Sum4',`B4' +T16,79 +PinList_7446_dil`B',`C',`/LT',`/BI-/RBO',`/RBI',`D',`A',`Gnd',`e',`d',`c',`b',`a',`g',`f',`Vcc' +T17,105 +PinList_74443_dil`/CS',`B1',`C1',`C2',`B2',`B3',`C3',`C4',`B4',`Gnd',`S0',`S1',`A4',`A3',`A2',`A1',`/GA',`/GB',`/GC',`Vcc' +T16,71 +PinList_7409_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T16,2 +Param1_74323_dil20 +T14,42 +PKG_TQFP128_14PKG_LQFP_40(`$1',`$2',`$3',`128',`14',`0') +T11,43 +PKG_QFN16_3PKG_QFN_50S(`$1',`$2',`$3', `16', `3', `0') +T10,34 +PKG_DIP20MPKG_N(`$1', `$2', `$3', 20, 400) +T26,42 +Description_geda_SOJ14_450`Small outline J-leaded package (450 mil)' +T24,60 +Description_geda_RCY700P`Bottom lead polar circular component (typically capacitor)' +T21,27 +Description_LM324_dilQuad operational amplifiers +T21,20 +Description_74396_dil`8 storage register' +T17,114 +PinList_74672_dil`Ser-R',`SRCK',`A',`B',`C',`D',`Ser-L',`/SRClr',`RCK',`Gnd',`R-/S',`/G',`S1',`S0',`Qd',`Qc',`Qb',`Qa',`CASC',`Vcc' +T17,81 +PinList_74265_dil`1A',`1W',`1Y',`2A',`2B',`2W',`2Y',`Gnd',`3Y',`3W',`3A',`3B',`4Y',`4W',`4A',`Vcc' +T16,2 +Param1_74182_dil16 +T16,2 +Param1_74145_dil16 +T11,40 +PKG_RCY300PPKG_RADIAL_CAN(`$1', `$2', `$3', 600, 1) +T11,42 +PKG_QFN16_4PKG_QFN_65(`$1',`$2',`$3', `16', `4', `0') +T13,74 +PKG_CAPC0603LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 30, 30, 50, 100, 60, 0, 0) +T23,31 +Description_geda_PLCC32`Plastic leadless chip carrier' +T24,46 +Description_geda_ACY800P`Axial polar component (typically capacitor),' +T33,24 +Description_DIN41_612_abc48male_sDIN41.612 row a+b+c male +T20,34 +Description_7464_dil`AND-OR inverter (4-2-3-2 inputs)' +T20,14 +Description_7427_dil`3 triple-NOR' +T13,11 +PinList_BC237`E',`B',`C' +T16,95 +PinList_7497_dil`B1',`B4',`B5',`B0',`Z',`Y',`ENout',`Gnd',`Clk',`StrB',`ENin',`Unity/CAS',`Clr',`B2',`B3',`Vcc' +T16,2 +Param1_74374_dil20 +T15,2 +Param1_7422_dil14 +T12,397 +PKG_TO92BASEElement(0x00 "$1" "`$2'" "$3" 60 70 0 100 0x00) +( + +# The JEDEC drawing shows a pin diameter of 16-21 mils +# +# +# _______ +# TO92: | 1 2 3 | <-- bottom view +# \_____/ +# +# The pin to pin spacing is 100 mils. + PIN(250, 200, 72, 42, 1) + PIN(150, 200, 72, 42, 2) + PIN(50, 200, 72, 42, 3) + + ElementArc(150 200 100 100 315 270 10) + ElementLine( 80 130 220 130 10) + + Mark(50 200) +) +T12,1145 +PKG_TO18BASEElement["" "$1" "`$2'" "$3" 10300 11100 6000 7000 0 100 ""] +( + +# The JEDEC drawing shows a pin diameter of 16-21 mils +# +# +# ___x_ +# / \ +# TO18: |3 1| <-- bottom view (supposed to be a circle) +# \ 2 / +# --- +# +# NOTE: some vendors, ST for example, number the pins +# differently. Here we follow the JEDEC drawing. +# +# the pins are arranged along a 100 mil diameter +# circle. The can outline is 178 to 195 mils +# for the top of the can and 209 to 230 mils +# for the bottom edge of the can +# + Pin[0 -5000 5500 3000 6100 3500 "1" "1" ""] + Pin[-5000 0 5500 3000 6100 3500 "2" "2" ""] + Pin[0 5000 5500 3000 6100 3500 "3" "3" ""] +# x, y, width, height, start angle, delta angle, thickness + ElementArc [0 0 9800 9800 0 360 1000] +# tab is 28 to 48 mils long, 36 to 46 wide +# and comes off at an angle of 45 deg clockwise from +# pin 1 when looking at the top of the board + ElementLine [6700 -7900 9400 -10600 1000] + ElementLine [7300 -7300 10000 -10000 1000] + ElementLine [7900 -6700 10600 -9400 1000] + ElementLine [9400 -10600 10600 -9400 1000] +) +T11,42 +PKG_QFN16_5PKG_QFN_80(`$1',`$2',`$3', `16', `5', `0') +T11,343 +PKG_MTA_156 +Element(0x00 "$1" "`$2'" "$3" 0 140 0 150 0x00) +( + forloop(`i', 1, `$4', + `PIN(eval((i-1)*156), 0, 100, 70, `i') + ') + define(`X1',-78) + define(`X2', `eval(`$4'*156-78)') + ElementLine(X1 120 X2 120 10) + ElementLine(X2 120 X2 -180 10) + ElementLine(X2 -180 X1 -180 10) + ElementLine(X2 -80 X1 -80 10) + ElementLine(X1 -180 X1 120 10) +) +T13,74 +PKG_CAPC0603MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 50, 50, 80, 160, 90, 0, 0) +T11,39 +PKG_ACY400PPKG_AXIAL_LAY(`$1', `$2', `$3', 400, 1) +T19,27 +Description_uA7815C+15V 1.5A voltage regulator +T26,42 +Description_geda_SOJ28_450`Small outline J-leaded package (450 mil)' +T21,40 +Description_geda_SO14`Small outline package, narrow (150mil)' +F8,8 +undivertundivert +T17,127 +PinList_74686_dil`/P>Q',`/G1',`P0',`Q0',`P1',`Q1',`NC',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`NC',`P7',`Q7',`/P=Q',`/G2',`Vcc' +T17,126 +PinList_74649_dil`CAB',`SAB',`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/G',`SBA',`CBA',`Vcc' +T17,95 +PinList_74279_dil`/1R',`/1S1',`/1S2',`1Q',`/2R',`/2S',`2Q',`Gnd',`3Q',`/3R',`/3S1',`/3S2',`4Q',`/4R',`/4S',`Vcc' +T17,2 +Param1_TLC274_dil14 +T16,2 +Param1_74196_dil14 +T16,2 +Param1_74159_dil24 +T9,28 +PKG_ZIP12PKG_SD(`$1', `$2', `$3', 12) +T10,34 +PKG_DIP24MPKG_N(`$1', `$2', `$3', 24, 400) +T13,74 +PKG_CAPC0603NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 40, 40, 60, 130, 80, 0, 0) +T20,38 +Description_7478_dil`2 JK FF w. preset and common Clk/Clr' +T22,2 +Param1_DIN41_651_64lay64 +T15,2 +Param1_7473_dil14 +T10,34 +PKG_DIP24NPKG_N(`$1', `$2', `$3', 24, 300) +T43,32 +Description_minicircuits_MINICIRCUITS_CB518`Mini-Circuits CB Style Package' +T23,24 +Description_geda_SOT223`SMT transistor, 4 pins' +T21,40 +Description_geda_SO16`Small outline package, narrow (150mil)' +T24,39 +Description_geda_QFN56_8`Square Quad Flat Nolead (QFN) package' +T23,37 +Description_geda_TANT_A`Tantalum SMT capacitor (pin 1 is +)' +T24,20 +Description_WD33C93A_dilSE-SCSI-I controller +T21,38 +Description_TL083_dilDual JFET input operational amplifiers +T23,2 +Param2_smd_resistor_80550 +T11,42 +PKG_QFN20_4PKG_QFN_50(`$1',`$2',`$3', `20', `4', `0') +T10,34 +PKG_DIP28MPKG_N(`$1', `$2', `$3', 28, 400) +T23,37 +Description_geda_TANT_B`Tantalum SMT capacitor (pin 1 is +)' +T30,28 +Description_geda_LQFP128_14_EP`Square Quad-side flat pack' +T24,46 +Description_geda_ACY100P`Axial polar component (typically capacitor),' +T18,3 +Param2_MAX232A_dil300 +T9,28 +PKG_ZIP16PKG_SD(`$1', `$2', `$3', 16) +T10,38 +PKG_RCY900PKG_RADIAL_CAN(`$1', `$2', `$3', 1800) +T11,42 +PKG_QFN20_5PKG_QFN_65(`$1',`$2',`$3', `20', `5', `0') +T10,34 +PKG_DIP28NPKG_N(`$1', `$2', `$3', 28, 300) +T32,12 +Description_generic_smd_chip_603smd chip 603 +T23,37 +Description_geda_TANT_C`Tantalum SMT capacitor (pin 1 is +)' +T22,45 +Description_geda_DIP8M`Dual in-line package, medium wide (400 mil)' +T19,3 +Param2_PIC16C61_dil300 +T15,44 +PKG_TQFN36_6_EPPKG_QFN_50(`$1',`$2',`$3', `36', `6', `370') +T13,62 +PKG_SOJ42_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',42,23622,86614,50000,346457) +T16,88 +PKG_BOURNS_3224WPKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `130', `160', `200', `160', `290', `254', `480') +T24,29 +Description_r_025_csil_4`4xR-array 0.25W, common pin' +T23,37 +Description_geda_TANT_D`Tantalum SMT capacitor (pin 1 is +)' +F8,8 +sincludesinclude +F6,6 +definedefine +T16,2 +Param1_TL075_dil14 +T22,2 +Param1_DIN41_651_20lay20 +T9,28 +PKG_ZIP18PKG_SD(`$1', `$2', `$3', 18) +T12,42 +PKG_LQFP32_5PKG_LQFP_50(`$1',`$2',`$3', `32', `5',`0') +T16,40 +PKG_DIN41651_10SPKG_DIN41_651STAND(`$1', `$2', `$3', 10) +T16,88 +PKG_BOURNS_3224XPKG_BOURNS_GENERIC_POT(`$1',`$2',`$3', + `132', `190', `200', `190', `510', `254', `480') +T42,56 +Description_nichicon_NICHICON_WT_CAP_4_5p4`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T28,39 +Description_geda_TQFN12_4_EP`Square Quad Flat Nolead (QFN) package' +T26,29 +Description_geda_PENTAWATT`Power IC, as in MULTIWATT15' +T16,3 +Param2_74322_dil300 +T24,29 +Description_r_025_csil_6`6xR-array 0.25W, common pin' +T26,38 +Description_geda_RESC0402L`Standard SMT resistor, capacitor etc' +T27,37 +Description_geda_HEADER38_1`Header connector, DIP pin numbering' +T21,45 +Description_74640_dil`8 bus tranceiver 3-state w. inverting logic' +T16,3 +Param2_74181_dil300 +T16,3 +Param2_74107_dil300 +T12,42 +PKG_LQFP32_7PKG_LQFP_80(`$1',`$2',`$3', `32', `7',`0') +T24,29 +Description_r_025_csil_7`7xR-array 0.25W, common pin' +T26,38 +Description_geda_RESC0402M`Standard SMT resistor, capacitor etc' +T27,42 +Description_geda_HEADER38_2`Header connector, ribbon cable numbering' +T24,16 +Description_PIC16C74_dilmicro controller +T11,691 +COMMON_D_DWdefine(`MAXY', `eval(`$4' / 2 * 50)') + define(`MAXX', `$5') + define(`XLOW', `50') + define(`XHIGH', `eval(MAXX - 50)') + define(`CENTERX', `eval(MAXX / 2)') +Element(0x00 "$1" "`$2'" "$3" eval(CENTERX + 20) 50 3 100 0x00) +( + forloop(`i', 1, eval($4 / 2), + `PAD(0, eval(i * 50 -25), XLOW, eval(i * 50 -25), 20, i) + ') + forloop(`i', 1, eval($4 / 2), + `PAD(XHIGH, eval(MAXY - i * 50 +25), MAXX, eval(MAXY - i * 50 +25), 20, eval(i + $4/2)) + ') + ElementLine(XLOW 0 XLOW MAXY 10) + ElementLine(XLOW MAXY XHIGH MAXY 10) + ElementLine(XHIGH MAXY XHIGH 0 10) + ElementLine(XLOW 0 eval(CENTERX - 25) 0 10) + ElementLine(eval(CENTERX + 25) 0 XHIGH 0 10) + ElementArc(CENTERX 0 25 25 0 180 10) + Mark(25 25) +) +T16,3 +Param2_74373_dil300 +T15,3 +Param2_7411_dil300 +T24,3 +Param1_generic_diode_500500 +T13,62 +PKG_SOJ26_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',26,23622,86614,50000,291339) +T8,34 +PKG_DIP6PKG_N(`$1', `$2', `$3', 6, 300) +T16,40 +PKG_DIN41651_14SPKG_DIN41_651STAND(`$1', `$2', `$3', 14) +T20,27 +Description_uA79M12C-12V 0.5A voltage regulator +T24,29 +Description_r_025_csil_8`8xR-array 0.25W, common pin' +T26,42 +Description_geda_SOJ16_350`Small outline J-leaded package (350 mil)' +T26,38 +Description_geda_RESC0402N`Standard SMT resistor, capacitor etc' +T21,74 +Description_74691_dil`4bit synchronous binary counter w. direct clear, output register 3-state' +T21,36 +Description_74247_dil`BCD to 7-segment decoder/driver OC' +T17,93 +PinList_74190_dil`B',`Qb',`Qa',`/CTEN',`D-/U',`Qc',`Qd',`Gnd',`D',`C',`/Load',`Max-Min',`/RCO',`Clk',`A',`Vcc' +T17,89 +PinList_74153_dil`/1G',`B',`1C3',`1C2',`1C1',`1C0',`1Y',`Gnd',`2Y',`2C0',`2C1',`2C2',`2C3',`A',`/2G',`Vcc' +T17,151 +PinList_74116_dil`/1Clr',`/1C1',`/1C2',`1D1',`1Q1',`1D2',`1Q2',`1D3',`1Q3',`1D4',`1Q4',`Gnd',`/2Clr',`/2C1',`/2C2',`2D1',`2Q1',`2D2',`2Q2',`2D3',`2Q3',`2D4',`2Q4',`Vcc' +T21,3 +Param2_generic68_plcc150 +T14,1 +Param2_SCSI_SE2 +T16,3 +Param2_74195_dil300 +T16,3 +Param2_74158_dil300 +T16,2 +Param1_74440_dil20 +T18,69 +PinList_uA709C_dil`FreqCompB',`In-',`In+',`Vcc-',`OutFreqComp',`Out',`Vcc+',`FreqCompA' +T17,104 +PinList_74382_dil`A1',`B1',`A0',`B0',`S0',`S1',`S2',`F0',`F1',`Gnd',`F2',`F3',`Ovr',`Cn+4',`Cn',`B3',`A3',`B2',`A2',`Vcc' +T15,3 +Param2_7425_dil300 +T35,3 +Param1_generic_capacitor_radial_300300 +T8,34 +PKG_DIP8PKG_N(`$1', `$2', `$3', 8, 300) +T26,38 +Description_geda_INDC0402L`Standard SMT resistor, capacitor etc' +T21,41 +Description_74668_dil`4bit synchronous decade up/down counter' +T21,38 +Description_74298_dil`4 dual-input multiplexors w. storage' +T17,103 +PinList_74574_dil`/Oe',`1D',`2D',`3D',`4D',`5D',`6D',`7D',`8D',`Gnd',`Clk',`8Q',`7Q',`6Q',`5Q',`4Q',`3Q',`2Q',`1Q',`Vcc' +T17,101 +PinList_74167_dil`NC',`B2',`B3',`Set-to-9',`Z',`Y',`ENout',`Gnd',`Clk',`StrB',`ENin',`Unity-CAS',`Clr',`Bo',`B1',`Vcc' +T18,3 +Param2_I82077_plcc150 +T11,55 +PKG_TSSOP8WCOMMON_SMT_DIL_MM(`$1',`$2',`$3', 8, 33, 150, 65, 440) +T10,36 +PKG_ACY300PKG_AXIAL_LAY(`$1', `$2', `$3', 300) +T26,38 +Description_geda_INDC0402M`Standard SMT resistor, capacitor etc' +T27,37 +Description_geda_HEADER42_1`Header connector, DIP pin numbering' +T17,95 +PinList_LM324_dil`Out1',`In1-',`In1+',`Vcc',`In2+',`In2-',`Out2',`Out3',`In3-',`In3+',`Gnd',`In4+',`In4-',`Out4' +T17,90 +PinList_74396_dil`2Q1',`1Q1',`D1',`2Q2',`1Q2',`D2',`Clk',`Gnd',`D3',`1Q3',`2Q3',`D4',`1Q4',`2Q4',`/G',`Vcc' +T21,3 +Param2_AT90S8535_plcc150 +T15,3 +Param2_7476_dil300 +T15,3 +Param2_7439_dil300 +T23,2 +Param1_generic14smd_dil14 +T16,2 +Param1_74683_dil20 +T16,2 +Param1_74646_dil24 +T16,2 +Param1_74276_dil20 +T22,63 +PKG_MINICIRCUITS_CD636COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000) +T43,32 +Description_minicircuits_MINICIRCUITS_CD541`Mini-Circuits CD Style Package' +T26,38 +Description_geda_INDC0402N`Standard SMT resistor, capacitor etc' +T27,42 +Description_geda_HEADER42_2`Header connector, ribbon cable numbering' +T16,2 +Param1_74468_dil20 +T11,38 +PKG_RCY1200PKG_RADIAL_CAN(`$1', `$2', `$3', 2400) +T22,63 +PKG_MINICIRCUITS_CD637COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000) +T43,32 +Description_minicircuits_MINICIRCUITS_CD542`Mini-Circuits CD Style Package' +T29,150 +PinList_DIN41_612_abc48femaleforloop(`i', 1, 16, `define(`P_'i, `a'i)') + forloop(`i', 1, 16, `define(`P_'eval(i +16), `b'i)') + forloop(`i', 1, 16, `define(`P_'eval(i +32), `c'i)') +T17,1 +Param1_uA709C_dil8 +T21,2 +Param1_generic24w_dil24 +T16,2 +Param1_74697_dil20 +T13,62 +PKG_SOJ30_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',30,23622,86614,50000,244094) +T13,41 +PKG_QFP128_28PKG_QFP_80(`$1',`$2',`$3',`128',`28',`0') +T20,77 +PKG_JOHNSTECH_QFN_40PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `40',`36',`50', `$6') +T14,39 +PKG_HEADER18_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 9) +T8,47 +PKG_2706PKG_SMT_2PAD_MIL( `$1', `$2', `$3', 270, 60) +T24,33 +Description_geda_QFP64_R`Rectangular Quad-side flat pack' +F8,8 +undefineundefine +T27,150 +PinList_DIN41_612_abc48maleforloop(`i', 1, 16, `define(`P_'i, `a'i)') + forloop(`i', 1, 16, `define(`P_'eval(i +16), `b'i)') + forloop(`i', 1, 16, `define(`P_'eval(i +32), `c'i)') +T16,3 +Param2_TL074_dil300 +T21,73 +PKG_MINICIRCUITS_KK81COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,50000,126000,100000,354000,"reverse") +T14,38 +PKG_HEADER18_2PKG_CONNECTOR(`$1', `$2', `$3', 9, 2) +T13,62 +PKG_SOJ44_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',44,23622,86614,50000,244094) +T25,39 +Description_geda_TQFN12_4`Square Quad Flat Nolead (QFN) package' +T16,16 +PinList_uA78L08C`In',`Com',`Out' +T17,115 +PinList_TL083_dil`1 In-',`1 In+',`1 OfsN2',`Vcc-',`2 OfsN2',`2 In+', `2 In-', `2 OfsN1',`Vcc+',`2 Out',`NC',`1 Out',`Vcc+',`1 OfsN1' +T26,3 +Param1_DIN41_612_abc48maleabc +T10,34 +PKG_SDIP18PKG_NS(`$1', `$2', `$3', 18, 300) +T14,45 +PKG_QFN32_5_EPPKG_QFN_50S(`$1',`$2',`$3', `32', `5', `310') +T20,47 +PKG_PANASONIC_EXB24VCOMMON_SMT_DIL_MM(`$1',`$2',`$3',4,38,48,65,50) +T20,77 +PKG_JOHNSTECH_QFN_80PKG_GENERIC_JOHNSTECH7248_QFN(`$1',`$2',`$3',`$4',`$5', `80',`36',`50', `$6') +T21,38 +Description_geda_US14`Ultra (Micro?) Small outline package' +T20,170 +PinList_PIC16C55_dil`RTCC',`VDD',`nc',`VSS',`nc',`RA0',`RA1',`RA2',`RA3',`RB0',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`RC0',`RC1',`RC2',`RC3',`RC4',`RC5',`RC6',`RC7',`OSC2',`OSC1',`/MCLR' +T16,143 +PinList_L297_dil`Sync',`Gnd',`Home',`A',`/Inh1',`B',`C',`/Inh2',`D',`Enable',`Control',`Vs',`Sens2',`Sens1',`Vref',`Osc',`CW/CCW',`/Clock',`Half/Full',`/Reset' +T24,2 +Param2_smd_resistor_120660 +T22,2 +Param1_DIN41_651_18lay18 +T13,62 +PKG_SOJ14_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',14,23622,86614,50000,196850) +T13,74 +PKG_RESC0603LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 30, 30, 50, 100, 60, 0, 0) +T8,2914 +PKG_PLCCdefine(`NUMPINS', `$4') + ifelse(eval(NUMPINS == 32),1,`define(`QUARTER',7)',`define(`QUARTER', `eval($4 /4)')') + define(`OFFSET', `eval((QUARTER +1) /2)') + define(`WIDTH', `eval((QUARTER-1) *50 +2*75)') + ifelse(eval(NUMPINS == 32),1,`define(`SIDE',`eval((NUMPINS-2*QUARTER)/2)')', `define(`SIDE',QUARTER)') + define(`HEIGHT', `eval((SIDE-1)* 50 + 2*75)') + define(`CENTER', `eval(WIDTH / 2)') + define(`PUSHOUT', 20) # was 15 + define(`PUSHIN', 40) # was 50 +Element(0x00 "$1" "`$2'" "$3" 100 CENTER 0 100 0x00) +( + # top left half +define(`X', CENTER) +define(`Y', 0) +define(`count', 1) +forloop(`i', 1, OFFSET, + `PAD(X, eval(Y-PUSHOUT), X, eval(Y+PUSHIN), 20, count)' `define(`count', incr(count))' + `define(`X', eval(X-50))' + ) + + + # left row +define(`X', 0) +define(`Y', 75) +define(`count', `eval(OFFSET+1)') +forloop(`i', 1, SIDE, + `PAD(eval(X-PUSHOUT), Y, eval(X+PUSHIN), Y, 20, count)' `define(`count', incr(count))' + `define(`Y', eval(Y+50))' + ) + + # bottom row +define(`X', 75) +define(`Y', HEIGHT) +forloop(`i', 1, QUARTER, + `PAD(X, eval(Y+PUSHOUT), X, eval(Y-PUSHIN), 20, count)' `define(`count', incr(count))' + `define(`X', eval(X+50))' +) + + # right row +define(`X', WIDTH) +define(`Y', eval(HEIGHT-75)) +forloop(`i', 1, SIDE, + `PAD(eval(X+PUSHOUT), Y, eval(X-PUSHIN), Y, 20, count)' `define(`count', incr(count))' + `define(`Y', eval(Y-50))' +) + + # top right row +define(`X', eval(WIDTH-75)) +define(`Y', 0) +forloop(`i', 1, eval(OFFSET-1), + `PAD(X, eval(Y-PUSHOUT), X, eval(Y+PUSHIN), 20, count)' `define(`count', incr(count))' + `ifelse(eval(count > NUMPINS), 1, `define(`count', 1)')' + `define(`X', eval(X-50))' +) + +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) + +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + +define(`OLWIDTH', eval(WIDTH-50)) +define(`OLHEIGHT', eval(HEIGHT-50)) + + ElementLine(OLWIDTH 0 WIDTH 0 10) + ElementLine(WIDTH 0 WIDTH 50 10) + ElementLine(WIDTH OLHEIGHT WIDTH HEIGHT 10) + ElementLine(WIDTH HEIGHT OLWIDTH HEIGHT 10) + ElementLine(50 HEIGHT 0 HEIGHT 10) + ElementLine(0 HEIGHT 0 OLHEIGHT 10) + ElementLine(0 50 50 0 10) + +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. + +# Try 40 mils, and parameterize it. 1/12/00 LRD +define(`NOSMUDGE', 40) +define(`OLWIDTH', eval(WIDTH+NOSMUDGE)) +define(`OLHEIGHT', eval(HEIGHT+NOSMUDGE)) + + ElementLine(50 -NOSMUDGE OLWIDTH -NOSMUDGE 10) + ElementLine(OLWIDTH -NOSMUDGE OLWIDTH OLHEIGHT 10) + ElementLine(OLWIDTH OLHEIGHT -NOSMUDGE OLHEIGHT 10) + ElementLine(-NOSMUDGE OLHEIGHT -NOSMUDGE 50 10) + ElementLine(-NOSMUDGE 50 50 -NOSMUDGE 10) + + ElementArc(CENTER 100 20 20 0 360 10) + + Mark(0 0) +) +T39,26 +Description_smd_capacitor_polarized_805`chip_capacitor_polarized' +T28,39 +Description_geda_TQFN20_4_EP`Square Quad Flat Nolead (QFN) package' +T23,18 +Description_connector10connector 2x5 pins +T21,51 +Description_74121_dil`monostable multivibrator w. Schmitt trigger input' +T20,12 +Description_2532_dil`EPROM 4Kx8' +T16,71 +PinList_7400_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3B',`3A',`4Y',`4B',`4A',`Vcc' +T15,44 +PKG_TQFN12_4_EPPKG_QFN_80(`$1',`$2',`$3', `12', `4', `210') +T10,37 +PKG_TO126SPKG_TO126LAY_WIDE( `$1', `$2', `$3') +T13,74 +PKG_RESC0603MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 50, 50, 80, 160, 90, 0, 0) +T13,72 +PKG_HEPTAWATTGENERIC_PL_POWER(`$1', `$2', `$3', 7, 50, 409, 189, 110, 90, 60, 200) +T14,39 +PKG_HEADER22_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 11) +T21,38 +Description_geda_US16`Ultra (Micro?) Small outline package' +T24,37 +Description_geda_EIA7343`Tantalum SMT capacitor (pin 1 is +)' +T20,20 +Description_SUBD_25FSUB-D female 25 pins +T16,3 +Param2_74631_dil300 +T16,3 +Param2_74261_dil300 +T16,2 +Param1_43256_dil28 +T17,893 +PKG_TO220LAY_WIDEElement(0x00 "$1" "`$2'" "$3" 50 570 1 100 0x00) +( + PIN(100, 800, 90, 60, 1) + PIN(200, 900, 90, 60, 2) + PIN(300, 800, 90, 60, 3) + + # Befestigungsbohrung + PIN(200, 130, 150, 130, 4) + + # Anschlussdraehte + ElementLine(100 800 100 620 30) + ElementLine(200 900 200 620 30) + ElementLine(300 800 300 620 30) + + # Gehaeuse + ElementLine( 0 620 400 620 20) + ElementLine(400 620 400 245 20) + ElementLine(400 245 0 245 20) + ElementLine( 0 245 0 620 20) + + # Kuehlfahne mit Kerben + ElementLine( 0 245 400 245 20) + ElementLine(400 245 400 120 20) + ElementLine(400 120 385 120 20) + ElementLine(385 120 385 50 20) + ElementLine(385 50 400 50 20) + ElementLine(400 50 400 10 20) + ElementLine(400 10 0 10 20) + ElementLine( 0 10 0 50 20) + ElementLine( 0 50 15 50 20) + ElementLine( 15 50 15 120 20) + ElementLine( 15 120 0 120 20) + ElementLine( 0 120 0 245 20) + + Mark(200 800) +) +T13,62 +PKG_SOJ28_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',28,23622,86614,50000,196850) +T13,74 +PKG_RESC0603NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 40, 40, 60, 130, 80, 0, 0) +T20,47 +PKG_PANASONIC_EXB28VCOMMON_SMT_DIL_MM(`$1',`$2',`$3',8,25,50,50,40) +T14,38 +PKG_HEADER22_2PKG_CONNECTOR(`$1', `$2', `$3', 11, 2) +T9,58 +PKG_HC49UPKG_CRYSTAL_V(`$1', `$2', `$3', 192, 435, 183, 60, 32, 2) +T23,18 +Description_connector12connector 3x4 pins +T21,43 +Description_74172_dil`16bit multiple-port register file 3-state' +T21,17 +Description_74135_dil`4 dual-XOR-XNOR' +T16,71 +PinList_7414_dil`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`Vcc' +T16,3 +Param2_74490_dil300 +T21,54 +PKG_JOHNSTECH_QFN16_4PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `16', `4', `210') +T23,19 +Description_connector50connector 2x25 pins +T17,103 +PinList_74640_dil`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/EN',`Vcc' +T16,3 +Param2_74682_dil300 +T16,3 +Param2_74645_dil300 +T16,2 +Param1_74520_dil20 +T16,2 +Param1_74150_dil24 +T16,2 +Param1_74113_dil14 +T21,54 +PKG_JOHNSTECH_QFN16_5PKG_JOHNSTECH_QFN_80(`$1',`$2',`$3', `16', `5', `310') +T8,344 +PKG_CSILdefine(`MAXY', `eval(`$4' * 100 -50)') +Element(0x00 "$1" "`$2'" "$3" 160 10 3 100 0x00) +( + forloop(`i', 1, $4, + `PIN(50, eval(i * 100 -50), 60, 28, i) + ') + ElementLine( 0 50 0 MAXY 20) + ElementLine(100 50 100 MAXY 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 MAXY 50 50 0 180 20) + Mark(50 50) +) +T10,37 +PKG_ALF700PKG_DIODE_LAY(`$1', `$2', `$3', 700) +T23,18 +Description_connector14connector 2x7 pins +T21,50 +Description_74593_dil`8bit binary counter w. load/clear and 3-state IO' +T21,29 +Description_74519_dil`8bit identity comperator OC' +T20,11 +Description_7432_dil`4 dual-OR' +T13,11 +PinList_BC140`E',`B',`C' +T16,59 +PinList_7465_dil`A',`E',`F',`G',`H',`I',`Gnd',`Y',`J',`K',`B',`C',`D',`Vcc' +T16,71 +PinList_7428_dil`1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc' +T16,3 +Param2_74467_dil300 +T10,37 +PKG_TO126WPKG_TO126STAND( `$1', `$2', `$3') +T23,18 +Description_connector15connector 3x5 pins +T21,28 +Description_74378_dil`6 FF w. single rail output' +F6,6 +regexpregexp +F9,9 +debugfiledebugfile +T17,113 +PinList_74691_dil`/CClr',`CCK',`A',`B',`C',`D',`ENP',`/RClr',`RCK',`Gnd',`R-/C',`/G',`/Load',`ENT',`Qd',`Qc',`Qb',`Qa',`RCO',`Vcc' +T17,79 +PinList_74247_dil`B',`C',`/LT',`/BI-/RBO',`/RBI',`D',`A',`Gnd',`e',`d',`c',`b',`a',`g',`f',`Vcc' +T16,3 +Param2_74696_dil300 +T20,2 +Param1_oscillator_2020 +T16,2 +Param1_74164_dil14 +T12,41 +PKG_QFP44_10PKG_QFP_80(`$1',`$2',`$3', `44',`10',`0') +T11,42 +PKG_QFN44_7PKG_QFN_50(`$1',`$2',`$3', `44', `7', `0') +T25,53 +Description_geda_TSSOP48N`Thin shrink small outline package, standard (4.4mm)' +T23,18 +Description_connector16connector 2x8 pins +T20,33 +Description_7483_dil`4bit binary adder w. fast carry' +T20,28 +Description_7446_dil`BCD to 7-segment driver OC' +T20,15 +Description_7409_dil`4 dual-AND OC' +T19,2 +Param1_MC68681_plcc44 +T16,2 +Param1_74393_dil14 +T16,2 +Param1_74356_dil20 +T15,2 +Param1_7404_dil14 +T13,74 +PKG_INDC4532LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 330, 140, 380, 540, 370, 160, 330) +T26,42 +Description_geda_SOJ40_400`Small outline J-leaded package (400 mil)' +T21,13 +Description_27128_dil`EPROM 16Kx8' +T13,11 +PinList_BC550`E',`B',`C' +T22,396 +PinList_AT90S8535_plcc`Gnd',`PB0/T0',`PB1/T1',`PB2/AIN0',`PB3/AIN1',`PB4//SS',`PB5/MOSI',`PB6/MISO',`PB7/SCK',`/Reset',`Vcc',`Gnd',`XTAL2',`XTAL1',`PD0/RxD',`PD1/TxD',`PD2/INT0', `PD3/INT1',`PD4/OC1B',`PD5/OC1A',`PD6/ICP',`PD7/OC2',`Vcc',`Gnd',`PC0',`PC1',`PC2',`PC3',`PC4',`PC5',`PC6/TOSC1',`PC7/TOSC2',`AVcc',`AGnd',`AREF',`PA7/ADC7',`PA6/ADC6',`PA5/ADC5',`PA4/ADC4',`PA3/ADC3',`PA2/ADC2',`PA1/ADC1',`PA0/ADC0',`Vcc' +T17,89 +PinList_74668_dil`U-/D',`Clk',`A',`B',`C',`D',`/ENP',`Gnd',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc' +T17,82 +PinList_74298_dil`B2',`A2',`A1',`B1',`C2',`D2',`D1',`Gnd',`C1',`WS',`Clk',`Qd',`Qc',`Qb',`Qa',`Vcc' +T16,2 +Param1_74178_dil14 +T21,54 +PKG_JOHNSTECH_QFN20_4PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `20', `4', `210') +T13,74 +PKG_INDC4532MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 350, 180, 420, 700, 450, 160, 350) +T23,18 +Description_connector18connector 3x6 pins +T20,18 +Description_SUBD_25MSUB-D male 25 pins +T20,40 +Description_7497_dil`syncronous 6bit binary rate multiplier' +T15,2 +Param1_7492_dil14 +T15,2 +Param1_7455_dil14 +T15,2 +Param1_7418_dil14 +T22,62 +PKG_MINICIRCUITS_CB518COMMON_SMT_DIL_MIL(`$1',`$2',`$3',10,30000,90000,50000,110000) +T21,54 +PKG_JOHNSTECH_QFN20_5PKG_JOHNSTECH_QFN_65(`$1',`$2',`$3', `20', `5', `310') +T13,74 +PKG_INDC4532NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340) +T20,2 +Param1_oscillator_2424 +T16,2 +Param1_74599_dil16 +T13,545 +PKG_CONN_JTAGElement(0x00 "$1" "`$2'" "$3" 0 0 0 100 0x00) +( + Pin(100 100 60 28 "1" "1" 0x00000101) + Pin(100 200 60 28 "2" "2" 0x00000001) + Pin(100 300 60 28 "3" "3" 0x00000001) + Pin(100 400 60 28 "4" "4" 0x00000001) + Pin(100 500 60 28 "5" "5" 0x00000001) + Pin(100 600 60 28 "6" "6" 0x00000001) + Pin(100 700 60 28 "7" "7" 0x00000001) + Pin(100 800 60 28 "8" "8" 0x00000001) + + ElementLine( 0 0 200 0 20) + ElementLine( 0 150 200 150 10) + ElementLine( 200 0 200 900 20) + ElementLine( 200 900 0 900 20) + ElementLine( 0 900 0 0 20) + + Mark (0 0) +) +T13,11 +PinList_BC516`E',`B',`C' +T15,2 +Param1_7469_dil16 +T22,9 +Description_R_adjust_Htrimmer H +T13,11 +PinList_BC517`E',`B',`C' +T16,1 +Param1_TL080_dil8 +T25,1144 +PKG_DIN41_612FEMALE_SMALLdefine(`MAXX', 420) + define(`MAXX1', `eval(MAXX -170)') + define(`MAXX2', `eval(MAXX -40)') +Element(0x00 "$1" "`$2'" "$3" 50 100 3 200 0x00) +( + # Reihe a + ifelse(index(`$4', `a'), `-1', , + forloop(`i', 1, 16, `PIN(200, eval(300 + 100 *i), 60, 30, i) + ')) + + # Reihe b + ifelse(index(`$4', `b'), `-1', , + forloop(`i', 1, 16, `PIN(300, eval(300 + 100 *i), 60, 30, eval(16 + i)) + ')) + + # Reihe c + ifelse(index(`$4', `c'), `-1', , `define(`MAXX', 520)' + forloop(`i', 1, 16, `PIN(400, eval(300 + 100 *i), 60, 30, eval(32 + i)) + ')) + + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 2120 120 80 "M2" 0x01) + + # Aeussere Begrenzung + ElementLine( 80 80 MAXX 80 20) + ElementLine(MAXX 80 MAXX 2220 20) + ElementLine(MAXX 2220 80 2220 20) + ElementLine( 80 2220 80 80 20) + + # Innere Begrenzung + ElementLine(120 320 MAXX1 320 10) + ElementLine(MAXX1 320 MAXX1 360 10) + ElementLine(MAXX1 360 MAXX2 360 10) + ElementLine(MAXX2 360 MAXX2 1940 10) + ElementLine(MAXX2 1940 MAXX1 1940 10) + ElementLine(MAXX1 1940 MAXX1 1980 10) + ElementLine(MAXX1 1980 120 1980 10) + ElementLine(120 1980 120 320 10) + + # Markierung: Pin 1a + Mark(200 400) +) +T19,26 +Description_uA7806C+6V 1.5A voltage regulator +T26,37 +Description_geda_HEADER4_1`Header connector, DIP pin numbering' +T23,62 +Description_geda_ACY500`Axial non-polar component (typically resistor or capacitor),' +T21,37 +Description_7451L_dil`2 AND-OR inverters (2x2,2x3 inputs)' +T16,125 +PinList_6116_dil`A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`/We',`A9',`A8',`Vcc' +T20,2 +Param1_generic24_dil24 +T18,2 +Param1_MC68000_dil64 +T13,62 +PKG_SOJ24_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',24,23622,86614,50000,346457) +T9,41 +PKG_DB25FPKG_SUBD_FEMALE_LAY(`$1', `$2', `$3', 25) +T29,7 +Description_generic_diode_400`diode' +T26,42 +Description_geda_HEADER4_2`Header connector, ribbon cable numbering' +T27,37 +Description_cts_CTS_745X_10`CTS 745X Series Chip Resistor Array' +T13,11 +PinList_BS170`D',`G',`S' +T13,11 +PinList_BC556`E',`B',`C' +T16,3 +Param2_74112_dil300 +T15,44 +PKG_TQFN20_4_EPPKG_QFN_50(`$1',`$2',`$3', `20', `4', `210') +T10,37 +PKG_RCY400PKG_RADIAL_CAN(`$1', `$2', `$3', 800) +T20,49 +PKG_PANASONIC_EXB2HVCOMMON_SMT_DIL_MM(`$1',`$2',`$3',16,25,50,50,100) +T12,106 +CreateObjectifdef(`PinList_$1', `DefinePinList(PinList_$1)') + PKG_$3(`Description_$1', ,``$2'', Param1_$1, Param2_$1) + +T13,11 +PinList_BC557`E',`B',`C' +T13,62 +PKG_SOJ38_450COMMON_SMT_DIL_MIL(`$1',`$2',`$3',38,23622,86614,50000,346457) +T30,22 +Description_DIN41_612_ab64maleDIN41.612 row a+b male +T15,22 +PinList_uA7808C`In',`Com',`Out',`Com' +T14,16 +PinList_IRF830`G',`D',`S', `D' +T13,11 +PinList_BC558`E',`B',`C' +T17,80 +PinList_74121_dil`/Q',`NC',`A1',`A2',`B',`Q',`Gnd',`NC',`Rint',`Cext',`Rext-Cext',`NC',`NC',`Vcc' +T14,11 +PinList_2N3904`E',`B',`C' +T16,3 +Param2_74163_dil300 +T16,3 +Param2_74126_dil300 +T14,2 +Param1_z80_dil40 +T6,51 +PKG_USCOMMON_SMT_DIL_MM(`$1',`$2',`$3',`$4',30,70,50,200) +T21,56 +Description_74444_dil`4 tridirectional true/inverting bus tranceiver 3-state' +F7,7 +builtinbuiltin +T21,3 +Param2_generic84_plcc150 +T16,3 +Param2_74355_dil300 +T15,3 +Param2_7430_dil300 +T31,24 +Description_DIN41_612_abc96maleDIN41.612 row a+b+c male +T21,44 +Description_74673_dil`16bit shift register w. 16bit parallel out' +T21,50 +Description_74636_dil`8bit parallel error detection/correction 3-state' +T21,15 +Description_74266_dil`4 dual-XOR OC' +T17,153 +PinList_74172_dil`1W1',`1W0',`/1Gw',`1DB',`2DB',`Clk',`1R2',`1R1',`1R0',`1Qb',`2Qb',`Gnd',`2Qa',`1Qa',`/1Gr',`/2Gr',`2W-R0',`2W-R1',`2W-R2',`/2Gw',`2Da',`1Da',`1W2',`Vcc' +T17,87 +PinList_74135_dil`1A',`1B',`1Y',`1C-2C',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`3c-4c',`4Y',`4A',`4B',`Vcc' +T14,11 +PinList_2N3906`E',`B',`C' +T16,3 +Param2_74177_dil300 +T16,2 +Param1_74422_dil14 +T9,67 +PKG_SO18MCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 18, 20000, 85000, 50000, 170000) +T26,28 +Description_geda_TQFP52_10`Square Quad-side flat pack' +T33,24 +Description_candk_CANDK_ES03MSABE`C&K ES Series Switches' +T19,7 +Description_LED_3MMLED 3mm +T32,24 +Description_DIN41_612_ab64femaleDIN41.612 row a+b female +T15,3 +Param2_7407_dil300 +T16,3 +Param2_27512_dil600 +T34,2 +Param1_smd_capacitor_polarized_80580 +T16,2 +Param1_74651_dil24 +T16,2 +Param1_74244_dil20 +T9,67 +PKG_SO18NCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 18, 20000, 85000, 50000, 120000) +T25,12 +Description_oscillator_20`oscillator' +T25,39 +Description_geda_TQFN36_6`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ42_300`Small outline J-leaded package (300 mil)' +T24,62 +Description_geda_ACY1600`Axial non-polar component (typically resistor or capacitor),' +T21,40 +Description_74687_dil`8bit magnitute comperator OC w. enable' +T17,138 +PinList_74593_dil`A-Qa',`B-Qb',`C-Qc',`D-Qd',`E-Qe',`F-Qf',`G-Qf',`H-Qh',`/CLoad',`Gnd',`/RCO',`/CClr',`CCK',`/CCKEn',`CCKEn',`RCK',`/RCKEn',`/G',`G',`Vcc' +T17,104 +PinList_74519_dil`/OEN',`P0',`Q0',`P1',`Q1',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`P7',`Q7',`P=Q',`Vcc' +T16,3 +Param2_74598_dil300 +T33,3 +Param1_generic_resistor_axial_800800 +T13,311 +PKG_SMD_POLARdefine(`SMD_OUTLINE_EXTRA', + ` + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + define(`XBAR', `eval(X1L+10)') + ElementLine( XBAR Y1L XBAR Y2L 8 ) + + ') + PKG_SMD_BASE(`$1', `$2', `$3', `$4', `$5') + +T14,39 +PKG_HEADER46_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 23) +T9,41 +PKG_DB25MPKG_SUBD_MALE_LAY( `$1', `$2', `$3', 25) +T11,37 +PKG_ACY1300PKG_AXIAL_LAY(`$1', `$2', `$3', 1300) +T8,74 +PKG_1806PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 340, 160, 400, 610, 390, 160, 340) +T19,27 +Description_uA7924C-24V 1.5A voltage regulator +T20,253 +PinList_WD33C93A_dil`I/O',`/MSG',`GND',`C/D',`/BSY',`/SEL',`CLK',`/DRQ',`/DACK',`INTRQ',`D0',`D1',`D2',`D3',`D4',`D5',`D6',`D7',`A0',`GND',`/CS',`/WE',`/RE',`ALE',`/SDP',`/SD0',`/SD1',`GND',`/SD2',`/SD3',`/SD4',`/SD5',`/SD6',`/SD7',`GND',`/RESET',`/ATN',`/ACK',`/REQ',`Vcc' +T17,82 +PinList_74378_dil`/G',`1Q',`1D',`2D',`2Q',`3D',`3Q',`Gnd',`Clk',`4Q',`4D',`5Q',`5D',`6D',`6Q',`Vcc' +T15,3 +Param2_7495_dil300 +T16,2 +Param1_74628_dil14 +T16,2 +Param1_74295_dil14 +T16,2 +Param1_74258_dil16 +T22,63 +PKG_MINICIRCUITS_CD541COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000) +T14,38 +PKG_HEADER46_2PKG_CONNECTOR(`$1', `$2', `$3', 23, 2) +T24,44 +Description_geda_SSOP64W`Shrink small outline package, .80mm, wider' +T25,39 +Description_geda_QFN68_10`Square Quad Flat Nolead (QFN) package' +T24,37 +Description_geda_EIA6032`Tantalum SMT capacitor (pin 1 is +)' +T28,31 +Description_geda_DIN41651_34`Header connector with latches' +T21,38 +Description_geda_0603`Standard SMT resistor, capacitor etc' +T19,246 +PinList_MC68681_dil`Rs1',`Ip3',`Rs2',`Ip1',`Rs3',`Rs4',`Ip0',`R/W',`/Dtack',`RxDB',`TxDB',`Op1',`Op3',`Op5',`Op7',`D1',`D3',`D5',`D7',`Gnd',`/Irq',`D6',`D4',`D2',`D0',`Op6',`Op4',`Op2',`Op0',`TxDA',`RxDA',`X1/Clk',`X2',`/Reset',`/Cs',`Ip2',`/Iack',`Ip5',`Ip4',`Vcc' +T10,35 +PKG_PLCC20PKG_PLCC(`$1', `$2', `$3', 20, 150) +T22,63 +PKG_MINICIRCUITS_CD542COMMON_SMT_DIL_MIL(`$1',`$2',`$3',6,65000,100000,100000,100000) +T17,20 +Description_BC327bipolar-P transistor +T17,154 +PinList_27128_dil`Vpp', `A12', `A7',`A6',`A5',`A4',`A3',`A2',`A1',`A0',`D0',`D1',`D2',`Gnd',`D3',`D4',`D5',`D6',`D7',`/Cs',`A10',`/Oe',`A11',`A9',`A8', `A13', `A14', `Vcc' +T25,83 +PKG_NICHICON_WT_CAP_10_10PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `110', `340', `450', `1050', `1050', `1050') +T13,553 +PKG_DIODE_LAYdefine(`X1', `eval(`$4' /3)') + define(`X2', `eval(`$4' -X1)') + define(`Y', `eval(`$4' /2)') + define(`DELTA', `eval(X1 /2)') + define(`PINSIZE', `ifelse(eval($4 >= 500), 1, 80, 50)') +Element(0x00 "$1" "`$2'" "$3" eval(X2+20) eval(Y-DELTA) 0 100 0x00) +( + PIN(0, Y, PINSIZE, 20, 1) + PIN($4, Y, PINSIZE, 20, 2) + + ElementLine(0 Y X1 Y 10) + ElementLine(X2 Y $4 Y 10) + + ElementLine(X1 Y X2 eval(Y-DELTA) 10) + ElementLine(X2 eval(Y-DELTA) X2 eval(Y+DELTA) 10) + ElementLine(X2 eval(Y+DELTA) X1 Y 10) + ElementLine(X1 eval(Y-DELTA) X1 eval(Y+DELTA) 10) + Mark(0 Y) +) +T25,12 +Description_oscillator_24`oscillator' +T39,17 +Description_generic_capacitor_axial_500`capacitor_axial' +T24,64 +Description_geda_RCY1000`Bottom lead non-polar circular component (typically capacitor)' +T23,32 +Description_geda_ALF900`Axial diode (pin 1 is cathode)' +T20,28 +PinList_r_025_csil_4`common', `1', `2', `3', `4' +T25,79 +PKG_NICHICON_WT_CAP_5_5p4PKG_NICHICON_WT_CAP(`$1', `$2', `$3', + `80', `230', `130', `550', `550', `590') +T26,12 +Description_smd_diode_1206`chip_diode' +T25,39 +Description_geda_TQFN40_6`Square Quad Flat Nolead (QFN) package' +T13,62 +PKG_SOJ26_350COMMON_SMT_DIL_MIL(`$1',`$2',`$3',26,23622,86614,50000,244094) +T9,67 +PKG_SO14WCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 14, 20000, 80000, 50000, 280000) +T13,74 +PKG_INDC3225LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 260, 110, 280, 400, 290, 90, 260) +T14,39 +PKG_HEADER50_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 25) +T22,16 +Description_geda_TO251`diode in TO220' +T22,9 +Description_R_adjust_Vtrimmer V +T18,8 +Description_IRF830N-MOSFET +T27,24 +Description_DIN41_651_14layDIN41.651 laying 14 pins +T18,30 +Description_2N3904general purpose NPN transistor +T20,38 +PinList_r_025_csil_6`common', `1', `2', `3', `4', `5', `6' +T20,2 +Param1_PC_Centronics25 +T20,2 +Param1_AT90S2313_dil20 +T13,74 +PKG_INDC3225MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 280, 150, 320, 560, 380, 100, 280) +T14,38 +PKG_HEADER50_2PKG_CONNECTOR(`$1', `$2', `$3', 25, 2) +T25,9 +Description_generic24_dil`generic' +T24,60 +Description_geda_RCY150P`Bottom lead polar circular component (typically capacitor)' +T20,43 +PinList_r_025_csil_7`common', `1', `2', `3', `4', `5', `6', `7' +T20,397 +PinList_PIC16C74_dil`/MCLR',`RA0/AN0',`RA1/AN1',`RA2/AN2',`RA3/AN3',`RA4/T0CKI',`RA5/AN4/SS',`RE0/RD/AN5',`RE1/WR/AN6',`RE2/CS/AN7',`VDD',`VSS',`OSC1',`OSC2',`RC0/T1OSO/T1CKI',`RC1/T0OSI/CCP2',`RC2/CCPI',`RC3/SCK/SCL',`RD0/PSP0',`RD1/PSP1',`RD2/PSP2',`RD3/PSP3',`RC4/SDI/SDA',`RC5/SDO',`RC6/TX/CK',`RC7/RX/DT',`RD4/PSP4',`RD5/PSP5',`RD6/PSP6',`RD7/PSP7',`VSS',`VDD',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7' +T7,67 +PKG_SO8COMMON_SMT_DIL_MIL(`$1',`$2',`$3', 8, 20000, 85000, 50000, 120000) +T13,74 +PKG_INDC3225NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270) +T20,26 +Description_uA78L08C+8V 0.1A voltage regulator +T27,39 +Description_geda_QFN48_7_EP`Square Quad Flat Nolead (QFN) package' +T21,26 +Description_74140_dil`2 quad-NAND 50Ohm driver' +T18,30 +Description_2N3906general purpose PNP transistor +T20,48 +PinList_r_025_csil_8`common', `1', `2', `3', `4', `5', `6', `7', `8' +T17,71 +PinList_7451L_dil`1A',`2A',`2B',`2C',`2D',`2Y',`Gnd',`1Y',`1D',`1E',`1F',`1B',`1C',`Vcc' +T18,2 +Param1_connector2010 +T9,67 +PKG_SO18WCOMMON_SMT_DIL_MIL(`$1',`$2',`$3', 18, 20000, 80000, 50000, 280000) +T27,37 +Description_cts_CTS_745C_10`CTS 745C Series Chip Resistor Array' +T20,24 +Description_L297_dilstepper-motor controller +T18,56 +PinList_OPTO_6N136`NC', `A+', `K-', `NC', `Gnd', `Out-Col', `Basis', `Vcc' +T17,3 +Param2_TLC274_dil300 +T16,3 +Param2_74280_dil300 +T16,3 +Param2_74243_dil300 +T18,2219 +PKG_SMT_2PAD_MM100# grab the input values and convert to 1/100 mil + define(`X', `eval(($4 * 10000)/254)') + define(`Y', `eval(($5 * 10000)/254)') + define(`C', `eval(($6 * 10000)/254)') + define(`V1', `eval(($7 * 10000)/254)') + define(`V2', `eval(($8 * 10000)/254)') + define(`R1', `eval(($9 * 10000)/254)') + define(`R2', `eval(($10 * 10000)/254)') + # how much to grow the pads by for soldermask [1/100 mil] + define(`maskGrow', 300) + # clearance from planes [1/100 mil] + define(`clearance', 1000) + # silk screen width [1/100 mil] + define(`SILKW', `800') + # courtyard silk screen width [1/100 mil] + define(`CYW', `100') +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "$1" "`$2'" "$3" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + ifelse(eval(Y > X), 1, + `Pad[eval( (-1*C - (Y - X))/2) 0 + eval( (-1*C + (Y - X))/2) 0 + X eval(2*clearance) eval(X + 2*maskGrow) "1" "1" "square"] + Pad[eval( ( C - (Y - X))/2) 0 + eval( ( C + (Y - X))/2) 0 + X eval(2*clearance) eval(X + 2*maskGrow) "2" "2" "square"] + ', + `Pad[eval( -1*C/2 ) eval(-(X-Y)/2) + eval( -1*C/2 ) eval( (X-Y)/2) + Y eval(2*clearance) eval(Y + 2*maskGrow) "1" "1" "square"] + Pad[eval( C/2 ) eval(-(X-Y)/2) + eval( C/2 ) eval( (X-Y)/2) + Y eval(2*clearance) eval(Y + 2*maskGrow) "2" "2" "square"] + ') + + ifelse(eval(R1 > 0), 1, + `ElementLine[eval(-1*R1/2) eval(-1*R2/2) eval(R1/2) eval(-1*R2/2) SILKW] + ElementLine[eval(-1*R1/2) eval( R2/2) eval(R1/2) eval( R2/2) SILKW] + ',) + +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... + +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] + +) +T22,16 +Description_geda_TO218`diode in TO220' +T27,39 +Description_geda_QFN16_5_EP`Square Quad Flat Nolead (QFN) package' +T21,40 +Description_74191_dil`syncronous 4bit binary up/down counter' +T21,17 +Description_74154_dil`4-to-16 decoder' +T20,13 +Description_7400_dil`4 dual-NAND' +T18,49 +PinList_OPTO_6N137`NC', `A+', `K-', `NC', `Gnd', `Out', `En', `Vcc' +T16,75 +PinList_7470_dil`NC',`/Clr',`J1',`J2',`/J',`/Q',`Gnd',`Q',`/K',`K1',`K2',`Clk',`/Pre',`Vcc' +T16,71 +PinList_7433_dil`1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc' +T10,35 +PKG_PLCC28PKG_PLCC(`$1', `$2', `$3', 28, 150) +T19,42 +PKG_OPTEK_OVSRWACR6PKG_OPTEK_RA_2p8_1p2_0p8(`$1', `$2', `$3') +T21,54 +PKG_JOHNSTECH_QFN44_7PKG_JOHNSTECH_QFN_50(`$1',`$2',`$3', `44', `7', `470') +T26,33 +Description_geda_LQFP128_R`Rectangular Quad-side flat pack' +T16,3 +Param2_74627_dil300 +T16,3 +Param2_74294_dil300 +T16,3 +Param2_74257_dil300 +T16,2 +Param1_74132_dil14 +T26,38 +Description_geda_CAPC0603L`Standard SMT resistor, capacitor etc' +T21,38 +Description_geda_1008`Standard SMT resistor, capacitor etc' +T21,41 +Description_74575_dil`8 D-type edge FF 3-state w. sync. clear' +T21,24 +Description_74538_dil`3-to-8 decoder 3-state' +T20,28 +Description_7414_dil`6 Schmitt trigger inverter' +T16,79 +PinList_7447_dil`B',`C',`/LT',`/BI-/RBO',`/RBI',`D',`A',`Gnd',`e',`d',`c',`b',`a',`g',`f',`Vcc' +T17,105 +PinList_74444_dil`/CS',`B1',`C1',`C2',`B2',`B3',`C3',`C4',`B4',`Gnd',`S0',`S1',`A4',`A3',`A2',`A1',`/GA',`/GB',`/GC',`Vcc' +T16,3 +Param2_74449_dil300 +T26,38 +Description_geda_CAPC0603M`Standard SMT resistor, capacitor etc' +T17,153 +PinList_74673_dil`/CS',`SH-Clk',`R-/W',`/StrClr',`Mode-StrClr',`SER/Q15',`Y0',`Y1',`Y2',`Y3',`Y4',`Gnd',`Y5',`Y6',`Y7',`Y8',`Y9',`Y10',`Y11',`Y12',`Y13',`Y14',`Y15',`Vcc' +T17,116 +PinList_74636_dil`DEF',`DB0',`DB1',`DB2',`DB3',`DB4',`DB5',`DB6',`Db7',`Gnd',`CB4',`NC',`CB3',`CB2',`CB1',`CB0',`S0',`S1',`SEF',`Vcc' +T17,71 +PinList_74266_dil`1A',`1B',`1Y',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4Y',`4A',`4B',`Vcc' +T17,1 +Param1_OPTO_6N1368 +T16,2 +Param1_74590_dil16 +T16,2 +Param1_74183_dil14 +T16,2 +Param1_74109_dil16 +T14,597 +PKG_RADIAL_CANdefine(`X1', `eval(`$4' /4)') + define(`X2', `eval(`$4' -X1)') + define(`X3', `eval(`$4' /2)') + define(`Y', `eval(`$4' /2)') + define(`R', `eval(`$4' /2)') + define(`PINSIZE', `ifelse(eval($4 >= 600), 1, 80, 55)') + define(`DRILLSIZE', `ifelse(eval($4 >= 600), 1, 50, 30)') +Element(0x00 "$1" "`$2'" "$3" eval(`$4') 0 0 100 0x00) +( + PIN(X1, Y, 60, DRILLSIZE, 1) + PIN(X2, Y, 60, DRILLSIZE, 2) + ElementArc(X3 Y R R 0 360 10) + + ifelse( 1, $5, + ElementLine(-60 Y -20 Y 10) + ElementLine(-40 `eval(Y-20)' -40 `eval(Y+20)' 10) + ElementLine(`eval($4 + 20)' Y `eval($4 + 60)' Y 10) + ) + + Mark (X1 Y) +) +T10,35 +PKG_PLCC68PKG_PLCC(`$1', `$2', `$3', 68, 150) +T23,64 +Description_geda_RCY600`Bottom lead non-polar circular component (typically capacitor)' +T26,38 +Description_geda_CAPC0603N`Standard SMT resistor, capacitor etc' +T20,37 +Description_7465_dil`AND-OR inverter OC (4-2-3-2 inputs)' +T20,19 +Description_7428_dil`4 dual-NOR buffer' +T19,105 +PinList_MAX232A_dil`C1+',`V+',`C1-',`C2+',`C2-',`V-',`T2out',`R2in',`R2out',`T2in',`T1in',`R1out',`R1in',`T1out',`GND',`Vcc' +T19,3 +Param2_MC68681_plcc150 +T17,1 +Param1_OPTO_6N1378 +T16,2 +Param1_74375_dil16 +T15,2 +Param1_7423_dil16 +T11,46 +PKG_SOD106APKG_SMT_DIODE( `$1', `$2', `$3', 55, 25) +T11,44 +PKG_EIA3216PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 32, 16) +T26,42 +Description_geda_SOJ22_400`Small outline J-leaded package (400 mil)' +T21,38 +Description_geda_1825`Standard SMT resistor, capacitor etc' +T17,127 +PinList_74687_dil`/P>Q',`/G1',`P0',`Q0',`P1',`Q1',`NC',`P2',`Q2',`P3',`Q3',`Gnd',`P4',`Q4',`P5',`Q5',`P6',`Q6',`NC',`P7',`Q7',`/P=Q',`/G2',`Vcc' +T34,2 +Param2_smd_capacitor_polarized_80550 +T16,2 +Param1_74197_dil14 +T15,40 +PKG_DIN41651_34PKG_DIN41_651LAY( `$1', `$2', `$3', 34) +T25,60 +Description_geda_RCY1200P`Bottom lead polar circular component (typically capacitor)' +T21,49 +Description_TL070_dilSingle low noise JFET input operational amplifier +F8,8 +__file____file__ +T18,1 +Param1_r_025_sil_44 +T15,2 +Param1_7474_dil14 +T15,2 +Param1_7437_dil14 +T12,550 +PKG_SMD_CHIPdefine(`X1', `eval(eval(`$4' /100)*10)') + define(`Y1', `eval(eval(`$4'- X1 *10) *10)') +# line radius (LR) depicts offset to pads lines and pad "band width" + define(`LR', `ifelse(eval(Y1 < 40), 1, eval(Y1/4), 10)') +Element(0x00 "$1" "`$2'" "$3" 0 0 0 25 0x00) +( + PAD(LR LR LR eval(Y1-LR) eval(LR*2) ) + PAD(eval(X1-LR) LR eval(X1-LR) eval(Y1-LR) eval(LR*2) ) + + ElementLine( 0 0 X1 0 5) + ElementLine(X1 0 X1 Y1 5) + ElementLine(X1 Y1 0 Y1 5) + ElementLine( 0 Y1 0 0 5) + + Mark(eval(X1/2) eval(Y1/2)) +) +T26,42 +Description_geda_SOJ36_400`Small outline J-leaded package (400 mil)' +T22,37 +Description_TLC274_dilQuad precision operational amplifiers +T5,2 +rSILK10 +T14,278 +PKG_NANOENGINEdefine(`MOLEX_EXTRA', ` + ElementLine(-175 -215 -175 2185 15) + ElementLine(-175 2185 1225 2185 15) + ElementLine(1225 2185 1225 -215 15) + ElementLine(1225 -215 -175 -215 15) + Pin(525 -115 125 70 "M5" 0x01) + Pin(525 2085 125 70 "M6" 0x01) + ') + PKG_MOLEX_025($1, $2, $3, 160) + +T25,46 +Description_geda_ACY1100P`Axial polar component (typically capacitor),' +T21,38 +Description_TL084_dilQuad JFET input operational amplifiers +F7,7 +pushdefpushdef +T18,1 +Param1_r_025_sil_66 +T26,28 +Description_geda_TQFP80_12`Square Quad-side flat pack' +T5,2 +rPAD150 +T9,46 +PKG_SOT23PKG_SMT_TRANSISTOR3( `$1', `$2', `$3', 20, 21) +T40,26 +Description_smd_capacitor_polarized_1206`chip_capacitor_polarized' +T25,23 +Description_PC_CentronicsPC Centronics Connector +T25,33 +Description_AT90S2313_dilAVR Enhanced RISC microcontroller +T5,2 +rPAD260 +T18,1 +Param1_r_025_sil_88 +T28,3 +Param1_DIN41_612_abc48femaleabc +T17,1634 +PKG_SUBD_LAY_BASEdefine(`BASEX', 1000) + define(`BASEY', 1000) + define(`PY1', `eval(BASEY +270)') + define(`PY2', `eval(PY1 +($4-1)/2*108)') + define(`OFFSET', `eval(($4+1)/2)') + define(`PANEL_DISTANCE', 365) +Element(0x00 "$1" "`$2'" "$3" eval(BASEX-0) eval(PY2-0) 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + define(`X1', `eval(BASEX-PANEL_DISTANCE)') + define(`Y1', `eval(PY1-390)') + define(`X2', `eval(BASEX-PANEL_DISTANCE+30)') + define(`Y2', `eval(PY2+390)') + ElementLine(X1 Y1 X2 Y1 10) + ElementLine(X2 Y1 X2 Y2 10) + ElementLine(X2 Y2 X1 Y2 10) + ElementLine(X1 Y2 X1 Y1 10) + ElementLine(X1 eval(Y1+60) X2 eval(Y1+60) 10) + ElementLine(X1 eval(Y1+180) X2 eval(Y1+180) 10) + ElementLine(X1 eval(Y2-60) X2 eval(Y2-60) 10) + ElementLine(X1 eval(Y2-180) X2 eval(Y2-180) 10) + + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + + # Gehaeuse (innerer Kasten) + define(`X1', `eval(BASEX-PANEL_DISTANCE+30)') + define(`Y1', `eval(PY1-160)') + define(`X2', `eval(BASEX-230)') + define(`Y2', `eval(PY2+160)') + ElementLine(X1 Y1 X2 Y1 20) + ElementLine(X2 Y1 X2 Y2 20) + ElementLine(X2 Y2 X1 Y2 20) + ElementLine(X1 Y2 X1 Y1 10) + + # Pins + SUBD_SUBFUNCTION + + # Befestigungsbohrung + Pin(BASEX BASEY 250 125 "C1" 0x01) + Pin(BASEX eval(PY2+270) 250 125 "C2" 0x01) + +) +T42,56 +Description_nichicon_NICHICON_WT_CAP_10_10`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T26,28 +Description_geda_TQFP80_14`Square Quad-side flat pack' +T29,31 +Description_geda_DIN41651_16S`Header connector with latches' +T5,3 +rPAD380 +T10,58 +PKG_UM1_3HPKG_CRYSTAL_H(`$1', `$2', `$3', 74, 311, 322, 60, 32, 3) +T9,46 +PKG_SOT25PKG_SMT_TRANSISTOR5( `$1', `$2', `$3', 20, 21) +T42,56 +Description_nichicon_NICHICON_WT_CAP_5_5p4`Nichicon WT series SMT Aluminum Electrolytic Capacitor' +T22,38 +Description_geda_SO16W`Small outline package, wide (300mil)' +T27,37 +Description_geda_HEADER24_1`Header connector, DIP pin numbering' +T24,16 +Description_PIC16C61_dilmicro controller +T20,17 +Description_6116_dil`Static RAM 2Kx8' +T5,3 +rPAD4120 +T19,428 +PinList_I82077_plcc`WP',`Trk0',`/Dack',`/RD',`/WR',`/CS',`A0',`A1',`Gnd',`A2',`D0',`Gnd',`D1',`D2',`D3',`Gnd',`D4',`Vcc',`D5',`D6',`Gnd',`D7',`Int',`Drq',`TC',`INDX',`IDENT',`DRate0',`DRate1',`DRV2',`DskChg',`Reset',`X1',`X2',`/Invert',`Gnd',`LoFil',`HiFil',`PLL0',`Vcc',`RdData',`NC',`NC',`NC',`AGnd',`AVcc',`NC',`MFM',`DenSel',`Gnd',`HDSel',`We',`WrData',`Gnd',`Step',`Dir',`ME0',`DS0',`Gnd',`Vcc',`ME1',`DS1',`ME2',`DS2',`Gnd',`ME3',`DS3',`Vcc' +T16,3 +Param2_74323_dil300 +T34,3 +Param1_generic_capacitor_axial_500500 +T9,46 +PKG_SOT26PKG_SMT_TRANSISTOR6( `$1', `$2', `$3', 20, 21) +T13,42 +PKG_LQFP64_10PKG_LQFP_50(`$1',`$2',`$3', `64',`10',`0') +T26,9 +Description_generic68_plcc`generic' +T26,42 +Description_geda_SOJ40_350`Small outline J-leaded package (350 mil)' +T27,42 +Description_geda_HEADER24_2`Header connector, ribbon cable numbering' +T21,21 +Description_74641_dil`8 bus tranceiver OC' +T21,51 +Description_74604_dil`8 2-input maximum speed multiplexed latch 3-state' +T17,71 +PinList_74140_dil`1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc' +T21,3 +Param2_generic24w_dil600 +T16,3 +Param2_74182_dil300 +T16,3 +Param2_74145_dil300 +T27,3 +Param1_generic_smd_chip_402402 +T14,41 +PKG_TQFP304_40PKG_QFP_50(`$1',`$2',`$3',`304',`40',`0') +T14,41 +PKG_TQFP120_28PKG_QFP_80(`$1',`$2',`$3',`120',`28',`0') +T8,44 +PKG_SME3PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 33, 33) +T18,16 +Description_sot_23`SOT-23 package' +T18,52 +PinList_uA741C_dil`OfsN1',`In-',`In+',`Vcc-',`OfsN2',`Out',`Vcc+',`NC' +T17,3 +Param2_uA709C_dil300 +T16,3 +Param2_74374_dil300 +T15,3 +Param2_7412_dil300 +T20,3 +Param1_MOLEX_025_100100 +T12,41 +PKG_TQFP32_7PKG_QFP_80(`$1',`$2',`$3', `32', `7',`0') +T8,44 +PKG_SME4PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 43, 43) +T12,40 +PKG_ACY1400PPKG_AXIAL_LAY(`$1', `$2', `$3', 1400, 1) +T21,42 +Description_74285_dil`4bit by 4bit parallel binary multipliers' +T21,51 +Description_74248_dil`BCD to 7-segment decoder/driver w. output pull-up' +T18,57 +PinList_NE4558_dil`Out1',`Inv1',`NoInv1',`-Us',`NoInv2',`Inv2',`Out2',`+Us' +T17,93 +PinList_74191_dil`B',`Qb',`Qa',`/CTEN',`D-/U',`Qc',`Qd',`Gnd',`D',`C',`/Load',`Max-Min',`/RCO',`Clk',`A',`Vcc' +T17,109 +PinList_74154_dil`0',`1',`2',`3',`4',`5',`6',`7',`8',`9',`10',`Gnd',`11',`12',`13',`14',`15',`/G1',`/G2',`D',`C',`B',`A',`Vcc' +T16,3 +Param2_74196_dil300 +T16,3 +Param2_74159_dil300 +T16,2 +Param1_74441_dil20 +T8,30 +PKG_TO39PKG_TO39BASE(`$1', `$2', `$3') +T8,44 +PKG_SME5PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 53, 53) +T11,41 +PKG_QFP80_RPKG_QFP_80L(`$1',`$2',`$3', `80',`0',`0') +T39,50 +Description_johnstech_JOHNSTECH_QFN12_4`Johnstech QFN Socket, Series 1MM (724812-724839)' +T24,49 +Description_geda_TSSOP32`Thin shrink small outline package, wide (6.1mm)' +T26,33 +Description_AT90S8535_plccAVR Enhanced RISC microcontroller +T15,3 +Param2_7426_dil300 +T16,2 +Param1_74670_dil16 +T8,44 +PKG_SME6PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 66, 66) +T13,42 +PKG_LQFP64_14PKG_LQFP_80(`$1',`$2',`$3', `64',`14',`0') +T38,16 +Description_generic_resistor_axial_500`resistor_axial' +T23,45 +Description_geda_SSOP14`Shrink small outline package, .65mm, narrow' +T26,42 +Description_geda_SOJ24_300`Small outline J-leaded package (300 mil)' +T22,30 +Description_geda_SOD80`SMT diode (pin 1 is cathode)' +T21,41 +Description_74669_dil`4bit synchronous binary up/down counter' +T21,40 +Description_74299_dil`8bit universal shift/storage registers' +T17,103 +PinList_74575_dil`/Oe',`1D',`2D',`3D',`4D',`5D',`6D',`7D',`8D',`Gnd',`Clk',`8Q',`7Q',`6Q',`5Q',`4Q',`3Q',`2Q',`1Q',`Vcc' +T17,104 +PinList_74538_dil`Y2',`Y1',`Y0',`/OE1',`/OE2',`A',`B',`Y5',`Y6',`Gnd',`Y7',`AL',`G1',`G2',`/G3',`/G4',`C',`Y4',`Y3',`Vcc' +T20,3 +Param1_MOLEX_025_140140 +T8,29 +PKG_SIP1PKG_CSIL(`$1', `$2', `$3', 1) +T17,1 +Param1_uA741C_dil8 +T16,2 +Param1_74684_dil20 +T16,2 +Param1_74647_dil24 +T8,44 +PKG_SME8PKG_SMT_2PAD_EIA( `$1', `$2', `$3', 84, 84) +T8,29 +PKG_SIP2PKG_CSIL(`$1', `$2', `$3', 2) +T23,45 +Description_geda_SSOP16`Shrink small outline package, .65mm, narrow' +T26,42 +Description_geda_SOJ38_300`Small outline J-leaded package (300 mil)' +T24,62 +Description_geda_ACY1100`Axial non-polar component (typically resistor or capacitor),' +T30,25 +Description_PCI5V_SMALL_HEIGHTPCI 5V Array Small Height +T18,3 +Param2_MC68000_dil900 +T33,3 +Param1_generic_resistor_axial_300300 +T17,1 +Param1_NE4558_dil8 +T24,2 +Param1_DIN41_651_20stand20 +T8,29 +PKG_SIP3PKG_CSIL(`$1', `$2', `$3', 3) +T10,37 +PKG_RCY350PKG_RADIAL_CAN(`$1', `$2', `$3', 700) +T23,28 +Description_MAX232A_dilhigh-speed dual RS232 driver +F11,11 +changequotechangequote +T20,3 +Param1_MOLEX_025_180180 +T8,28 +PKG_ZIP9PKG_SD(`$1', `$2', `$3', 9) +T8,29 +PKG_SIP4PKG_CSIL(`$1', `$2', `$3', 4) +T15,102 +Molex_025_styledefine(`Description_MOLEX_025_$1', `Molex .025 pitch $1 pin plug') + define(`Param1_MOLEX_025_$1', $1) + +T24,60 +Description_geda_RCY800P`Bottom lead polar circular component (typically capacitor)' +T17,55 +PinList_TL070_dil`N1Comp',`In-',`In+',`Vcc-',`OfsN2',`Out',`Vcc+',`Comp' +T16,3 +Param2_TL075_dil300 +T16,2 +Param1_27256_dil28 +T8,29 +PKG_SIP5PKG_CSIL(`$1', `$2', `$3', 5) +T11,40 +PKG_RCY400PPKG_RADIAL_CAN(`$1', `$2', `$3', 800, 1) +T22,44 +Description_uA709C_dilSingle general purpose operational amplifier +T25,33 +Description_geda_QFP128_R`Rectangular Quad-side flat pack' +T24,46 +Description_geda_ACY900P`Axial polar component (typically capacitor),' +T19,2 +Param1_TI34010_plcc68 +T12,740 +PKG_SMD_BASEdefine(`T', `eval(`$4'/4+`$5'/2)') + define(`W', `eval(T/2+15)') + define(`X1', `0') + define(`X2', ``$4'') + define(`Y', `0') + define(`Y1', `eval(Y-(`$5'-T)/2-5)') + define(`Y2', `eval(Y+(`$5'-T)/2+5)') + # Silkscreen box coordinates + define(`X1L', `eval(X1-W)') + define(`X2L', `eval(X2+W)') + define(`Y1L', `eval(Y1-W)') + define(`Y2L', `eval(Y2+W)') +Element(0x00 "$1" "`$2'" "$3" eval(10+T/2) eval(Y2L+15) 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(X1 Y1 X1 Y2 T "1" 0x100) + Pad(X2 Y1 X2 Y2 T "2" 0x100) + ElementLine(X1L Y1L X1L Y2L 8) + ElementLine(X1L Y2L X2L Y2L 8) + ElementLine(X2L Y2L X2L Y1L 8) + ElementLine(X2L Y1L X1L Y1L 8) + SMD_OUTLINE_EXTRA +) +T8,29 +PKG_SIP6PKG_CSIL(`$1', `$2', `$3', 6) +T11,39 +PKG_ACY500PPKG_AXIAL_LAY(`$1', `$2', `$3', 500, 1) +T19,28 +Description_uA7952C-5.2V 1.5A voltage regulator +T19,27 +Description_uA7915C-15V 1.5A voltage regulator +T23,32 +Description_geda_ALF400`Axial diode (pin 1 is cathode)' +T21,24 +Description_L297A_dilstepper-motor controller +T17,97 +PinList_TL084_dil`Out1',`In1-',`In1+',`Vcc+',`In2+',`In2-',`Out2',`Out3',`In3-',`In3+',`Vcc-',`In4+',`In4-',`Out4' +T18,105 +PinList_MAX232_dil`C1+',`V+',`C1-',`C2+',`C2-',`V-',`T2out',`R2in',`R2out',`T2in',`T1in',`R1out',`R1in',`T1out',`GND',`Vcc' +T23,2 +Param1_smd_resistor_80580 +T12,43 +PKG_TQFN24_4PKG_QFN_50S(`$1',`$2',`$3', `24', `4', `0') +T7,58 +PKG_SSOCOMMON_SMT_DUALINLINE(`$1',`$2',`$3',`$4',`$5',`$6',16,40) +T8,29 +PKG_SIP7PKG_CSIL(`$1', `$2', `$3', 7) +T20,10 +Description_geda_UM1`Crystals' +T22,30 +Description_geda_SOD87`SMT diode (pin 1 is cathode)' +T26,29 +Description_geda_HEPTAWATT`Power IC, as in MULTIWATT15' +T25,2 +Param1_DIN41_612_ac64maleac +T8,29 +PKG_SIP8PKG_CSIL(`$1', `$2', `$3', 8) +T28,9 +Description_generic24smd_dil`generic' +T24,32 +Description_geda_ALF1000`Axial diode (pin 1 is cathode)' +T17,20 +Description_BC237bipolar-N transistor +T21,62 +Description_74122_dil`retriggerable monostable multivibrator w. internal resistors' +T18,54 +PinList_MAX690_dil`Vout',`Vcc',`Gnd',`PFI',`/PFO',`WDI',`/RESET',`VBatt' +T16,71 +PinList_7401_dil`1Y',`1A',`1B',`2Y',`2A',`2B',`Gnd',`3A',`3B',`3Y',`4A',`4B',`4Y',`Vcc' +T16,3 +Param2_74440_dil300 +T8,29 +PKG_SIP9PKG_CSIL(`$1', `$2', `$3', 9) +T22,2933 +PKG_BOURNS_GENERIC_POT + # pin 1,3 width, length (1/100 mm) + define(`W13', `$4') + define(`L13', `$5') + + # pin 2 width, length (1/100 mm) + define(`W2', `$6') + define(`L2', `$7') + + # spacing from pad center to pad center between 2 and 1,3 (1/100 mm) + define(`S12', `$8') + # spacing from pad center to pad center between 1 and 3 (1/100 mm) + define(`S13', `$9') + + # package width (1/100 mm) + define(`WIDTH', `$10') + + # silkscreen width (mils) + define(`SILKW', `10') + + # how much space to leave around the part before the + # silk screen (mils) + define(`SILKS', `8') + # lower right corner for silk screen (mil) + ifelse(eval(L2 > L13), 1 + define(`SILKX', `eval((S12+L2)*50/254 + SILKS + SILKW/2)'), + define(`SILKX', `eval((S12+L13)*50/254 + SILKS + SILKW/2)') + ) + define(`SILKY', `eval((WIDTH/2)*100/254 + SILKS + SILKW/2)') + + # refdes text size (mil) + define(`TEXTSIZE', 100) + # x,y coordinates for refdes label (mil) + define(`TEXTX', -SILKX) + define(`TEXTY', `eval(-SILKY - 10 - TEXTSIZE/2)') + +Element(0x00 "$1" "`$2'" "$3" TEXTX TEXTY 0 TEXTSIZE 0x00) +( + +# pin 1 +ifelse(0, eval(W13>L13), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval( (S12 - L13 + W13)*50/254) eval( S13*50/254) + eval( (S12 + L13 - W13)*50/254) eval( S13*50/254) eval(W13*100/254) "1" 0x100) + , + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(eval( (S12 + L13)*50/254) eval((-S13 - W13 + L13)*50/254) + eval( (S12 + L13)*50/254) eval((-S13 + W13 - L13)*50/254) eval(L13*100/254) "1" 0x100) + ) + +# pin 2 +ifelse(0, eval(W2>L2), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval( (-S12 - L2 + W2)*50/254) 0 + eval( (-S12 + L2 - W2)*50/254) 0 eval(W2*100/254) "2" 0x100) + , + # Pads which have the Y (width) pad dimension greater + # than or equal to the X (length) pad dimension + Pad(eval( -S12*50/254) eval((- W2 + L2)*50/254) + eval( -S12*50/254) eval(( W2 - L2)*50/254) eval(L2*100/254) "2" 0x100) + ) + +# pin 3 +ifelse(0, eval(W13>L13), + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(eval( (S12 - L13 + W13)*50/254) eval(-S13*50/254) + eval( (S12 + L13 - W13)*50/254) eval(-S13*50/254) eval(W13*100/254) "3" 0x100) + , + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(eval( (S12 + L13)*50/254) eval((-S13 - W13 + L13)*50/254) + eval( (S12 + L13)*50/254) eval((-S13 + W13 - L13)*50/254) eval(L13*100/254) "3" 0x100) + ) + +# Silk screen around package +ElementLine( SILKX SILKY SILKX -SILKY SILKW) +ElementLine( SILKX -SILKY -SILKX -SILKY SILKW) +ElementLine(-SILKX -SILKY -SILKX SILKY SILKW) +ElementLine(-SILKX SILKY SILKX SILKY SILKW) + +# Mark at the center of the part +Mark(0 0) +) +T24,30 +Description_geda_SOT323D`SMT diode (pin 1 is cathode)' +T26,38 +Description_geda_RESC4532L`Standard SMT resistor, capacitor etc' +T24,60 +Description_geda_RCY100P`Bottom lead polar circular component (typically capacitor)' +T27,39 +Description_geda_QFN32_5_EP`Square Quad Flat Nolead (QFN) package' +T23,3 +Description_MC68030_pgaCPU +T14,45 +PKG_QFN56_8_EPPKG_QFN_50S(`$1',`$2',`$3', `56', `8', `665') +T11,72 +PKG_LQFP_50PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `50',`28', `5', `50',`0',`$6') +T13,74 +PKG_INDC2520LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 220, 90, 240, 340, 250, 80, 220) +T21,12 +Description_geda_TO92`Transistor' +T21,12 +Description_geda_TO18`Transistor' +T26,38 +Description_geda_RESC4532M`Standard SMT resistor, capacitor etc' +T24,46 +Description_geda_ACY200P`Axial polar component (typically capacitor),' +T24,28 +Description_MOLEX_025_20Molex .025 pitch 20 pin plug +T21,55 +Description_74580_dil`8 D-type transparent latch 3-state w. inverted output' +T21,30 +Description_74173_dil`4bit D-type register 3-state' +T21,15 +Description_74136_dil`4 dual-XOR OC' +T18,46 +PinList_MAX667_dil`DD',`OUT',`LBI',`GND',`SHDN',`SET',`LBO',`IN' +T16,71 +PinList_7415_dil`A1',`B1',`A2',`B2',`C2',`Y2',`Gnd',`Y3',`A3',`B3',`C3',`Y1',`C1',`Vcc' +T19,2 +Param1_MOLEX_025_5050 +T17,2 +Param1_MAX232_dil16 +T13,74 +PKG_INDC3216LPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 180, 100, 300, 420, 210, 120, 180) +T13,74 +PKG_INDC2520MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 240, 130, 280, 500, 340, 80, 240) +T28,15 +Description_smd_resistor_603`chip_resistor' +T28,39 +Description_geda_TQFN44_7_EP`Square Quad Flat Nolead (QFN) package' +T26,42 +Description_geda_SOJ20_450`Small outline J-leaded package (450 mil)' +T26,38 +Description_geda_RESC4532N`Standard SMT resistor, capacitor etc' +T25,29 +Description_MOLEX_025_100Molex .025 pitch 100 pin plug +T23,17 +Description_I82077_plccfloppy controller +T21,18 +Description_74365_dil`6 bus drivers OC' +T17,103 +PinList_74641_dil`DIR',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/EN',`Vcc' +T17,144 +PinList_74604_dil`Clk',`A-/B',`A1',`B1',`A2',`B2',`A3',`B3',`A4',`B4',`Y4',`Y3',`Y2',`Gnd',`Y1',`Y5',`Y6',`Y7',`Y8',`B8',`A8',`B7',`A7',`B6',`A6',`B5',`A5',`Vcc' +T16,3 +Param2_74683_dil300 +T16,3 +Param2_74646_dil300 +T16,3 +Param2_74276_dil300 +T16,2 +Param1_74521_dil20 +T16,2 +Param1_74151_dil16 +T16,2 +Param1_74114_dil14 +T17,655 +PKG_SUBD_MALE_LAYdefine(`SUBD_SUBFUNCTION', + ` + define(`NOSMUDGE', 40) + # First row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +(i-1)*108)) + PIN(eval(BASEX+56), Y, 60, 35, i) + ElementLine(eval(BASEX+56-NOSMUDGE) Y X2 Y 20) + ') + + # Last pin in first row + PIN(eval(BASEX+56), PY2, 60, 35, OFFSET) + ElementLine(eval(BASEX+56-NOSMUDGE) PY2 X2 PY2 20) + + # Second row + forloop(`i', 1, eval($4/2), + `define(`Y', eval(PY1 +(i-1)*108+54)) + PIN(eval(BASEX-56), Y, 60, 35, eval(i+OFFSET)) + ElementLine(eval(BASEX-56-NOSMUDGE) Y X2 Y 20) + ') + # Plazierungsmarkierung == PIN 1 + Mark(eval(BASEX +50) PY1) + ') + PKG_SUBD_LAY_BASE(`$1', `$2', `$3', `$4') + +T13,74 +PKG_INDC3216MPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 200, 140, 340, 580, 300, 130, 200) +T13,74 +PKG_INDC2520NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 230, 110, 260, 410, 280, 70, 230) +T24,28 +Description_geda_TSOP32A`Thin small outline package' +T21,13 +Description_PC_V24_9PPC V24 9-pins +T20,42 +Description_7470_dil`AND-gated JK pos-edge-FF w. preset/clear' +T21,46 +Description_74594_dil`8bit shift register w. buffered output latch' +T20,22 +Description_7433_dil`4 dual-NOR buffer OC' +T16,3 +Param2_74468_dil300 +T17,1 +Param1_MAX690_dil8 +T14,41 +PKG_TQFP240_32PKG_QFP_50(`$1',`$2',`$3',`240',`32',`0') +T13,74 +PKG_INDC3216NPKG_SMT_2PAD_MM100( `$1', `$2', `$3', 190, 120, 320, 490, 240, 120, 190) +T12,436 +PKG_CONN_USBElement(0x00 "$1" "`$2'" "$3" 4140 2050 0 100 0x00) +( + Pin(4140 2050 60 28 "1" "1" 0x00000101) + Pin(4040 2050 60 28 "2" "2" 0x00000001) + Pin(4040 2130 60 28 "3" "3" 0x00000001) + Pin(4140 2130 60 28 "4" "4" 0x00000001) + Pin(3850 2240 90 90 "" "5" 0x00000009) + Pin(4330 2240 90 90 "" "6" 0x00000009) + + ElementLine( 3850 1900 4330 1900 20) + ElementLine( 3850 1900 3850 2150 20) + ElementLine( 4330 1900 4330 2150 20) + + Mark (4140 2050) +) +T24,28 +Description_geda_TSOP32B`Thin small outline package' +T22,12 +Description_geda_TO126`Transistor' +T26,42 +Description_geda_SOJ34_450`Small outline J-leaded package (450 mil)' +T26,38 +Description_geda_INDC4532L`Standard SMT resistor, capacitor etc' +T24,28 +Description_MOLEX_025_60Molex .025 pitch 60 pin plug +T21,28 +Description_74379_dil`4 FF w. double rail output' +T17,83 +PinList_74285_dil`2C',`2B',`2A',`1D',`1A',`1B',`1C',`Gnd',`Y3',`Y2',`Y1',`Y0',`/GB',`/GA',`2D',`Vcc' +T17,79 +PinList_74248_dil`B',`C',`/LT',`/BI-/RBO',`/RBI',`D',`A',`Gnd',`e',`d',`c',`b',`a',`g',`f',`Vcc' +T16,3 +Param2_74697_dil300 +T19,2 +Param1_MOLEX_025_9090 +T16,2 +Param1_74165_dil16 +T16,2 +Param1_74128_dil14 +T10,36 +PKG_ACY900PKG_AXIAL_LAY(`$1', `$2', `$3', 900) +T26,38 +Description_geda_INDC4532M`Standard SMT resistor, capacitor etc' +T27,37 +Description_geda_HEADER48_1`Header connector, DIP pin numbering' +T25,29 +Description_MOLEX_025_140Molex .025 pitch 140 pin plug +T20,28 +Description_7447_dil`BCD to 7-segment driver OC' +T20,3 +Param2_AT90S1200_dil300 +T17,1 +Param1_MAX667_dil8 +T15,2 +Param1_7442_dil16 +T15,2 +Param1_7405_dil14 +T26,38 +Description_geda_INDC4532N`Standard SMT resistor, capacitor etc' +T27,42 +Description_geda_HEADER48_2`Header connector, ribbon cable numbering' +T24,50 +Description_geda_EMI0805`3-Pin SMT EMI Filter based on standard SMT sizes' +T23,45 +Description_geda_DIP22M`Dual in-line package, medium wide (400 mil)' +F9,9 +debugmodedebugmode +T13,22 +PinList_LM317`Adj',`Out',`In',`Out' +T17,89 +PinList_74669_dil`U-/D',`Clk',`A',`B',`C',`D',`/ENP',`Gnd',`/Load',`/ENT',`Qd',`Qc',`Qb',`Qa',`/RCO',`Vcc' +T17,124 +PinList_74299_dil`S0',`/G1',`/G2',`G-Qg',`E-Qe',`C-Qc',`A-Qa',`Qa2',`/Clr',`Gnd',`SR',`Clk',`B-Qb',`D-Qd',`F-Qf',`H-Qh',`Qh2',`SL',`S1',`Vcc' +T10,72 +PKG_QFP_65PKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `65',`40',`20', `80',`0',`$6') +T23,64 +Description_geda_RCY100`Bottom lead non-polar circular component (typically capacitor)' +F8,8 +traceofftraceoff +T11,81 +_DEFPINLISTifelse($#, 0, , $#, 1, `DEFPIN(`$1')', + `DEFPIN(`$1')'` + _DEFPINLIST(shift($@))') +T15,2 +Param1_7493_dil14 +T15,2 +Param1_7419_dil14 +T26,42 +Description_geda_SOJ18_400`Small outline J-leaded package (400 mil)' +T25,29 +Description_MOLEX_025_180Molex .025 pitch 180 pin plug +T18,1 +Param2_connector202 +T22,24 +Description_geda_SOT89`SMT transistor, 4 pins' +T11,42 +PKG_PLCC20XPKG_PLCC_SOCKET(`$1', `$2', `$3', 20, 150) +T31,26 +Description_smd_EIA_A_capacitor`chip_capacitor_polarized' +T27,37 +Description_geda_HEADER52_1`Header connector, DIP pin numbering' +T16,3 +Param2_43256_dil600 +T16,1 +Param1_TL081_dil8 +T39,50 +Description_johnstech_JOHNSTECH_QFN36_6`Johnstech QFN Socket, Series 1MM (724812-724839)' +T27,42 +Description_geda_HEADER52_2`Header connector, ribbon cable numbering' +T9,34 +PKG_DIP32PKG_N(`$1', `$2', `$3', 32, 600) +T24,50 +Description_geda_EMI1206`3-Pin SMT EMI Filter based on standard SMT sizes' +T27,37 +Description_cts_CTS_746X_10`CTS 746X Series Chip Resistor Array' +T24,28 +Description_MOLEX_025_68Molex .025 pitch 68 pin plug +T17,143 +PinList_L297A_dil`Sync',`Gnd',`Home',`A',`/Inh1',`B',`C',`/Inh2',`D',`Enable',`Dir-Mem',`Vs',`Sens2',`Sens1',`Vref',`Osc',`CW/CCW',`/Clock',`Half/Full',`/Reset' +T20,3 +Param2_generic64_dil900 +T16,3 +Param2_74520_dil300 +T16,3 +Param2_74150_dil300 +T16,3 +Param2_74113_dil300 +T14,39 +PKG_HEADER28_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 14) +T40,18 +Description_generic_capacitor_radial_600`capacitor_radial' +T26,9 +Description_generic84_plcc`generic' +T13,62 +PKG_SOJ32_400COMMON_SMT_DIL_MIL(`$1',`$2',`$3',32,23622,86614,50000,291339) +T14,38 +PKG_HEADER28_2PKG_CONNECTOR(`$1', `$2', `$3', 14, 2) +T8,74 +PKG_0402PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 70, 50, 80, 170, 100, 0, 0) +T26,42 +Description_geda_SOJ22_350`Small outline J-leaded package (350 mil)' +T29,31 +Description_geda_DIN41651_40S`Header connector with latches' +T21,27 +Description_74623_dil`8 bus tranceivers 3-state' +T21,34 +Description_74290_dil`decade counter w. clear/set-to-9' +T21,39 +Description_74253_dil`2 4-to-1 selector/multiplexor 3-state' +T17,83 +PinList_74122_dil`A1',`A2',`B1',`B2',`/Clr',`/Q',`Gnd',`Q',`Rint',`NC',`Cext',`NC',`Rext-Cext',`Vcc' +T16,3 +Param2_74164_dil300 +T24,28 +Description_geda_QFP32_7`Square Quad-side flat pack' +T16,22 +PinList_uA78M08C`In',`Com',`Out',`Com' +T16,3 +Param2_74393_dil300 +T16,3 +Param2_74356_dil300 +T15,3 +Param2_7431_dil300 +T15,2 +Param1_6551_dil28 +T15,44 +PKG_TQFN44_7_EPPKG_QFN_50(`$1',`$2',`$3', `44', `7', `470') +T11,42 +PKG_PLCC28XPKG_PLCC_SOCKET(`$1', `$2', `$3', 28, 150) +T9,34 +PKG_DIP36PKG_N(`$1', `$2', `$3', 36, 600) +T39,50 +Description_johnstech_JOHNSTECH_QFN40_6`Johnstech QFN Socket, Series 1MM (724812-724839)' +T26,42 +Description_geda_SOJ36_350`Small outline J-leaded package (350 mil)' +T21,43 +Description_74674_dil`16bit shift register w. 16bit parallel in' +T21,45 +Description_74637_dil`8bit parallel error detection/correction OC' +T17,102 +PinList_74580_dil`/Oe',`1D',`2D',`3D',`4D',`5D',`6D',`7D',`8D',`Gnd',`Le',`8Q',`7Q',`6Q',`5Q',`4Q',`3Q',`2Q',`1Q',`Vcc' +T17,83 +PinList_74173_dil`M',`N',`1Q',`2Q',`3Q',`4Q',`Clk',`Gnd',`/G1',`/G2',`4D',`3D',`2D',`1D',`Clr',`Vcc' +T17,71 +PinList_74136_dil`1A',`1B',`1Y',`2A',`2B',`2Y',`Gnd',`3Y',`3A',`3B',`4Y',`4A',`4B',`Vcc' +T16,3 +Param2_74178_dil300 +T16,2 +Param1_7451S_dil14 +T16,2 +Param1_74423_dil16 +T38,42 +Description_panasonic_PANASONIC_EXB24V`Panasonic EXB Series Chip Resistor Array' +T28,39 +Description_geda_TQFN20_5_EP`Square Quad Flat Nolead (QFN) package' +T18,55 +PinList_uA748C_dil`N1Comp',`In-',`In+',`Vcc-',`OfsN2',`Out',`Vcc+',`Comp' +T17,83 +PinList_74365_dil`/G1',`1A',`1Y',`2A',`2Y',`3A',`3Y',`Gnd',`4Y',`4A',`5Y',`5A',`6Y',`6A',`/G2',`Vcc' +T15,3 +Param2_7445_dil300 +T15,3 +Param2_7408_dil300 +T16,2 +Param1_74652_dil24 +T16,2 +Param1_74245_dil20 +T14,39 +PKG_HEADER32_1PKG_CONNECTOR_DIL(`$1', `$2', `$3', 16) +T26,38 +Description_geda_RESC3225L`Standard SMT resistor, capacitor etc' +T21,26 +Description_74688_dil`8bit identity comperator' +T17,52 +PinList_PC_V24_9P`DCD',`RxD',`TxD',`DTR',`Gnd',`DSR',`RTS',`CTS',`RI' +T17,94 +PinList_74594_dil`Qb',`Qc',`Qd',`Qe',`Qf',`Qg',`Qh',`Gnd',`Qh+',`/SRClr',`/SRCK',`RCK',`/RClr',`SER',`Qa',`Vcc' +T16,3 +Param2_74599_dil300 +T14,38 +PKG_HEADER32_2PKG_CONNECTOR(`$1', `$2', `$3', 16, 2) +T23,45 +Description_geda_SDIP30`Shrink dual in-line package, wide (400 mil)' +T26,38 +Description_geda_RESC3225M`Standard SMT resistor, capacitor etc' +T26,35 +Description_geda_MTA100_10`MTA Connector, (0.100 inch pitch)' +T22,35 +Description_geda_MSOP8`Mini small outline package, .65mm' +T29,26 +Description_DIN41_651_10standDIN41.651 standing 10 pins +T17,86 +PinList_74379_dil`/G',`1Q',`/1Q',`1D',`2D',`/2Q',`2Q',`Gnd',`Clk',`3Q',`/3Q',`3D',`4D',`/4Q',`4Q',`Vcc' +T15,3 +Param2_7496_dil300 +T16,2 +Param1_74629_dil16 +T16,2 +Param1_74259_dil16 +T12,43 +PKG_TQFN48_7PKG_QFN_50S(`$1',`$2',`$3', `48', `7', `0') +T8,74 +PKG_1210PKG_SMT_2PAD_MM100( `$1', `$2', `$3', 270, 130, 300, 470, 320, 100, 270) +T38,42 +Description_panasonic_PANASONIC_EXB28V`Panasonic EXB Series Chip Resistor Array' +T26,38 +Description_geda_RESC3225N`Standard SMT resistor, capacitor etc' +T26,35 +Description_geda_MTA100_11`MTA Connector, (0.100 inch pitch)' +T30,31 +Description_geda_DIN41612C64FS`DIN connector, 96 pin housing' +T13,11 +PinList_BC337`E',`B',`C' +T16,3 +Param2_TL080_dil300 +T11,55 +PKG_TSSOP10COMMON_SMT_DIL_MM(`$1',`$2',`$3', 10, 30, 150, 50, 300) +T23,45 +Description_geda_SDIP32`Shrink dual in-line package, wide (400 mil)' +T26,28 +Description_geda_QFP144_28`Square Quad-side flat pack' +T26,35 +Description_geda_MTA100_12`MTA Connector, (0.100 inch pitch)' +T27,28 +Description_geda_LQFP176_24`Square Quad-side flat pack' +T25,2635 +COMMON_SMT_TRANSISTOR_MILdefine(`spaceX', `$4') # 78 for SOT23 + define(`spaceY', `$5') # 82 for SOT23 + define(`padY', `eval((spaceY * $6) / 100)') # 41 for SOT23 + define(`padX', `ifelse(eval(len(`$7') >= 5), 1, + `eval((spaceX * 31) / 100)', + `eval((padY * 85) / 100)' + )') # 34 for SOT23, 24 for SOT25 + define(`addFRAME', `eval(padY / 5)') + define(`maxX', `eval(2*addFRAME+spaceX+padX)') + define(`maxY', `eval(2*addFRAME+spaceY+padY)') + define(`centerX', `eval(maxX / 2)') + define(`centerY1', `eval(addFRAME + padY/2)') + define(`centerX1', `eval(addFRAME + padX/2)') + define(`deltaY', `eval((padY-padX)/2)') + define(`silkW', `ifelse(eval(spaceY >= 50), 1, 10, eval(spaceY/5))') + define(`indeX', `0') + +Element(0x00 "$1" "`$2'" "$3" eval(maxX+20) 0 3 100 0x00) +( + ElementLine(0 0 0 maxY silkW) + ElementLine(0 maxY maxX maxY silkW) + ElementLine(maxX maxY maxX 0 silkW) + ElementLine(maxX 0 0 0 silkW) + + # 1st side, 1st pin + ifelse(len(`$7'), 4, + `# extra width + Pad(eval(centerX1+deltaY) eval(centerY1+spaceY) + eval(centerX1+2*deltaY) eval(centerY1+spaceY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + ', + `Pad(centerX1 eval(centerY1+spaceY-deltaY) + centerX1 eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + ') + define(`indeX',incr(indeX)) + + # 1st side, 2nd pin + ifelse(eval(len(`$7') == 6), 1, + `Pad(centerX eval(centerY1+spaceY-deltaY) + centerX eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + ') + + # 1st side, 3rd pin + Pad(eval(centerX1+spaceX) eval(centerY1+spaceY-deltaY) + eval(centerX1+spaceX) eval(centerY1+spaceY+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + + # 2nd side, 3rd pin + ifelse(eval(len(`$7') >= 4), 1, + `Pad(eval(centerX1+spaceX) eval(centerY1-deltaY) + eval(centerX1+spaceX) eval(centerY1+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + ') + + # 2nd side, 2nd pin + ifelse(eval(len(`$7') != 4), 1, + `Pad(centerX eval(centerY1-deltaY) + centerX eval(centerY1+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + define(`indeX',incr(indeX)) + ') + + # 2nd side, 1st pin + ifelse(eval(len(`$7') >= 4), 1, + `Pad(centerX1 eval(centerY1-deltaY) + centerX1 eval(centerY1+deltaY) + padX "substr(`$7',indeX,1)" "substr(`$7',indeX,1)" 0x100) + ') + + Mark(centerX1 eval(centerY1+spaceY)) +) +T17,1 +Param1_uA748C_dil8 +T15,2 +Param1_4016_dil24 +T12,82 +PL_PCISideA1`TRST*',`+12V',`TMS',`TDI',`+5V',`INTA*',`INTC*',`+5V',`Reserved',`+5V',`Reserved' +T19,579 +PKG_TO220STAND_WIDEElement(0x00 "$1" "`$2'" "$3" 0 10 0 100 0x00) +( + PIN(100, 200, 90, 60, 1) + PIN(200, 300, 90, 60, 2) + PIN(300, 200, 90, 60, 3) + + # Gehaeuse + ElementLine( 0 80 400 80 20) + ElementLine(400 80 400 260 20) + ElementLine(400 260 0 260 20) + ElementLine( 0 260 0 80 20) + + # Kuehlfahne icl. Bohrung + ElementLine( 0 80 400 80 20) + ElementLine(400 80 400 140 20) + ElementLine(400 140 0 140 20) + ElementLine( 0 140 0 80 20) + + ElementLine(130 80 130 140 10) + ElementLine(270 80 270 140 10) + + # Anschlussdraht + ElementLine(200 300 200 260 30) + + Mark(100 200) +) +T28,39 +Description_geda_TQFN16_3_EP`Square Quad Flat Nolead (QFN) package' +T26,35 +Description_geda_MTA100_13`MTA Connector, (0.100 inch pitch)' +T26,38 +Description_geda_INDC3225L`Standard SMT resistor, capacitor etc' +T24,2 +Param1_DIN41_651_64stand64 +T12,17 +PL_PCISideA2`Ground',`Ground' +T23,24 +Description_geda_SOT323`SMT transistor, 3 pins' +T26,35 +Description_geda_MTA100_14`MTA Connector, (0.100 inch pitch)' +T26,38 +Description_geda_INDC3225M`Standard SMT resistor, capacitor etc' +T21,3961 +COMMON_AMP_MICTOR_MIL + # number of pads + define(`NPADS', `$4') + + # number of segments of 38 pins each + define(`NSEG', eval(NPADS/38)) + + # pad width in 1/1000 mil + define(`PADWIDTH', `$5') + # pad length in 1/1000 mil + define(`PADLENGTH',`$6') + # pad pitch 1/1000 mil + define(`PITCH',`$7') + # seperation between pads on opposite sides 1/1000 mil + define(`PADSEP',`$8') + + # X coordinates for the right hand column of pads (mils) + define(`X1', `eval( (PADSEP/2 + PADLENGTH - PADWIDTH/2)/1000)') + define(`X2', `eval( (PADSEP/2 + PADWIDTH/2)/1000)') + + # silk screen width (mils) + define(`SILKW', `10') + define(`SILKSEP', `5') + + + # figure out if we have an even or odd number of pins per side + define(`TMP1', eval(NPADS/4)) + define(`TMP2', eval((4*TMP1 - NPADS) == 0)) + ifelse(TMP2, 1, `define(`EVEN',"yes")', `define(`EVEN',"no")') + + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (mils) + define(`XMAX', `200') + define(`YMAX', `eval(250 + NSEG*250 + 125)') + + define(`REV', `$9') + + ifelse(REV,"reverse", + `define(`CURPIN', NPADS)' + , + `define(`CURPIN', `1')' + ) +Element(0x00 "$1" "`$2'" "$3" -20 -60 0 100 0x00) +( + define(`SEG', `1') + define(`YOFS', eval((1-NSEG)*25000/2)) + forloop(`i', 1, eval(NPADS / 2), + ` + ifelse(eval(i > SEG*19), 1, + `define(`SEG', incr(SEG)) + define(`YOFS', eval((1-NSEG)*25000/2 + (SEG-1)*25000))',) + ifelse(EVEN,"yes", + `Pad( -X1 eval( (-(NPADS/4)*PITCH - PITCH/2 + i*PITCH + YOFS)/1000) + -X2 eval( (-(NPADS/4)*PITCH - PITCH/2 + i*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)', + `Pad( -X1 eval( (-(NPADS/4)*PITCH - PITCH + i*PITCH + YOFS)/1000) + -X2 eval( (-(NPADS/4)*PITCH - PITCH + i*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)') + + ifelse(REV,"reverse", + `define(`CURPIN', decr(CURPIN)) + define(`CURPIN', decr(CURPIN))', + `define(`CURPIN', incr(CURPIN)) + define(`CURPIN', incr(CURPIN))' + ) + ') + ifelse(REV,"reverse", + `define(`CURPIN', `2')' + , + `define(`CURPIN', `NPADS')' + ) + + define(`SEG', `1') + define(`YOFS', eval((NSEG-1)*25000/2)) + forloop(`i', eval((NPADS / 2) + 1), NPADS, + ` + ifelse(eval(i - NPADS/2 > SEG*19), 1, + `define(`SEG', incr(SEG)) + define(`YOFS', eval((NSEG-1)*25000/2 - (SEG-1)*25000))',) + ifelse(EVEN,"yes", + `Pad( X1 eval( ((NPADS/4)*PITCH + PITCH/2 - (i-NPADS/2)*PITCH + YOFS)/1000) + X2 eval( ((NPADS/4)*PITCH + PITCH/2 - (i-NPADS/2)*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)', + `Pad( X1 eval( ((NPADS/4)*PITCH + PITCH - (i-NPADS/2)*PITCH + YOFS)/1000) + X2 eval( ((NPADS/4)*PITCH + PITCH - (i-NPADS/2)*PITCH + YOFS)/1000) + eval(PADWIDTH/1000) "CURPIN" "CURPIN" 0x0)') + ifelse(REV,"reverse", + `define(`CURPIN', incr(CURPIN)) + define(`CURPIN', incr(CURPIN))', + `define(`CURPIN', decr(CURPIN)) + define(`CURPIN', decr(CURPIN))' + ) + ') + + define(`GNDpad', `60') + define(`GNDdrl', `32') +# now add the center row of grounding pins + define(`CURPIN', incr(NPADS)) + define(`YOFS', `eval(250-NSEG*250)') + forloop(`j', 1, NSEG, + `forloop(`i', -2, 2, + `Pin(0 eval(i*100 + YOFS) GNDpad GNDdrl "GND" "CURPIN" 0x01) + define(`CURPIN', incr(CURPIN))' + ) + define(`YOFS', eval(YOFS + 500))' + ) +# the latch pins + Pin(0 eval(-YOFS - 55) 80 53 "LATCH" "CURPIN" 0x01) + define(`CURPIN', incr(CURPIN)) + Pin(0 eval(YOFS + 55) 80 53 "LATCH" "CURPIN" 0x01) + define(`CURPIN', incr(CURPIN)) +# and the orientation pin + Pin(0 eval(-YOFS+50) 84 84 "ORIENT" "CURPIN" 0x09) + +# and finally the silk screen + ElementLine(-XMAX -YMAX -XMAX YMAX SILKW) + ElementLine(-XMAX YMAX XMAX YMAX SILKW) + ElementLine( XMAX YMAX XMAX -YMAX SILKW) + ElementLine(-XMAX -YMAX -25 -YMAX SILKW) + ElementLine( XMAX -YMAX 25 -YMAX SILKW) + + # punt on the arc on small parts as it can cover the pads + ifelse(eval((PADSEP-PADLENGTH)/1000 > 50), 1, `ElementArc(0 -YMAX 25 25 0 180 SILKW)', ) + + # Mark at the common centroid + Mark(0 0) +) +T20,115 +PinList_PIC16C61_dil`RA2',`RA3',`RA4',`/MCLR',`VSS',`RB0/INT',`RB1',`RB2',`RB3',`RB4',`RB5',`RB6',`RB7',`VDD',`OSC2',`OSC1',`RA0',`RA1' +T19,3 +Param2_TI34010_plcc150 +T12,308 +PL_PCISideA3`3.3Vaux',`RST*',`+5V',`GNT*',`Ground',`PME*',`AD[30]',`+3.3V',`AD[28]',`AD[26]',`Ground',`AD[24]',`IDSEL',`+3.3V',`AD[22]',`AD[20]',`Ground',`AD[18]',`AD[16]',`+3.3V',`FRAME*',`Ground',`TRDY*',`Ground',`STOP*',`+3.3V',`Reserved',`Reserved',`Ground',`PAR',`AD[15]',`+3.3V',`AD[13]',`AD[11]',`Ground',`AD[09]' +T13,62 +PKG_SOJ20_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',20,23622,86614,50000,196850) +T24,39 +Description_geda_QFN24_4`Square Quad Flat Nolead (QFN) package' +T26,35 +Description_geda_MTA100_15`MTA Connector, (0.100 inch pitch)' +T26,38 +Description_geda_INDC3225N`Standard SMT resistor, capacitor etc' +T27,24 +Description_DIN41_651_24layDIN41.651 laying 24 pins +T17,18 +Description_BC140bipolar transistor +T12,17 +PL_PCISideA4`Ground',`Ground' +T11,55 +PKG_TSSOP14COMMON_SMT_DIL_MM(`$1',`$2',`$3', 14, 33, 150, 65, 440) +T23,3816 +PKG_CANDK_ES_SMT_SWITCH + # pad 1,2,3 width (1/100 mil) + define(`PADW1', `3500') + + # pad 1,2,3 length (1/100 mil) + define(`PADL1', `8200') + + # x value for pads 1,3 (1/100 mil) + define(`PADX1', `10000') + + # y value for pads 1,2,3 (1/100 mil) + define(`PADY1', `17500') + define(`PADY1A', `eval(PADY1 - (PADL1 - PADW1)/2)') + define(`PADY1B', `eval(PADY1 + (PADL1 - PADW1)/2)') + + + # mounting pad width (1/100 mil) + define(`PADW2', `9150') + + # mounting pad length (1/100 mil) + define(`PADL2', `6000') + + # x value for the mounting pads (1/100 mil) + define(`PADX2', `21175') + define(`PADX2A', `eval(PADX2 - (PADW2 - PADL2)/2)') + define(`PADX2B', `eval(PADX2 + (PADW2 - PADL2)/2)') + + # y value for the mounting pads (1/100 mil) + define(`PADY2', `-17500') + + # package width (1/100 mil) + define(`PACKW', `39500') + + # package height (1/100 mil) + define(`PACKH', `36000') + + # component veritcal height off board (1/100 mil) + define(`COMPH', `eval($9*10000/254)') + + # pad width and length + define(`PADW', `eval(PINW + 2000)') + define(`PADL', `eval(PINL + 2000)') + + # y values for drawing the pad. + # The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + define(`PY1', `eval((PINL + PINS + PADL - PADW)/2)') + define(`PY2', `eval((PINL + PINS - PADL + PADW)/2)') + + # width of soldermask relief (5 mil on each side) + define(`MASKW1', `eval(PADW1 + 1000)') + define(`MASKW2', `eval(PADL2 + 1000)') + + # top edge of switch body (1/100 mil) + define(`SWTOP', `eval(PADY2 - 5000)') + + # bottom edge of switch body (1/100 mil) + define(`SWBOT', `eval(SWTOP + PACKH)') + + # how much the switch extends beyond the body + define(`SWEXT', `12100') + + # y value for the far end of the switch + define(`SWYMAX', `eval(SWTOP - SWEXT)') + + # silkscreen width (1/100 mils) + define(`SILKW', `1000') + + # how much space to leave around the part before the + # silk screen (1/100 mils) + define(`SILKS', `800') + + # X values for silk on sides and bottom of switch + define(`SX1', `eval( (PACKW + 2*SILKS + SILKW)/2)') + define(`SX2', `eval( 10000 + PADW1/2 + SILKS + SILKW/2)') + + # bottom edge of the switch body + define(`SY1', `eval( SWBOT + SILKS + SILKW/2 )') + + # bottom edge of upper pads + define(`SY2', `eval( PADY2 + PADL2/2 + SILKS + SILKW/2)') + + # bottom edge of the lower pads + define(`SY3', `eval( PADY1 + PADL1/2 + SILKS + SILKW/2)') + + # top edge of the switch body + define(`SY4', `eval( PADY2 - PADL2/2 - SILKS - SILKW/2)') + + # top edge of the switch + define(`SY5', `eval( SWTOP - SILKS - SILKW/2)') + + +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "`$1'" "`$2'" "`$3'" 0 0 0 0 0 100 ""] +( + +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] + +# the signal pads +Pad[ -PADX1 PADY1A -PADX1 PADY1B PADW1 1000 MASKW1 "1" "1" "square"] +Pad[ 0 PADY1A 0 PADY1B PADW1 1000 MASKW1 "2" "2" "square"] +Pad[ PADX1 PADY1A PADX1 PADY1B PADW1 1000 MASKW1 "3" "3" "square"] + +# the mounting pads +Pad[ -PADX2A PADY2 -PADX2B PADY2 PADL2 1000 MASKW2 "4" "4" "square"] +Pad[ PADX2A PADY2 PADX2B PADY2 PADL2 1000 MASKW2 "4" "4" "square"] + +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] + +# bottom edge +ElementLine[ SX1 SY1 SX2 SY1 SILKW ] +ElementLine[-SX1 SY1 -SX2 SY1 SILKW ] +ElementLine[-SX2 SY1 -SX2 SY3 SILKW ] +ElementLine[ SX2 SY1 SX2 SY3 SILKW ] +ElementLine[-SX2 SY3 SX2 SY3 SILKW ] + +# left/right +ElementLine[ SX1 SY1 SX1 SY2 SILKW ] +ElementLine[-SX1 SY1 -SX1 SY2 SILKW ] + +# top edge +ElementLine[-SX1 SWYMAX SX1 SWYMAX SILKW ] +ElementLine[-SX1 SY5 SX1 SY5 SILKW ] +ElementLine[-SX1 SY4 -SX1 SWYMAX SILKW ] +ElementLine[ SX1 SY4 SX1 SWYMAX SILKW ] + +# cross at top where switch moves +ElementLine[-SX1 SWYMAX SX1 SY5 SILKW ] +ElementLine[-SX1 SY5 SX1 SWYMAX SILKW ] + +) +T23,24 +Description_geda_SOT325`SMT transistor, 5 pins' +T17,3 +Param2_OPTO_6N136300 +T12,90 +PL_PCISideA5`C/BE[0]*',`+3.3V',`AD[06]',`AD[04]',`Ground',`AD[02]',`AD[00]',`+5V',`REQ64*',`+5V',`+5V' +T13,62 +PKG_SOJ34_300COMMON_SMT_DIL_MIL(`$1',`$2',`$3',34,23622,86614,50000,196850) +T15,48 +PKG_CTS_742X_08COMMON_SMT_DIL_MM(`$1',`$2',`$3', 8,50,90,80,90) +T23,24 +Description_geda_SOT326`SMT transistor, 6 pins' +T25,33 +Description_geda_JUMPER20`Jumper, i.e. single row headers' +T16,71 +PinList_7420_dil`1A',`1B',`NC',`1C',`1D',`1Y',`Gnd',`2Y',`2A',`2B',`NC',`2C',`2D',`Vcc' +T17,3 +Param2_OPTO_6N137300 +T16,3 +Param2_74422_dil300 +T17,1 +Param1_connector21 +T12,285 +PL_PCISideA6`Ground',`C/BE[7]*',`C/BE[5]*',`+5V',`PAR64',`AD[62]',`Ground',`AD[60]',`AD[58]',`Ground',`AD[56]',`AD[54]',`+5V',`AD[52]',`AD[50]',`Ground',`AD[48]',`AD[46]',`Ground',`AD[44]',`AD[42]',`+5V',`AD[40]',`AD[38]',`Ground',`AD[36]',`AD[34]',`Ground',`AD[32]',`Reserved',`Ground',`Reserved' +T11,55 +PKG_TSSOP16COMMON_SMT_DIL_MM(`$1',`$2',`$3', 16, 33, 150, 65, 440) +T15,2373 +PKG_MC68881_pgaElement(0x00 "$1" "`$2'" "$3" 350 450 0 100 0x00) + ( + Pin(50 50 50 20 "VCC" 0x201) + Pin(150 50 50 20 "GND" 0x01) + Pin(250 50 50 20 "D0" 0x01) + Pin(350 50 50 20 "D1" 0x01) + Pin(450 50 50 20 "D3" 0x01) + Pin(550 50 50 20 "D4" 0x01) + Pin(650 50 50 20 "D6" 0x01) + Pin(750 50 50 20 "D7" 0x01) + Pin(850 50 50 20 "D8" 0x01) + Pin(950 50 50 20 "GND" 0x01) + Pin(50 150 50 20 "VCC" 0x01) + Pin(150 150 50 20 "GND" 0x01) + Pin(250 150 50 20 "GND" 0x01) + Pin(350 150 50 20 "/SENSE" 0x01) + Pin(450 150 50 20 "D2" 0x01) + Pin(550 150 50 20 "D5" 0x01) + Pin(650 150 50 20 "GND" 0x01) + Pin(750 150 50 20 "VCC" 0x01) + Pin(850 150 50 20 "D10" 0x01) + Pin(950 150 50 20 "D11" 0x01) + Pin(50 250 50 20 "GND" 0x01) + Pin(150 250 50 20 "CLK" 0x01) + Pin(250 250 50 20 "GND" 0x01) + Pin(750 250 50 20 "D9" 0x01) + Pin(850 250 50 20 "D13" 0x01) + Pin(950 250 50 20 "D14" 0x01) + Pin(50 350 50 20 "/RESET" 0x01) + Pin(150 350 50 20 "GND" 0x01) + Pin(850 350 50 20 "D12" 0x01) + Pin(950 350 50 20 "D15" 0x01) + Pin(50 450 50 20 "NC" 0x01) + Pin(150 450 50 20 "VCC" 0x01) + Pin(850 450 50 20 "VCC" 0x01) + Pin(950 450 50 20 "GND" 0x01) + Pin(50 550 50 20 "/SIZE" 0x01) + Pin(150 550 50 20 "GND" 0x01) + Pin(850 550 50 20 "D17" 0x01) + Pin(950 550 50 20 "D16" 0x01) + Pin(50 650 50 20 "/DS" 0x01) + Pin(150 650 50 20 "A4" 0x01) + Pin(850 650 50 20 "D20" 0x01) + Pin(950 650 50 20 "D18" 0x01) + Pin(50 750 50 20 "/AS" 0x01) + Pin(150 750 50 20 "A2" 0x01) + Pin(250 750 50 20 "A0" 0x01) + Pin(750 750 50 20 "VCC" 0x01) + Pin(850 750 50 20 "GND" 0x01) + Pin(950 750 50 20 "D19" 0x01) + Pin(50 850 50 20 "A3" 0x01) + Pin(150 850 50 20 "VCC" 0x01) + Pin(250 850 50 20 "/CS" 0x01) + Pin(350 850 50 20 "/DSACK0" 0x01) + Pin(450 850 50 20 "D31" 0x01) + Pin(550 850 50 20 "D28" 0x01) + Pin(650 850 50 20 "D25" 0x01) + Pin(750 850 50 20 "GND" 0x01) + Pin(850 850 50 20 "D23" 0x01) + Pin(950 850 50 20 "D21" 0x01) + Pin(50 950 50 20 "A1" 0x01) + Pin(150 950 50 20 "R/W" 0x01) + Pin(250 950 50 20 "GND" 0x01) + Pin(350 950 50 20 "/DSACK1" 0x01) + Pin(450 950 50 20 "D30" 0x01) + Pin(550 950 50 20 "D29" 0x01) + Pin(650 950 50 20 "D27" 0x01) + Pin(750 950 50 20 "D26" 0x01) + Pin(850 950 50 20 "D24" 0x01) + Pin(950 950 50 20 "D22" 0x01) + ElementLine(30 0 1000 0 20) + ElementLine(1000 0 1000 1000 20) + ElementLine(1000 1000 0 1000 20) + ElementLine(0 1000 0 30 20) + ElementLine(0 30 30 0 20) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) + ) + +T24,9 +Description_generic8_dil`generic' +T25,33 +Description_geda_JUMPER21`Jumper, i.e. single row headers' +T22,40 +Description_geda_DIP14`Dual in-line package, narrow (300 mil)' +T17,20 +Description_BC550bipolar-N transistor +T16,3 +Param2_74651_dil300 +T16,3 +Param2_74244_dil300 +T23,2 +Param1_generic20smd_dil20 +T11,72 +PKG_QFP_65LPKG_GENERIC_QFP(`$1',`$2',`$3',`$4',`$5', `65',`40',`20',`100',`0',`$6') +T16,40 +PKG_DIN41651_20SPKG_DIN41_651STAND(`$1', `$2', `$3', 20) +T25,33 +Description_geda_JUMPER22`Jumper, i.e. single row headers' +T21,61 +Description_74192_dil`syncronous 4bit BCD up/down counter w. dual-clock and clear' +T21,18 +Description_74155_dil`2 2-to-4 decoder' +T20,16 +Description_7401_dil`4 dual-NAND OC' +T17,1 +Param1_connector42 +T14,45 +PKG_QFN40_6_EPPKG_QFN_50S(`$1',`$2',`$3', `40', `6', `410') +T19,26 +Description_uA7906C-6V 1.5A voltage regulator +T25,33 +Description_geda_JUMPER23`Jumper, i.e. single row headers' +T22,40 +Description_geda_DIP16`Dual in-line package, narrow (300 mil)' +T21,41 +Description_74384_dil`8bit by 1bit twos-complement multiplier' +T17,104 +PinList_74623_dil`GAB',`A1',`A2',`A3',`A4',`A5',`A6',`A7',`A8',`Gnd',`B8',`B7',`B6',`B5',`B4',`B3',`B2',`B1',`/GBA',`Vcc' +T17,85 +PinList_74290_dil`R9(1)',`NC',`R9(2)',`Qc',`Qb',`NC',`Gnd',`Qd',`Qa',`CKA',`CKB',`R0(1)',`R0(2)',`Vcc' +T17,89 +PinList_74253_dil`/1G',`N',`1C3',`1C2',`1C1',`1C0',`1Y',`Gnd',`2Y',`2C0',`2C1',`2C2',`2C3',`A',`/2G',`Vcc' +T16,3 +Param2_74628_dil300 +T16,3 +Param2_74295_dil300 +T16,3 +Param2_74258_dil300 +T16,2 +Param1_74540_dil20 +T16,2 +Param1_74170_dil16 +T16,2 +Param1_74133_dil16 +T15,2 +Param1_2716_dil24 +T11,55 +PKG_TSSOP56COMMON_SMT_DIL_MM(`$1',`$2',`$3', 56, 30, 150, 50, 610) +T13,94 +PKG_SMT_DIODECOMMON_SMT_2PAD_MIL(`$1', `$2', `$3', + `eval(($4*1000)/254)', `eval(($5*1000)/254)', 30, 1) +T19,41 +PKG_CANDK_ES02MSABEPKG_CANDK_ES_SMT_SWITCH(`$1', `$2', `$3') +T25,33 +Description_geda_JUMPER24`Jumper, i.e. single row headers' +T17,23 +Description_BC516Darlington-P transistor +T21,28 +Description_74169_dil`sync. 4bit up/down counter' +T20,17 +Description_7415_dil`3 triple-AND OC' +T16,102 +PinList_7485_dil`B3',`ABin',`A>Bout',`A=Bout',`A +PCB amp Footprint Library + +

    PCB amp Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    AMP_MICTOR_767054_1, Amp Mictor Connectors AMP_MICTOR_767054_1.fp
    AMP_MICTOR_767054_2, Amp Mictor Connectors AMP_MICTOR_767054_2.fp
    AMP_MICTOR_767054_3, Amp Mictor Connectors AMP_MICTOR_767054_3.fp
    AMP_MICTOR_767054_4, Amp Mictor Connectors AMP_MICTOR_767054_4.fp
    AMP_MICTOR_767054_5, Amp Mictor Connectors AMP_MICTOR_767054_5.fp
    AMP_MICTOR_767054_6, Amp Mictor Connectors AMP_MICTOR_767054_6.fp
    AMP_MICTOR_767054_7, Amp Mictor Connectors AMP_MICTOR_767054_7.fp
    + + Index: oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1229.fp =================================================================== --- oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1229.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1229.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "Amphenol ARFX1229 Vertical SMA Connector (M)" "" "AMPHENOL_ARFX1229" -50 290 0 100 0x00) +( + Pin(100 100 100 67 "1" 0x01) + Pin(0 0 100 67 "2" 0x01) + Pin(0 200 100 67 "3" 0x01) + Pin(200 0 100 67 "4" 0x01) + Pin(200 200 100 67 "5" 0x01) + # silk screen + # ends + ElementLine(-70 -70 -70 270 10) + ElementLine(270 270 270 -70 10) + ElementLine(-70 -70 270 -70 10) + ElementLine(270 270 -70 270 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1230.fp =================================================================== --- oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1230.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1230.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "Amphenol ARFX1230 Right Angle SMA Connector (M)" "" "AMPHENOL_ARFX1230" -50 290 0 100 0x00) +( + Pin(100 100 100 67 "1" 0x01) + Pin(0 0 100 67 "2" 0x01) + Pin(0 200 100 67 "3" 0x01) + Pin(200 0 100 67 "4" 0x01) + Pin(200 200 100 67 "5" 0x01) + # silk screen + # ends + ElementLine(-70 -70 -70 270 10) + ElementLine(270 270 270 -70 10) + ElementLine(-70 -70 270 -70 10) + ElementLine(270 270 -70 270 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1231.fp =================================================================== --- oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1231.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1231.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "Amphenol ARFX1231 Vertical SMA Connector (F)" "" "AMPHENOL_ARFX1231" -50 290 0 100 0x00) +( + Pin(100 100 100 67 "1" 0x01) + Pin(0 0 100 67 "2" 0x01) + Pin(0 200 100 67 "3" 0x01) + Pin(200 0 100 67 "4" 0x01) + Pin(200 200 100 67 "5" 0x01) + # silk screen + # ends + ElementLine(-70 -70 -70 270 10) + ElementLine(270 270 270 -70 10) + ElementLine(-70 -70 270 -70 10) + ElementLine(270 270 -70 270 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1232.fp =================================================================== --- oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1232.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/amphenol/AMPHENOL_ARFX1232.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "Amphenol ARFX1232 Right Angle SMA Connector (F)" "" "AMPHENOL_ARFX1232" -50 290 0 100 0x00) +( + Pin(100 100 100 67 "1" 0x01) + Pin(0 0 100 67 "2" 0x01) + Pin(0 200 100 67 "3" 0x01) + Pin(200 0 100 67 "4" 0x01) + Pin(200 200 100 67 "5" 0x01) + # silk screen + # ends + ElementLine(-70 -70 -70 270 10) + ElementLine(270 270 270 -70 10) + ElementLine(-70 -70 270 -70 10) + ElementLine(270 270 -70 270 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/amphenol/index.html =================================================================== --- oldlib/lib/pcblib-newlib/amphenol/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/amphenol/index.html (revision 1033) @@ -0,0 +1,30 @@ + +PCB amphenol Footprint Library + +

    PCB amphenol Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    AMPHENOL_ARFX1229, Amphenol ARFX1229 Vertical SMA Connector (M) AMPHENOL_ARFX1229.fp
    AMPHENOL_ARFX1230, Amphenol ARFX1230 Right Angle SMA Connector (M) AMPHENOL_ARFX1230.fp
    AMPHENOL_ARFX1231, Amphenol ARFX1231 Vertical SMA Connector (F) AMPHENOL_ARFX1231.fp
    AMPHENOL_ARFX1232, Amphenol ARFX1232 Right Angle SMA Connector (F) AMPHENOL_ARFX1232.fp
    + + Index: oldlib/lib/pcblib-newlib/bourns/BOURNS_3224G.fp =================================================================== --- oldlib/lib/pcblib-newlib/bourns/BOURNS_3224G.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/bourns/BOURNS_3224G.fp (revision 1033) @@ -0,0 +1,36 @@ + # pin 1,3 width, length (1/100 mm) + # pin 2 width, length (1/100 mm) + # spacing from pad center to pad center between 2 and 1,3 (1/100 mm) + # spacing from pad center to pad center between 1 and 3 (1/100 mm) + # package width (1/100 mm) + # silkscreen width (mils) + # how much space to leave around the part before the + # silk screen (mils) + # lower right corner for silk screen (mil) + # refdes text size (mil) + # x,y coordinates for refdes label (mil) +Element(0x00 "Bourns 3224 Series SMT Trim Pot" "" "BOURNS_3224G" -140 -167 0 100 0x00) +( +# pin 1 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(102 45 + 102 45 50 "1" 0x100) +# pin 2 +# Pads which have the Y (width) pad dimension greater + # than or equal to the X (length) pad dimension + Pad(-102 -14 + -102 14 50 "2" 0x100) +# pin 3 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(102 -45 + 102 -45 50 "3" 0x100) +# Silk screen around package +ElementLine( 140 107 140 -107 10) +ElementLine( 140 -107 -140 -107 10) +ElementLine(-140 -107 -140 107 10) +ElementLine(-140 107 140 107 10) +# Mark at the center of the part +Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/bourns/BOURNS_3224J.fp =================================================================== --- oldlib/lib/pcblib-newlib/bourns/BOURNS_3224J.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/bourns/BOURNS_3224J.fp (revision 1033) @@ -0,0 +1,36 @@ + # pin 1,3 width, length (1/100 mm) + # pin 2 width, length (1/100 mm) + # spacing from pad center to pad center between 2 and 1,3 (1/100 mm) + # spacing from pad center to pad center between 1 and 3 (1/100 mm) + # package width (1/100 mm) + # silkscreen width (mils) + # how much space to leave around the part before the + # silk screen (mils) + # lower right corner for silk screen (mil) + # refdes text size (mil) + # x,y coordinates for refdes label (mil) +Element(0x00 "Bourns 3224 Series SMT Trim Pot" "" "BOURNS_3224J" -131 -167 0 100 0x00) +( +# pin 1 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(64 45 + 92 45 51 "1" 0x100) +# pin 2 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(-78 0 + -78 0 78 "2" 0x100) +# pin 3 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(64 -45 + 92 -45 51 "3" 0x100) +# Silk screen around package +ElementLine( 131 107 131 -107 10) +ElementLine( 131 -107 -131 -107 10) +ElementLine(-131 -107 -131 107 10) +ElementLine(-131 107 131 107 10) +# Mark at the center of the part +Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/bourns/BOURNS_3224W.fp =================================================================== --- oldlib/lib/pcblib-newlib/bourns/BOURNS_3224W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/bourns/BOURNS_3224W.fp (revision 1033) @@ -0,0 +1,36 @@ + # pin 1,3 width, length (1/100 mm) + # pin 2 width, length (1/100 mm) + # spacing from pad center to pad center between 2 and 1,3 (1/100 mm) + # spacing from pad center to pad center between 1 and 3 (1/100 mm) + # package width (1/100 mm) + # silkscreen width (mils) + # how much space to leave around the part before the + # silk screen (mils) + # lower right corner for silk screen (mil) + # refdes text size (mil) + # x,y coordinates for refdes label (mil) +Element(0x00 "Bourns 3224 Series SMT Trim Pot" "" "BOURNS_3224W" -101 -167 0 100 0x00) +( +# pin 1 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(51 50 + 62 50 51 "1" 0x100) +# pin 2 +# Pads which have the Y (width) pad dimension greater + # than or equal to the X (length) pad dimension + Pad(-57 -7 + -57 7 62 "2" 0x100) +# pin 3 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(51 -50 + 62 -50 51 "3" 0x100) +# Silk screen around package +ElementLine( 101 107 101 -107 10) +ElementLine( 101 -107 -101 -107 10) +ElementLine(-101 -107 -101 107 10) +ElementLine(-101 107 101 107 10) +# Mark at the center of the part +Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/bourns/BOURNS_3224X.fp =================================================================== --- oldlib/lib/pcblib-newlib/bourns/BOURNS_3224X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/bourns/BOURNS_3224X.fp (revision 1033) @@ -0,0 +1,36 @@ + # pin 1,3 width, length (1/100 mm) + # pin 2 width, length (1/100 mm) + # spacing from pad center to pad center between 2 and 1,3 (1/100 mm) + # spacing from pad center to pad center between 1 and 3 (1/100 mm) + # package width (1/100 mm) + # silkscreen width (mils) + # how much space to leave around the part before the + # silk screen (mils) + # lower right corner for silk screen (mil) + # refdes text size (mil) + # x,y coordinates for refdes label (mil) +Element(0x00 "Bourns 3224 Series SMT Trim Pot" "" "BOURNS_3224X" -150 -167 0 100 0x00) +( +# pin 1 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(88 50 + 111 50 51 "1" 0x100) +# pin 2 +# Pads which have the Y (width) pad dimension greater + # than or equal to the X (length) pad dimension + Pad(-100 -1 + -100 1 74 "2" 0x100) +# pin 3 +# Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(88 -50 + 111 -50 51 "3" 0x100) +# Silk screen around package +ElementLine( 150 107 150 -107 10) +ElementLine( 150 -107 -150 -107 10) +ElementLine(-150 -107 -150 107 10) +ElementLine(-150 107 150 107 10) +# Mark at the center of the part +Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/bourns/index.html =================================================================== --- oldlib/lib/pcblib-newlib/bourns/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/bourns/index.html (revision 1033) @@ -0,0 +1,30 @@ + +PCB bourns Footprint Library + +

    PCB bourns Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    BOURNS_3224G, Bourns 3224 Series SMT Trim Pot BOURNS_3224G.fp
    BOURNS_3224J, Bourns 3224 Series SMT Trim Pot BOURNS_3224J.fp
    BOURNS_3224W, Bourns 3224 Series SMT Trim Pot BOURNS_3224W.fp
    BOURNS_3224X, Bourns 3224 Series SMT Trim Pot BOURNS_3224X.fp
    + + Index: oldlib/lib/pcblib-newlib/broken.html =================================================================== --- oldlib/lib/pcblib-newlib/broken.html (nonexistent) +++ oldlib/lib/pcblib-newlib/broken.html (revision 1033) @@ -0,0 +1,19 @@ + + + + + + + + + + + + + + + + +
    LibraryCommentFootprint NameBroken Command
    ~genericMENU_QFPQFP menu, generic Skipping QFP builder menu
    + + Index: oldlib/lib/pcblib-newlib/candk/CANDK_ES01MSABE.fp =================================================================== --- oldlib/lib/pcblib-newlib/candk/CANDK_ES01MSABE.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/candk/CANDK_ES01MSABE.fp (revision 1033) @@ -0,0 +1,61 @@ + # pad 1,2,3 width (1/100 mil) + # pad 1,2,3 length (1/100 mil) + # x value for pads 1,3 (1/100 mil) + # y value for pads 1,2,3 (1/100 mil) + # mounting pad width (1/100 mil) + # mounting pad length (1/100 mil) + # x value for the mounting pads (1/100 mil) + # y value for the mounting pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. + # The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # top edge of switch body (1/100 mil) + # bottom edge of switch body (1/100 mil) + # how much the switch extends beyond the body + # y value for the far end of the switch + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # X values for silk on sides and bottom of switch + # bottom edge of the switch body + # bottom edge of upper pads + # bottom edge of the lower pads + # top edge of the switch body + # top edge of the switch +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_candk_CANDK_ES01MSABE" "" "`CANDK_ES01MSABE'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +# the signal pads +Pad[ -10000 15150 -10000 19850 3500 1000 4500 "1" "1" "square"] +Pad[ 0 15150 0 19850 3500 1000 4500 "2" "2" "square"] +Pad[ 10000 15150 10000 19850 3500 1000 4500 "3" "3" "square"] +# the mounting pads +Pad[ -19600 -17500 -22750 -17500 6000 1000 7000 "4" "4" "square"] +Pad[ 19600 -17500 22750 -17500 6000 1000 7000 "4" "4" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +# bottom edge +ElementLine[ 21050 14800 13050 14800 1000 ] +ElementLine[-21050 14800 -13050 14800 1000 ] +ElementLine[-13050 14800 -13050 22900 1000 ] +ElementLine[ 13050 14800 13050 22900 1000 ] +ElementLine[-13050 22900 13050 22900 1000 ] +# left/right +ElementLine[ 21050 14800 21050 -13200 1000 ] +ElementLine[-21050 14800 -21050 -13200 1000 ] +# top edge +ElementLine[-21050 -34600 21050 -34600 1000 ] +ElementLine[-21050 -23800 21050 -23800 1000 ] +ElementLine[-21050 -21800 -21050 -34600 1000 ] +ElementLine[ 21050 -21800 21050 -34600 1000 ] +# cross at top where switch moves +ElementLine[-21050 -34600 21050 -23800 1000 ] +ElementLine[-21050 -23800 21050 -34600 1000 ] +) Index: oldlib/lib/pcblib-newlib/candk/CANDK_ES02MSABE.fp =================================================================== --- oldlib/lib/pcblib-newlib/candk/CANDK_ES02MSABE.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/candk/CANDK_ES02MSABE.fp (revision 1033) @@ -0,0 +1,61 @@ + # pad 1,2,3 width (1/100 mil) + # pad 1,2,3 length (1/100 mil) + # x value for pads 1,3 (1/100 mil) + # y value for pads 1,2,3 (1/100 mil) + # mounting pad width (1/100 mil) + # mounting pad length (1/100 mil) + # x value for the mounting pads (1/100 mil) + # y value for the mounting pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. + # The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # top edge of switch body (1/100 mil) + # bottom edge of switch body (1/100 mil) + # how much the switch extends beyond the body + # y value for the far end of the switch + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # X values for silk on sides and bottom of switch + # bottom edge of the switch body + # bottom edge of upper pads + # bottom edge of the lower pads + # top edge of the switch body + # top edge of the switch +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_candk_CANDK_ES02MSABE" "" "`CANDK_ES02MSABE'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +# the signal pads +Pad[ -10000 15150 -10000 19850 3500 1000 4500 "1" "1" "square"] +Pad[ 0 15150 0 19850 3500 1000 4500 "2" "2" "square"] +Pad[ 10000 15150 10000 19850 3500 1000 4500 "3" "3" "square"] +# the mounting pads +Pad[ -19600 -17500 -22750 -17500 6000 1000 7000 "4" "4" "square"] +Pad[ 19600 -17500 22750 -17500 6000 1000 7000 "4" "4" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +# bottom edge +ElementLine[ 21050 14800 13050 14800 1000 ] +ElementLine[-21050 14800 -13050 14800 1000 ] +ElementLine[-13050 14800 -13050 22900 1000 ] +ElementLine[ 13050 14800 13050 22900 1000 ] +ElementLine[-13050 22900 13050 22900 1000 ] +# left/right +ElementLine[ 21050 14800 21050 -13200 1000 ] +ElementLine[-21050 14800 -21050 -13200 1000 ] +# top edge +ElementLine[-21050 -34600 21050 -34600 1000 ] +ElementLine[-21050 -23800 21050 -23800 1000 ] +ElementLine[-21050 -21800 -21050 -34600 1000 ] +ElementLine[ 21050 -21800 21050 -34600 1000 ] +# cross at top where switch moves +ElementLine[-21050 -34600 21050 -23800 1000 ] +ElementLine[-21050 -23800 21050 -34600 1000 ] +) Index: oldlib/lib/pcblib-newlib/candk/CANDK_ES03MSABE.fp =================================================================== --- oldlib/lib/pcblib-newlib/candk/CANDK_ES03MSABE.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/candk/CANDK_ES03MSABE.fp (revision 1033) @@ -0,0 +1,61 @@ + # pad 1,2,3 width (1/100 mil) + # pad 1,2,3 length (1/100 mil) + # x value for pads 1,3 (1/100 mil) + # y value for pads 1,2,3 (1/100 mil) + # mounting pad width (1/100 mil) + # mounting pad length (1/100 mil) + # x value for the mounting pads (1/100 mil) + # y value for the mounting pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. + # The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # top edge of switch body (1/100 mil) + # bottom edge of switch body (1/100 mil) + # how much the switch extends beyond the body + # y value for the far end of the switch + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # X values for silk on sides and bottom of switch + # bottom edge of the switch body + # bottom edge of upper pads + # bottom edge of the lower pads + # top edge of the switch body + # top edge of the switch +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_candk_CANDK_ES03MSABE" "" "`CANDK_ES03MSABE'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +# the signal pads +Pad[ -10000 15150 -10000 19850 3500 1000 4500 "1" "1" "square"] +Pad[ 0 15150 0 19850 3500 1000 4500 "2" "2" "square"] +Pad[ 10000 15150 10000 19850 3500 1000 4500 "3" "3" "square"] +# the mounting pads +Pad[ -19600 -17500 -22750 -17500 6000 1000 7000 "4" "4" "square"] +Pad[ 19600 -17500 22750 -17500 6000 1000 7000 "4" "4" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +# bottom edge +ElementLine[ 21050 14800 13050 14800 1000 ] +ElementLine[-21050 14800 -13050 14800 1000 ] +ElementLine[-13050 14800 -13050 22900 1000 ] +ElementLine[ 13050 14800 13050 22900 1000 ] +ElementLine[-13050 22900 13050 22900 1000 ] +# left/right +ElementLine[ 21050 14800 21050 -13200 1000 ] +ElementLine[-21050 14800 -21050 -13200 1000 ] +# top edge +ElementLine[-21050 -34600 21050 -34600 1000 ] +ElementLine[-21050 -23800 21050 -23800 1000 ] +ElementLine[-21050 -21800 -21050 -34600 1000 ] +ElementLine[ 21050 -21800 21050 -34600 1000 ] +# cross at top where switch moves +ElementLine[-21050 -34600 21050 -23800 1000 ] +ElementLine[-21050 -23800 21050 -34600 1000 ] +) Index: oldlib/lib/pcblib-newlib/candk/index.html =================================================================== --- oldlib/lib/pcblib-newlib/candk/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/candk/index.html (revision 1033) @@ -0,0 +1,26 @@ + +PCB candk Footprint Library + +

    PCB candk Footprint Library

    + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    CANDK_ES01MSABE, C&K ES Series Switches CANDK_ES01MSABE.fp
    CANDK_ES02MSABE, C&K ES Series Switches CANDK_ES02MSABE.fp
    CANDK_ES03MSABE, C&K ES Series Switches CANDK_ES03MSABE.fp
    + + Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 1 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 1 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 1 2.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "connector 2x1 pins" "" "CONNECTOR 1 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + ElementLine(0 0 0 100 10) + ElementLine(0 100 200 100 10) + ElementLine(200 100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 10 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 10 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 10 2.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "connector 2x10 pins" "" "CONNECTOR 10 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 200 1000 10) + ElementLine(200 1000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 2 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 2 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 2 2.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "connector 2x2 pins" "" "CONNECTOR 2 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + ElementLine(0 0 0 200 10) + ElementLine(0 200 200 200 10) + ElementLine(200 200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 25 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 25 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 25 2.fp (revision 1033) @@ -0,0 +1,60 @@ +Element(0x00 "single-ended SCSI 2x25 pins" "" "CONNECTOR 25 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "Gnd" 0x101) + Pin(150 50 60 38 "/DB0" 0x01) + Pin(50 150 60 38 "Gnd" 0x01) + Pin(150 150 60 38 "/DB1" 0x01) + Pin(50 250 60 38 "Gnd" 0x01) + Pin(150 250 60 38 "/DB2" 0x01) + Pin(50 350 60 38 "Gnd" 0x01) + Pin(150 350 60 38 "/DB3" 0x01) + Pin(50 450 60 38 "Gnd" 0x01) + Pin(150 450 60 38 "/DB4" 0x01) + Pin(50 550 60 38 "Gnd" 0x01) + Pin(150 550 60 38 "/DB5" 0x01) + Pin(50 650 60 38 "Gnd" 0x01) + Pin(150 650 60 38 "/DB6" 0x01) + Pin(50 750 60 38 "Gnd" 0x01) + Pin(150 750 60 38 "/DB7" 0x01) + Pin(50 850 60 38 "Gnd" 0x01) + Pin(150 850 60 38 "/DBP" 0x01) + Pin(50 950 60 38 "Gnd" 0x01) + Pin(150 950 60 38 "NC" 0x01) + Pin(50 1050 60 38 "Gnd" 0x01) + Pin(150 1050 60 38 "NC" 0x01) + Pin(50 1150 60 38 "Gnd" 0x01) + Pin(150 1150 60 38 "NC" 0x01) + Pin(50 1250 60 38 "NC" 0x01) + Pin(150 1250 60 38 "TermPwr" 0x01) + Pin(50 1350 60 38 "Gnd" 0x01) + Pin(150 1350 60 38 "NC" 0x01) + Pin(50 1450 60 38 "Gnd" 0x01) + Pin(150 1450 60 38 "NC" 0x01) + Pin(50 1550 60 38 "Gnd" 0x01) + Pin(150 1550 60 38 "/Atn" 0x01) + Pin(50 1650 60 38 "Gnd" 0x01) + Pin(150 1650 60 38 "NC" 0x01) + Pin(50 1750 60 38 "Gnd" 0x01) + Pin(150 1750 60 38 "/Bsy" 0x01) + Pin(50 1850 60 38 "Gnd" 0x01) + Pin(150 1850 60 38 "/Ack" 0x01) + Pin(50 1950 60 38 "Gnd" 0x01) + Pin(150 1950 60 38 "/Rst" 0x01) + Pin(50 2050 60 38 "Gnd" 0x01) + Pin(150 2050 60 38 "/Msg" 0x01) + Pin(50 2150 60 38 "Gnd" 0x01) + Pin(150 2150 60 38 "/Sel" 0x01) + Pin(50 2250 60 38 "Gnd" 0x01) + Pin(150 2250 60 38 "/CD" 0x01) + Pin(50 2350 60 38 "Gnd" 0x01) + Pin(150 2350 60 38 "/Req" 0x01) + Pin(50 2450 60 38 "Gnd" 0x01) + Pin(150 2450 60 38 "/IO" 0x01) + ElementLine(0 0 0 2500 10) + ElementLine(0 2500 200 2500 10) + ElementLine(200 2500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 3 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 3 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 3 2.fp (revision 1033) @@ -0,0 +1,16 @@ +Element(0x00 "connector 2x3 pins" "" "CONNECTOR 3 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + ElementLine(0 0 0 300 10) + ElementLine(0 300 200 300 10) + ElementLine(200 300 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 4 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 4 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 4 2.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "connector 2x4 pins" "" "CONNECTOR 4 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 200 400 10) + ElementLine(200 400 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 4 3.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 4 3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 4 3.fp (revision 1033) @@ -0,0 +1,22 @@ +Element(0x00 "connector 3x4 pins" "" "CONNECTOR 4 3" 360 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(250 50 60 38 "3" 0x01) + Pin(50 150 60 38 "4" 0x01) + Pin(150 150 60 38 "5" 0x01) + Pin(250 150 60 38 "6" 0x01) + Pin(50 250 60 38 "7" 0x01) + Pin(150 250 60 38 "8" 0x01) + Pin(250 250 60 38 "9" 0x01) + Pin(50 350 60 38 "10" 0x01) + Pin(150 350 60 38 "11" 0x01) + Pin(250 350 60 38 "12" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 300 400 10) + ElementLine(300 400 300 0 10) + ElementLine(300 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 5 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 5 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 5 2.fp (revision 1033) @@ -0,0 +1,20 @@ +Element(0x00 "connector 2x5 pins" "" "CONNECTOR 5 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + ElementLine(0 0 0 500 10) + ElementLine(0 500 200 500 10) + ElementLine(200 500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 5 3.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 5 3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 5 3.fp (revision 1033) @@ -0,0 +1,25 @@ +Element(0x00 "connector 3x5 pins" "" "CONNECTOR 5 3" 360 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(250 50 60 38 "3" 0x01) + Pin(50 150 60 38 "4" 0x01) + Pin(150 150 60 38 "5" 0x01) + Pin(250 150 60 38 "6" 0x01) + Pin(50 250 60 38 "7" 0x01) + Pin(150 250 60 38 "8" 0x01) + Pin(250 250 60 38 "9" 0x01) + Pin(50 350 60 38 "10" 0x01) + Pin(150 350 60 38 "11" 0x01) + Pin(250 350 60 38 "12" 0x01) + Pin(50 450 60 38 "13" 0x01) + Pin(150 450 60 38 "14" 0x01) + Pin(250 450 60 38 "15" 0x01) + ElementLine(0 0 0 500 10) + ElementLine(0 500 300 500 10) + ElementLine(300 500 300 0 10) + ElementLine(300 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 6 3.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 6 3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 6 3.fp (revision 1033) @@ -0,0 +1,28 @@ +Element(0x00 "connector 3x6 pins" "" "CONNECTOR 6 3" 360 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(250 50 60 38 "3" 0x01) + Pin(50 150 60 38 "4" 0x01) + Pin(150 150 60 38 "5" 0x01) + Pin(250 150 60 38 "6" 0x01) + Pin(50 250 60 38 "7" 0x01) + Pin(150 250 60 38 "8" 0x01) + Pin(250 250 60 38 "9" 0x01) + Pin(50 350 60 38 "10" 0x01) + Pin(150 350 60 38 "11" 0x01) + Pin(250 350 60 38 "12" 0x01) + Pin(50 450 60 38 "13" 0x01) + Pin(150 450 60 38 "14" 0x01) + Pin(250 450 60 38 "15" 0x01) + Pin(50 550 60 38 "16" 0x01) + Pin(150 550 60 38 "17" 0x01) + Pin(250 550 60 38 "18" 0x01) + ElementLine(0 0 0 600 10) + ElementLine(0 600 300 600 10) + ElementLine(300 600 300 0 10) + ElementLine(300 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 7 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 7 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 7 2.fp (revision 1033) @@ -0,0 +1,24 @@ +Element(0x00 "connector 2x7 pins" "" "CONNECTOR 7 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 200 700 10) + ElementLine(200 700 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/CONNECTOR 8 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/CONNECTOR 8 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/CONNECTOR 8 2.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "connector 2x8 pins" "" "CONNECTOR 8 2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 200 800 10) + ElementLine(200 800 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE ab.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE ab.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE ab.fp (revision 1033) @@ -0,0 +1,89 @@ +Element(0x00 "DIN41.612 row a+b female" "" "DIN41_612FEMALE ab" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "a1" 0x101) + Pin(200 500 60 30 "a2" 0x01) + Pin(200 600 60 30 "a3" 0x01) + Pin(200 700 60 30 "a4" 0x01) + Pin(200 800 60 30 "a5" 0x01) + Pin(200 900 60 30 "a6" 0x01) + Pin(200 1000 60 30 "a7" 0x01) + Pin(200 1100 60 30 "a8" 0x01) + Pin(200 1200 60 30 "a9" 0x01) + Pin(200 1300 60 30 "a10" 0x01) + Pin(200 1400 60 30 "a11" 0x01) + Pin(200 1500 60 30 "a12" 0x01) + Pin(200 1600 60 30 "a13" 0x01) + Pin(200 1700 60 30 "a14" 0x01) + Pin(200 1800 60 30 "a15" 0x01) + Pin(200 1900 60 30 "a16" 0x01) + Pin(200 2000 60 30 "a17" 0x01) + Pin(200 2100 60 30 "a18" 0x01) + Pin(200 2200 60 30 "a19" 0x01) + Pin(200 2300 60 30 "a20" 0x01) + Pin(200 2400 60 30 "a21" 0x01) + Pin(200 2500 60 30 "a22" 0x01) + Pin(200 2600 60 30 "a23" 0x01) + Pin(200 2700 60 30 "a24" 0x01) + Pin(200 2800 60 30 "a25" 0x01) + Pin(200 2900 60 30 "a26" 0x01) + Pin(200 3000 60 30 "a27" 0x01) + Pin(200 3100 60 30 "a28" 0x01) + Pin(200 3200 60 30 "a29" 0x01) + Pin(200 3300 60 30 "a30" 0x01) + Pin(200 3400 60 30 "a31" 0x01) + Pin(200 3500 60 30 "a32" 0x01) + # Reihe b + Pin(300 400 60 30 "b1" 0x01) + Pin(300 500 60 30 "b2" 0x01) + Pin(300 600 60 30 "b3" 0x01) + Pin(300 700 60 30 "b4" 0x01) + Pin(300 800 60 30 "b5" 0x01) + Pin(300 900 60 30 "b6" 0x01) + Pin(300 1000 60 30 "b7" 0x01) + Pin(300 1100 60 30 "b8" 0x01) + Pin(300 1200 60 30 "b9" 0x01) + Pin(300 1300 60 30 "b10" 0x01) + Pin(300 1400 60 30 "b11" 0x01) + Pin(300 1500 60 30 "b12" 0x01) + Pin(300 1600 60 30 "b13" 0x01) + Pin(300 1700 60 30 "b14" 0x01) + Pin(300 1800 60 30 "b15" 0x01) + Pin(300 1900 60 30 "b16" 0x01) + Pin(300 2000 60 30 "b17" 0x01) + Pin(300 2100 60 30 "b18" 0x01) + Pin(300 2200 60 30 "b19" 0x01) + Pin(300 2300 60 30 "b20" 0x01) + Pin(300 2400 60 30 "b21" 0x01) + Pin(300 2500 60 30 "b22" 0x01) + Pin(300 2600 60 30 "b23" 0x01) + Pin(300 2700 60 30 "b24" 0x01) + Pin(300 2800 60 30 "b25" 0x01) + Pin(300 2900 60 30 "b26" 0x01) + Pin(300 3000 60 30 "b27" 0x01) + Pin(300 3100 60 30 "b28" 0x01) + Pin(300 3200 60 30 "b29" 0x01) + Pin(300 3300 60 30 "b30" 0x01) + Pin(300 3400 60 30 "b31" 0x01) + Pin(300 3500 60 30 "b32" 0x01) + # Reihe c + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 3720 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 420 80 20) + ElementLine(420 80 420 3820 20) + ElementLine(420 3820 80 3820 20) + ElementLine( 80 3820 80 80 20) + # Innere Begrenzung + ElementLine(120 320 250 320 10) + ElementLine(250 320 250 360 10) + ElementLine(250 360 380 360 10) + ElementLine(380 360 380 3540 10) + ElementLine(380 3540 250 3540 10) + ElementLine(250 3540 250 3580 10) + ElementLine(250 3580 120 3580 10) + ElementLine(120 3580 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE abc.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE abc.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE abc.fp (revision 1033) @@ -0,0 +1,121 @@ +Element(0x00 "DIN41.612 row a+b+c female" "" "DIN41_612FEMALE abc" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "a1" 0x101) + Pin(200 500 60 30 "a2" 0x01) + Pin(200 600 60 30 "a3" 0x01) + Pin(200 700 60 30 "a4" 0x01) + Pin(200 800 60 30 "a5" 0x01) + Pin(200 900 60 30 "a6" 0x01) + Pin(200 1000 60 30 "a7" 0x01) + Pin(200 1100 60 30 "a8" 0x01) + Pin(200 1200 60 30 "a9" 0x01) + Pin(200 1300 60 30 "a10" 0x01) + Pin(200 1400 60 30 "a11" 0x01) + Pin(200 1500 60 30 "a12" 0x01) + Pin(200 1600 60 30 "a13" 0x01) + Pin(200 1700 60 30 "a14" 0x01) + Pin(200 1800 60 30 "a15" 0x01) + Pin(200 1900 60 30 "a16" 0x01) + Pin(200 2000 60 30 "a17" 0x01) + Pin(200 2100 60 30 "a18" 0x01) + Pin(200 2200 60 30 "a19" 0x01) + Pin(200 2300 60 30 "a20" 0x01) + Pin(200 2400 60 30 "a21" 0x01) + Pin(200 2500 60 30 "a22" 0x01) + Pin(200 2600 60 30 "a23" 0x01) + Pin(200 2700 60 30 "a24" 0x01) + Pin(200 2800 60 30 "a25" 0x01) + Pin(200 2900 60 30 "a26" 0x01) + Pin(200 3000 60 30 "a27" 0x01) + Pin(200 3100 60 30 "a28" 0x01) + Pin(200 3200 60 30 "a29" 0x01) + Pin(200 3300 60 30 "a30" 0x01) + Pin(200 3400 60 30 "a31" 0x01) + Pin(200 3500 60 30 "a32" 0x01) + # Reihe b + Pin(300 400 60 30 "b1" 0x01) + Pin(300 500 60 30 "b2" 0x01) + Pin(300 600 60 30 "b3" 0x01) + Pin(300 700 60 30 "b4" 0x01) + Pin(300 800 60 30 "b5" 0x01) + Pin(300 900 60 30 "b6" 0x01) + Pin(300 1000 60 30 "b7" 0x01) + Pin(300 1100 60 30 "b8" 0x01) + Pin(300 1200 60 30 "b9" 0x01) + Pin(300 1300 60 30 "b10" 0x01) + Pin(300 1400 60 30 "b11" 0x01) + Pin(300 1500 60 30 "b12" 0x01) + Pin(300 1600 60 30 "b13" 0x01) + Pin(300 1700 60 30 "b14" 0x01) + Pin(300 1800 60 30 "b15" 0x01) + Pin(300 1900 60 30 "b16" 0x01) + Pin(300 2000 60 30 "b17" 0x01) + Pin(300 2100 60 30 "b18" 0x01) + Pin(300 2200 60 30 "b19" 0x01) + Pin(300 2300 60 30 "b20" 0x01) + Pin(300 2400 60 30 "b21" 0x01) + Pin(300 2500 60 30 "b22" 0x01) + Pin(300 2600 60 30 "b23" 0x01) + Pin(300 2700 60 30 "b24" 0x01) + Pin(300 2800 60 30 "b25" 0x01) + Pin(300 2900 60 30 "b26" 0x01) + Pin(300 3000 60 30 "b27" 0x01) + Pin(300 3100 60 30 "b28" 0x01) + Pin(300 3200 60 30 "b29" 0x01) + Pin(300 3300 60 30 "b30" 0x01) + Pin(300 3400 60 30 "b31" 0x01) + Pin(300 3500 60 30 "b32" 0x01) + # Reihe c + Pin(400 400 60 30 "c1" 0x01) + Pin(400 500 60 30 "c2" 0x01) + Pin(400 600 60 30 "c3" 0x01) + Pin(400 700 60 30 "c4" 0x01) + Pin(400 800 60 30 "c5" 0x01) + Pin(400 900 60 30 "c6" 0x01) + Pin(400 1000 60 30 "c7" 0x01) + Pin(400 1100 60 30 "c8" 0x01) + Pin(400 1200 60 30 "c9" 0x01) + Pin(400 1300 60 30 "c10" 0x01) + Pin(400 1400 60 30 "c11" 0x01) + Pin(400 1500 60 30 "c12" 0x01) + Pin(400 1600 60 30 "c13" 0x01) + Pin(400 1700 60 30 "c14" 0x01) + Pin(400 1800 60 30 "c15" 0x01) + Pin(400 1900 60 30 "c16" 0x01) + Pin(400 2000 60 30 "c17" 0x01) + Pin(400 2100 60 30 "c18" 0x01) + Pin(400 2200 60 30 "c19" 0x01) + Pin(400 2300 60 30 "c20" 0x01) + Pin(400 2400 60 30 "c21" 0x01) + Pin(400 2500 60 30 "c22" 0x01) + Pin(400 2600 60 30 "c23" 0x01) + Pin(400 2700 60 30 "c24" 0x01) + Pin(400 2800 60 30 "c25" 0x01) + Pin(400 2900 60 30 "c26" 0x01) + Pin(400 3000 60 30 "c27" 0x01) + Pin(400 3100 60 30 "c28" 0x01) + Pin(400 3200 60 30 "c29" 0x01) + Pin(400 3300 60 30 "c30" 0x01) + Pin(400 3400 60 30 "c31" 0x01) + Pin(400 3500 60 30 "c32" 0x01) + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 3720 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 520 80 20) + ElementLine(520 80 520 3820 20) + ElementLine(520 3820 80 3820 20) + ElementLine( 80 3820 80 80 20) + # Innere Begrenzung + ElementLine(120 320 350 320 10) + ElementLine(350 320 350 360 10) + ElementLine(350 360 480 360 10) + ElementLine(480 360 480 3540 10) + ElementLine(480 3540 350 3540 10) + ElementLine(350 3540 350 3580 10) + ElementLine(350 3580 120 3580 10) + ElementLine(120 3580 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE ac.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE ac.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE ac.fp (revision 1033) @@ -0,0 +1,89 @@ +Element(0x00 "DIN41.612 row a+c female" "" "DIN41_612FEMALE ac" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "a1" 0x101) + Pin(200 500 60 30 "a2" 0x01) + Pin(200 600 60 30 "a3" 0x01) + Pin(200 700 60 30 "a4" 0x01) + Pin(200 800 60 30 "a5" 0x01) + Pin(200 900 60 30 "a6" 0x01) + Pin(200 1000 60 30 "a7" 0x01) + Pin(200 1100 60 30 "a8" 0x01) + Pin(200 1200 60 30 "a9" 0x01) + Pin(200 1300 60 30 "a10" 0x01) + Pin(200 1400 60 30 "a11" 0x01) + Pin(200 1500 60 30 "a12" 0x01) + Pin(200 1600 60 30 "a13" 0x01) + Pin(200 1700 60 30 "a14" 0x01) + Pin(200 1800 60 30 "a15" 0x01) + Pin(200 1900 60 30 "a16" 0x01) + Pin(200 2000 60 30 "a17" 0x01) + Pin(200 2100 60 30 "a18" 0x01) + Pin(200 2200 60 30 "a19" 0x01) + Pin(200 2300 60 30 "a20" 0x01) + Pin(200 2400 60 30 "a21" 0x01) + Pin(200 2500 60 30 "a22" 0x01) + Pin(200 2600 60 30 "a23" 0x01) + Pin(200 2700 60 30 "a24" 0x01) + Pin(200 2800 60 30 "a25" 0x01) + Pin(200 2900 60 30 "a26" 0x01) + Pin(200 3000 60 30 "a27" 0x01) + Pin(200 3100 60 30 "a28" 0x01) + Pin(200 3200 60 30 "a29" 0x01) + Pin(200 3300 60 30 "a30" 0x01) + Pin(200 3400 60 30 "a31" 0x01) + Pin(200 3500 60 30 "a32" 0x01) + # Reihe b + # Reihe c + Pin(400 400 60 30 "c1" 0x01) + Pin(400 500 60 30 "c2" 0x01) + Pin(400 600 60 30 "c3" 0x01) + Pin(400 700 60 30 "c4" 0x01) + Pin(400 800 60 30 "c5" 0x01) + Pin(400 900 60 30 "c6" 0x01) + Pin(400 1000 60 30 "c7" 0x01) + Pin(400 1100 60 30 "c8" 0x01) + Pin(400 1200 60 30 "c9" 0x01) + Pin(400 1300 60 30 "c10" 0x01) + Pin(400 1400 60 30 "c11" 0x01) + Pin(400 1500 60 30 "c12" 0x01) + Pin(400 1600 60 30 "c13" 0x01) + Pin(400 1700 60 30 "c14" 0x01) + Pin(400 1800 60 30 "c15" 0x01) + Pin(400 1900 60 30 "c16" 0x01) + Pin(400 2000 60 30 "c17" 0x01) + Pin(400 2100 60 30 "c18" 0x01) + Pin(400 2200 60 30 "c19" 0x01) + Pin(400 2300 60 30 "c20" 0x01) + Pin(400 2400 60 30 "c21" 0x01) + Pin(400 2500 60 30 "c22" 0x01) + Pin(400 2600 60 30 "c23" 0x01) + Pin(400 2700 60 30 "c24" 0x01) + Pin(400 2800 60 30 "c25" 0x01) + Pin(400 2900 60 30 "c26" 0x01) + Pin(400 3000 60 30 "c27" 0x01) + Pin(400 3100 60 30 "c28" 0x01) + Pin(400 3200 60 30 "c29" 0x01) + Pin(400 3300 60 30 "c30" 0x01) + Pin(400 3400 60 30 "c31" 0x01) + Pin(400 3500 60 30 "c32" 0x01) + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 3720 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 520 80 20) + ElementLine(520 80 520 3820 20) + ElementLine(520 3820 80 3820 20) + ElementLine( 80 3820 80 80 20) + # Innere Begrenzung + ElementLine(120 320 350 320 10) + ElementLine(350 320 350 360 10) + ElementLine(350 360 480 360 10) + ElementLine(480 360 480 3540 10) + ElementLine(480 3540 350 3540 10) + ElementLine(350 3540 350 3580 10) + ElementLine(350 3580 120 3580 10) + ElementLine(120 3580 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE_SMALL.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE_SMALL.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612FEMALE_SMALL.fp (revision 1033) @@ -0,0 +1,73 @@ +Element(0x00 "DIN41.612 row a+b+c female" "" "DIN41_612FEMALE_SMALL" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "1" 0x101) + Pin(200 500 60 30 "2" 0x01) + Pin(200 600 60 30 "3" 0x01) + Pin(200 700 60 30 "4" 0x01) + Pin(200 800 60 30 "5" 0x01) + Pin(200 900 60 30 "6" 0x01) + Pin(200 1000 60 30 "7" 0x01) + Pin(200 1100 60 30 "8" 0x01) + Pin(200 1200 60 30 "9" 0x01) + Pin(200 1300 60 30 "10" 0x01) + Pin(200 1400 60 30 "11" 0x01) + Pin(200 1500 60 30 "12" 0x01) + Pin(200 1600 60 30 "13" 0x01) + Pin(200 1700 60 30 "14" 0x01) + Pin(200 1800 60 30 "15" 0x01) + Pin(200 1900 60 30 "16" 0x01) + # Reihe b + Pin(300 400 60 30 "17" 0x01) + Pin(300 500 60 30 "18" 0x01) + Pin(300 600 60 30 "19" 0x01) + Pin(300 700 60 30 "20" 0x01) + Pin(300 800 60 30 "21" 0x01) + Pin(300 900 60 30 "22" 0x01) + Pin(300 1000 60 30 "23" 0x01) + Pin(300 1100 60 30 "24" 0x01) + Pin(300 1200 60 30 "25" 0x01) + Pin(300 1300 60 30 "26" 0x01) + Pin(300 1400 60 30 "27" 0x01) + Pin(300 1500 60 30 "28" 0x01) + Pin(300 1600 60 30 "29" 0x01) + Pin(300 1700 60 30 "30" 0x01) + Pin(300 1800 60 30 "31" 0x01) + Pin(300 1900 60 30 "32" 0x01) + # Reihe c + Pin(400 400 60 30 "33" 0x01) + Pin(400 500 60 30 "34" 0x01) + Pin(400 600 60 30 "35" 0x01) + Pin(400 700 60 30 "36" 0x01) + Pin(400 800 60 30 "37" 0x01) + Pin(400 900 60 30 "38" 0x01) + Pin(400 1000 60 30 "39" 0x01) + Pin(400 1100 60 30 "40" 0x01) + Pin(400 1200 60 30 "41" 0x01) + Pin(400 1300 60 30 "42" 0x01) + Pin(400 1400 60 30 "43" 0x01) + Pin(400 1500 60 30 "44" 0x01) + Pin(400 1600 60 30 "45" 0x01) + Pin(400 1700 60 30 "46" 0x01) + Pin(400 1800 60 30 "47" 0x01) + Pin(400 1900 60 30 "48" 0x01) + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 2120 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 520 80 20) + ElementLine(520 80 520 2220 20) + ElementLine(520 2220 80 2220 20) + ElementLine( 80 2220 80 80 20) + # Innere Begrenzung + ElementLine(120 320 350 320 10) + ElementLine(350 320 350 360 10) + ElementLine(350 360 480 360 10) + ElementLine(480 360 480 1940 10) + ElementLine(480 1940 350 1940 10) + ElementLine(350 1940 350 1980 10) + ElementLine(350 1980 120 1980 10) + ElementLine(120 1980 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612MALE ab.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612MALE ab.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612MALE ab.fp (revision 1033) @@ -0,0 +1,127 @@ +Element(0x00 "DIN41.612 row a+b male" "" "DIN41_612MALE ab" 520 550 0 200 0x00) +( + # Reihe a + Pin(3500 300 60 30 "a1" 0x101) + Pin(3400 300 60 30 "a2" 0x01) + Pin(3300 300 60 30 "a3" 0x01) + Pin(3200 300 60 30 "a4" 0x01) + Pin(3100 300 60 30 "a5" 0x01) + Pin(3000 300 60 30 "a6" 0x01) + Pin(2900 300 60 30 "a7" 0x01) + Pin(2800 300 60 30 "a8" 0x01) + Pin(2700 300 60 30 "a9" 0x01) + Pin(2600 300 60 30 "a10" 0x01) + Pin(2500 300 60 30 "a11" 0x01) + Pin(2400 300 60 30 "a12" 0x01) + Pin(2300 300 60 30 "a13" 0x01) + Pin(2200 300 60 30 "a14" 0x01) + Pin(2100 300 60 30 "a15" 0x01) + Pin(2000 300 60 30 "a16" 0x01) + Pin(1900 300 60 30 "a17" 0x01) + Pin(1800 300 60 30 "a18" 0x01) + Pin(1700 300 60 30 "a19" 0x01) + Pin(1600 300 60 30 "a20" 0x01) + Pin(1500 300 60 30 "a21" 0x01) + Pin(1400 300 60 30 "a22" 0x01) + Pin(1300 300 60 30 "a23" 0x01) + Pin(1200 300 60 30 "a24" 0x01) + Pin(1100 300 60 30 "a25" 0x01) + Pin(1000 300 60 30 "a26" 0x01) + Pin(900 300 60 30 "a27" 0x01) + Pin(800 300 60 30 "a28" 0x01) + Pin(700 300 60 30 "a29" 0x01) + Pin(600 300 60 30 "a30" 0x01) + Pin(500 300 60 30 "a31" 0x01) + Pin(400 300 60 30 "a32" 0x01) + # Reihe b + Pin(3500 200 60 30 "b1" 0x01) + Pin(3400 200 60 30 "b2" 0x01) + Pin(3300 200 60 30 "b3" 0x01) + Pin(3200 200 60 30 "b4" 0x01) + Pin(3100 200 60 30 "b5" 0x01) + Pin(3000 200 60 30 "b6" 0x01) + Pin(2900 200 60 30 "b7" 0x01) + Pin(2800 200 60 30 "b8" 0x01) + Pin(2700 200 60 30 "b9" 0x01) + Pin(2600 200 60 30 "b10" 0x01) + Pin(2500 200 60 30 "b11" 0x01) + Pin(2400 200 60 30 "b12" 0x01) + Pin(2300 200 60 30 "b13" 0x01) + Pin(2200 200 60 30 "b14" 0x01) + Pin(2100 200 60 30 "b15" 0x01) + Pin(2000 200 60 30 "b16" 0x01) + Pin(1900 200 60 30 "b17" 0x01) + Pin(1800 200 60 30 "b18" 0x01) + Pin(1700 200 60 30 "b19" 0x01) + Pin(1600 200 60 30 "b20" 0x01) + Pin(1500 200 60 30 "b21" 0x01) + Pin(1400 200 60 30 "b22" 0x01) + Pin(1300 200 60 30 "b23" 0x01) + Pin(1200 200 60 30 "b24" 0x01) + Pin(1100 200 60 30 "b25" 0x01) + Pin(1000 200 60 30 "b26" 0x01) + Pin(900 200 60 30 "b27" 0x01) + Pin(800 200 60 30 "b28" 0x01) + Pin(700 200 60 30 "b29" 0x01) + Pin(600 200 60 30 "b30" 0x01) + Pin(500 200 60 30 "b31" 0x01) + Pin(400 200 60 30 "b32" 0x01) + # Reihe c + # Rueckseite Kontaktstifte + ElementLine(400 200 400 375 40) + ElementLine(500 200 500 375 40) + ElementLine(600 200 600 375 40) + ElementLine(700 200 700 375 40) + ElementLine(800 200 800 375 40) + ElementLine(900 200 900 375 40) + ElementLine(1000 200 1000 375 40) + ElementLine(1100 200 1100 375 40) + ElementLine(1200 200 1200 375 40) + ElementLine(1300 200 1300 375 40) + ElementLine(1400 200 1400 375 40) + ElementLine(1500 200 1500 375 40) + ElementLine(1600 200 1600 375 40) + ElementLine(1700 200 1700 375 40) + ElementLine(1800 200 1800 375 40) + ElementLine(1900 200 1900 375 40) + ElementLine(2000 200 2000 375 40) + ElementLine(2100 200 2100 375 40) + ElementLine(2200 200 2200 375 40) + ElementLine(2300 200 2300 375 40) + ElementLine(2400 200 2400 375 40) + ElementLine(2500 200 2500 375 40) + ElementLine(2600 200 2600 375 40) + ElementLine(2700 200 2700 375 40) + ElementLine(2800 200 2800 375 40) + ElementLine(2900 200 2900 375 40) + ElementLine(3000 200 3000 375 40) + ElementLine(3100 200 3100 375 40) + ElementLine(3200 200 3200 375 40) + ElementLine(3300 200 3300 375 40) + ElementLine(3400 200 3400 375 40) + ElementLine(3500 200 3500 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(3700 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(3580 300 3800 300 20) + ElementLine(3800 300 3800 620 20) + ElementLine(3800 620 3700 620 20) + ElementLine(3700 620 3580 620 10) + ElementLine(3580 620 3580 395 10) + ElementLine(3580 395 3580 300 20) + # Kante Pins + ElementLine( 320 395 3580 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 3700 800 20) + ElementLine(3700 800 3700 620 20) + Mark(3500 300) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612MALE abc.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612MALE abc.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612MALE abc.fp (revision 1033) @@ -0,0 +1,159 @@ +Element(0x00 "DIN41.612 row a+b+c male" "" "DIN41_612MALE abc" 520 550 0 200 0x00) +( + # Reihe a + Pin(3500 300 60 30 "a1" 0x101) + Pin(3400 300 60 30 "a2" 0x01) + Pin(3300 300 60 30 "a3" 0x01) + Pin(3200 300 60 30 "a4" 0x01) + Pin(3100 300 60 30 "a5" 0x01) + Pin(3000 300 60 30 "a6" 0x01) + Pin(2900 300 60 30 "a7" 0x01) + Pin(2800 300 60 30 "a8" 0x01) + Pin(2700 300 60 30 "a9" 0x01) + Pin(2600 300 60 30 "a10" 0x01) + Pin(2500 300 60 30 "a11" 0x01) + Pin(2400 300 60 30 "a12" 0x01) + Pin(2300 300 60 30 "a13" 0x01) + Pin(2200 300 60 30 "a14" 0x01) + Pin(2100 300 60 30 "a15" 0x01) + Pin(2000 300 60 30 "a16" 0x01) + Pin(1900 300 60 30 "a17" 0x01) + Pin(1800 300 60 30 "a18" 0x01) + Pin(1700 300 60 30 "a19" 0x01) + Pin(1600 300 60 30 "a20" 0x01) + Pin(1500 300 60 30 "a21" 0x01) + Pin(1400 300 60 30 "a22" 0x01) + Pin(1300 300 60 30 "a23" 0x01) + Pin(1200 300 60 30 "a24" 0x01) + Pin(1100 300 60 30 "a25" 0x01) + Pin(1000 300 60 30 "a26" 0x01) + Pin(900 300 60 30 "a27" 0x01) + Pin(800 300 60 30 "a28" 0x01) + Pin(700 300 60 30 "a29" 0x01) + Pin(600 300 60 30 "a30" 0x01) + Pin(500 300 60 30 "a31" 0x01) + Pin(400 300 60 30 "a32" 0x01) + # Reihe b + Pin(3500 200 60 30 "b1" 0x01) + Pin(3400 200 60 30 "b2" 0x01) + Pin(3300 200 60 30 "b3" 0x01) + Pin(3200 200 60 30 "b4" 0x01) + Pin(3100 200 60 30 "b5" 0x01) + Pin(3000 200 60 30 "b6" 0x01) + Pin(2900 200 60 30 "b7" 0x01) + Pin(2800 200 60 30 "b8" 0x01) + Pin(2700 200 60 30 "b9" 0x01) + Pin(2600 200 60 30 "b10" 0x01) + Pin(2500 200 60 30 "b11" 0x01) + Pin(2400 200 60 30 "b12" 0x01) + Pin(2300 200 60 30 "b13" 0x01) + Pin(2200 200 60 30 "b14" 0x01) + Pin(2100 200 60 30 "b15" 0x01) + Pin(2000 200 60 30 "b16" 0x01) + Pin(1900 200 60 30 "b17" 0x01) + Pin(1800 200 60 30 "b18" 0x01) + Pin(1700 200 60 30 "b19" 0x01) + Pin(1600 200 60 30 "b20" 0x01) + Pin(1500 200 60 30 "b21" 0x01) + Pin(1400 200 60 30 "b22" 0x01) + Pin(1300 200 60 30 "b23" 0x01) + Pin(1200 200 60 30 "b24" 0x01) + Pin(1100 200 60 30 "b25" 0x01) + Pin(1000 200 60 30 "b26" 0x01) + Pin(900 200 60 30 "b27" 0x01) + Pin(800 200 60 30 "b28" 0x01) + Pin(700 200 60 30 "b29" 0x01) + Pin(600 200 60 30 "b30" 0x01) + Pin(500 200 60 30 "b31" 0x01) + Pin(400 200 60 30 "b32" 0x01) + # Reihe c + Pin(3500 100 60 30 "c1" 0x01) + Pin(3400 100 60 30 "c2" 0x01) + Pin(3300 100 60 30 "c3" 0x01) + Pin(3200 100 60 30 "c4" 0x01) + Pin(3100 100 60 30 "c5" 0x01) + Pin(3000 100 60 30 "c6" 0x01) + Pin(2900 100 60 30 "c7" 0x01) + Pin(2800 100 60 30 "c8" 0x01) + Pin(2700 100 60 30 "c9" 0x01) + Pin(2600 100 60 30 "c10" 0x01) + Pin(2500 100 60 30 "c11" 0x01) + Pin(2400 100 60 30 "c12" 0x01) + Pin(2300 100 60 30 "c13" 0x01) + Pin(2200 100 60 30 "c14" 0x01) + Pin(2100 100 60 30 "c15" 0x01) + Pin(2000 100 60 30 "c16" 0x01) + Pin(1900 100 60 30 "c17" 0x01) + Pin(1800 100 60 30 "c18" 0x01) + Pin(1700 100 60 30 "c19" 0x01) + Pin(1600 100 60 30 "c20" 0x01) + Pin(1500 100 60 30 "c21" 0x01) + Pin(1400 100 60 30 "c22" 0x01) + Pin(1300 100 60 30 "c23" 0x01) + Pin(1200 100 60 30 "c24" 0x01) + Pin(1100 100 60 30 "c25" 0x01) + Pin(1000 100 60 30 "c26" 0x01) + Pin(900 100 60 30 "c27" 0x01) + Pin(800 100 60 30 "c28" 0x01) + Pin(700 100 60 30 "c29" 0x01) + Pin(600 100 60 30 "c30" 0x01) + Pin(500 100 60 30 "c31" 0x01) + Pin(400 100 60 30 "c32" 0x01) + # Rueckseite Kontaktstifte + ElementLine(400 100 400 375 40) + ElementLine(500 100 500 375 40) + ElementLine(600 100 600 375 40) + ElementLine(700 100 700 375 40) + ElementLine(800 100 800 375 40) + ElementLine(900 100 900 375 40) + ElementLine(1000 100 1000 375 40) + ElementLine(1100 100 1100 375 40) + ElementLine(1200 100 1200 375 40) + ElementLine(1300 100 1300 375 40) + ElementLine(1400 100 1400 375 40) + ElementLine(1500 100 1500 375 40) + ElementLine(1600 100 1600 375 40) + ElementLine(1700 100 1700 375 40) + ElementLine(1800 100 1800 375 40) + ElementLine(1900 100 1900 375 40) + ElementLine(2000 100 2000 375 40) + ElementLine(2100 100 2100 375 40) + ElementLine(2200 100 2200 375 40) + ElementLine(2300 100 2300 375 40) + ElementLine(2400 100 2400 375 40) + ElementLine(2500 100 2500 375 40) + ElementLine(2600 100 2600 375 40) + ElementLine(2700 100 2700 375 40) + ElementLine(2800 100 2800 375 40) + ElementLine(2900 100 2900 375 40) + ElementLine(3000 100 3000 375 40) + ElementLine(3100 100 3100 375 40) + ElementLine(3200 100 3200 375 40) + ElementLine(3300 100 3300 375 40) + ElementLine(3400 100 3400 375 40) + ElementLine(3500 100 3500 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(3700 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(3580 300 3800 300 20) + ElementLine(3800 300 3800 620 20) + ElementLine(3800 620 3700 620 20) + ElementLine(3700 620 3580 620 10) + ElementLine(3580 620 3580 395 10) + ElementLine(3580 395 3580 300 20) + # Kante Pins + ElementLine( 320 395 3580 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 3700 800 20) + ElementLine(3700 800 3700 620 20) + Mark(3500 300) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612MALE ac.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612MALE ac.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612MALE ac.fp (revision 1033) @@ -0,0 +1,127 @@ +Element(0x00 "DIN41.612 row a+c male" "" "DIN41_612MALE ac" 520 550 0 200 0x00) +( + # Reihe a + Pin(3500 300 60 30 "a1" 0x101) + Pin(3400 300 60 30 "a2" 0x01) + Pin(3300 300 60 30 "a3" 0x01) + Pin(3200 300 60 30 "a4" 0x01) + Pin(3100 300 60 30 "a5" 0x01) + Pin(3000 300 60 30 "a6" 0x01) + Pin(2900 300 60 30 "a7" 0x01) + Pin(2800 300 60 30 "a8" 0x01) + Pin(2700 300 60 30 "a9" 0x01) + Pin(2600 300 60 30 "a10" 0x01) + Pin(2500 300 60 30 "a11" 0x01) + Pin(2400 300 60 30 "a12" 0x01) + Pin(2300 300 60 30 "a13" 0x01) + Pin(2200 300 60 30 "a14" 0x01) + Pin(2100 300 60 30 "a15" 0x01) + Pin(2000 300 60 30 "a16" 0x01) + Pin(1900 300 60 30 "a17" 0x01) + Pin(1800 300 60 30 "a18" 0x01) + Pin(1700 300 60 30 "a19" 0x01) + Pin(1600 300 60 30 "a20" 0x01) + Pin(1500 300 60 30 "a21" 0x01) + Pin(1400 300 60 30 "a22" 0x01) + Pin(1300 300 60 30 "a23" 0x01) + Pin(1200 300 60 30 "a24" 0x01) + Pin(1100 300 60 30 "a25" 0x01) + Pin(1000 300 60 30 "a26" 0x01) + Pin(900 300 60 30 "a27" 0x01) + Pin(800 300 60 30 "a28" 0x01) + Pin(700 300 60 30 "a29" 0x01) + Pin(600 300 60 30 "a30" 0x01) + Pin(500 300 60 30 "a31" 0x01) + Pin(400 300 60 30 "a32" 0x01) + # Reihe b + # Reihe c + Pin(3500 100 60 30 "c1" 0x01) + Pin(3400 100 60 30 "c2" 0x01) + Pin(3300 100 60 30 "c3" 0x01) + Pin(3200 100 60 30 "c4" 0x01) + Pin(3100 100 60 30 "c5" 0x01) + Pin(3000 100 60 30 "c6" 0x01) + Pin(2900 100 60 30 "c7" 0x01) + Pin(2800 100 60 30 "c8" 0x01) + Pin(2700 100 60 30 "c9" 0x01) + Pin(2600 100 60 30 "c10" 0x01) + Pin(2500 100 60 30 "c11" 0x01) + Pin(2400 100 60 30 "c12" 0x01) + Pin(2300 100 60 30 "c13" 0x01) + Pin(2200 100 60 30 "c14" 0x01) + Pin(2100 100 60 30 "c15" 0x01) + Pin(2000 100 60 30 "c16" 0x01) + Pin(1900 100 60 30 "c17" 0x01) + Pin(1800 100 60 30 "c18" 0x01) + Pin(1700 100 60 30 "c19" 0x01) + Pin(1600 100 60 30 "c20" 0x01) + Pin(1500 100 60 30 "c21" 0x01) + Pin(1400 100 60 30 "c22" 0x01) + Pin(1300 100 60 30 "c23" 0x01) + Pin(1200 100 60 30 "c24" 0x01) + Pin(1100 100 60 30 "c25" 0x01) + Pin(1000 100 60 30 "c26" 0x01) + Pin(900 100 60 30 "c27" 0x01) + Pin(800 100 60 30 "c28" 0x01) + Pin(700 100 60 30 "c29" 0x01) + Pin(600 100 60 30 "c30" 0x01) + Pin(500 100 60 30 "c31" 0x01) + Pin(400 100 60 30 "c32" 0x01) + # Rueckseite Kontaktstifte + ElementLine(400 100 400 375 40) + ElementLine(500 100 500 375 40) + ElementLine(600 100 600 375 40) + ElementLine(700 100 700 375 40) + ElementLine(800 100 800 375 40) + ElementLine(900 100 900 375 40) + ElementLine(1000 100 1000 375 40) + ElementLine(1100 100 1100 375 40) + ElementLine(1200 100 1200 375 40) + ElementLine(1300 100 1300 375 40) + ElementLine(1400 100 1400 375 40) + ElementLine(1500 100 1500 375 40) + ElementLine(1600 100 1600 375 40) + ElementLine(1700 100 1700 375 40) + ElementLine(1800 100 1800 375 40) + ElementLine(1900 100 1900 375 40) + ElementLine(2000 100 2000 375 40) + ElementLine(2100 100 2100 375 40) + ElementLine(2200 100 2200 375 40) + ElementLine(2300 100 2300 375 40) + ElementLine(2400 100 2400 375 40) + ElementLine(2500 100 2500 375 40) + ElementLine(2600 100 2600 375 40) + ElementLine(2700 100 2700 375 40) + ElementLine(2800 100 2800 375 40) + ElementLine(2900 100 2900 375 40) + ElementLine(3000 100 3000 375 40) + ElementLine(3100 100 3100 375 40) + ElementLine(3200 100 3200 375 40) + ElementLine(3300 100 3300 375 40) + ElementLine(3400 100 3400 375 40) + ElementLine(3500 100 3500 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(3700 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(3580 300 3800 300 20) + ElementLine(3800 300 3800 620 20) + ElementLine(3800 620 3700 620 20) + ElementLine(3700 620 3580 620 10) + ElementLine(3580 620 3580 395 10) + ElementLine(3580 395 3580 300 20) + # Kante Pins + ElementLine( 320 395 3580 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 3700 800 20) + ElementLine(3700 800 3700 620 20) + Mark(3500 300) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_612MALE_SMALL.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_612MALE_SMALL.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_612MALE_SMALL.fp (revision 1033) @@ -0,0 +1,95 @@ +Element(0x00 "DIN41.612 row a+b+c male" "" "DIN41_612MALE_SMALL" 520 550 0 200 0x00) +( + # Reihe a + Pin(1900 300 60 30 "1" 0x101) + Pin(1800 300 60 30 "2" 0x01) + Pin(1700 300 60 30 "3" 0x01) + Pin(1600 300 60 30 "4" 0x01) + Pin(1500 300 60 30 "5" 0x01) + Pin(1400 300 60 30 "6" 0x01) + Pin(1300 300 60 30 "7" 0x01) + Pin(1200 300 60 30 "8" 0x01) + Pin(1100 300 60 30 "9" 0x01) + Pin(1000 300 60 30 "10" 0x01) + Pin(900 300 60 30 "11" 0x01) + Pin(800 300 60 30 "12" 0x01) + Pin(700 300 60 30 "13" 0x01) + Pin(600 300 60 30 "14" 0x01) + Pin(500 300 60 30 "15" 0x01) + Pin(400 300 60 30 "16" 0x01) + # Reihe b + Pin(1900 200 60 30 "17" 0x01) + Pin(1800 200 60 30 "18" 0x01) + Pin(1700 200 60 30 "19" 0x01) + Pin(1600 200 60 30 "20" 0x01) + Pin(1500 200 60 30 "21" 0x01) + Pin(1400 200 60 30 "22" 0x01) + Pin(1300 200 60 30 "23" 0x01) + Pin(1200 200 60 30 "24" 0x01) + Pin(1100 200 60 30 "25" 0x01) + Pin(1000 200 60 30 "26" 0x01) + Pin(900 200 60 30 "27" 0x01) + Pin(800 200 60 30 "28" 0x01) + Pin(700 200 60 30 "29" 0x01) + Pin(600 200 60 30 "30" 0x01) + Pin(500 200 60 30 "31" 0x01) + Pin(400 200 60 30 "32" 0x01) + # Reihe c + Pin(1900 100 60 30 "33" 0x01) + Pin(1800 100 60 30 "34" 0x01) + Pin(1700 100 60 30 "35" 0x01) + Pin(1600 100 60 30 "36" 0x01) + Pin(1500 100 60 30 "37" 0x01) + Pin(1400 100 60 30 "38" 0x01) + Pin(1300 100 60 30 "39" 0x01) + Pin(1200 100 60 30 "40" 0x01) + Pin(1100 100 60 30 "41" 0x01) + Pin(1000 100 60 30 "42" 0x01) + Pin(900 100 60 30 "43" 0x01) + Pin(800 100 60 30 "44" 0x01) + Pin(700 100 60 30 "45" 0x01) + Pin(600 100 60 30 "46" 0x01) + Pin(500 100 60 30 "47" 0x01) + Pin(400 100 60 30 "48" 0x01) + # Rueckseite Kontaktstifte + ElementLine(400 100 400 375 40) + ElementLine(500 100 500 375 40) + ElementLine(600 100 600 375 40) + ElementLine(700 100 700 375 40) + ElementLine(800 100 800 375 40) + ElementLine(900 100 900 375 40) + ElementLine(1000 100 1000 375 40) + ElementLine(1100 100 1100 375 40) + ElementLine(1200 100 1200 375 40) + ElementLine(1300 100 1300 375 40) + ElementLine(1400 100 1400 375 40) + ElementLine(1500 100 1500 375 40) + ElementLine(1600 100 1600 375 40) + ElementLine(1700 100 1700 375 40) + ElementLine(1800 100 1800 375 40) + ElementLine(1900 100 1900 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(2100 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(1980 300 2200 300 20) + ElementLine(2200 300 2200 620 20) + ElementLine(2200 620 2100 620 20) + ElementLine(2100 620 1980 620 10) + ElementLine(1980 620 1980 395 10) + ElementLine(1980 395 1980 300 20) + # Kante Pins + ElementLine( 320 395 1980 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 2100 800 20) + ElementLine(2100 800 2100 620 20) + Mark(1900 300) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 10.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 10.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "DIN41.651 laying 10 pins" "" "DIN41_651LAY 10" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1130 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1050 20) + ElementLine(610 1050 770 1100 20) + ElementLine(770 1100 770 1200 20) + ElementLine(770 1200 335 1330 20) + ElementLine(335 1330 80 1330 20) + ElementLine( 80 1330 80 70 20) + # Codieraussparung + ElementLine(610 625 435 625 5) + ElementLine(435 625 435 775 5) + ElementLine(435 775 610 775 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 14.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 14.fp (revision 1033) @@ -0,0 +1,40 @@ +Element(0x00 "DIN41.651 laying 14 pins" "" "DIN41_651LAY 14" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1330 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1250 20) + ElementLine(610 1250 770 1300 20) + ElementLine(770 1300 770 1400 20) + ElementLine(770 1400 335 1530 20) + ElementLine(335 1530 80 1530 20) + ElementLine( 80 1530 80 70 20) + # Codieraussparung + ElementLine(610 725 435 725 5) + ElementLine(435 725 435 875 5) + ElementLine(435 875 610 875 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 16.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 16.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "DIN41.651 laying 16 pins" "" "DIN41_651LAY 16" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1430 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1350 20) + ElementLine(610 1350 770 1400 20) + ElementLine(770 1400 770 1500 20) + ElementLine(770 1500 335 1630 20) + ElementLine(335 1630 80 1630 20) + ElementLine( 80 1630 80 70 20) + # Codieraussparung + ElementLine(610 775 435 775 5) + ElementLine(435 775 435 925 5) + ElementLine(435 925 610 925 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 18.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 18.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 18.fp (revision 1033) @@ -0,0 +1,44 @@ +Element(0x00 "DIN41.651 laying 18 pins" "" "DIN41_651LAY 18" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1530 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1450 20) + ElementLine(610 1450 770 1500 20) + ElementLine(770 1500 770 1600 20) + ElementLine(770 1600 335 1730 20) + ElementLine(335 1730 80 1730 20) + ElementLine( 80 1730 80 70 20) + # Codieraussparung + ElementLine(610 825 435 825 5) + ElementLine(435 825 435 975 5) + ElementLine(435 975 610 975 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 20.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 20.fp (revision 1033) @@ -0,0 +1,46 @@ +Element(0x00 "DIN41.651 laying 20 pins" "" "DIN41_651LAY 20" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1630 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1550 20) + ElementLine(610 1550 770 1600 20) + ElementLine(770 1600 770 1700 20) + ElementLine(770 1700 335 1830 20) + ElementLine(335 1830 80 1830 20) + ElementLine( 80 1830 80 70 20) + # Codieraussparung + ElementLine(610 875 435 875 5) + ElementLine(435 875 435 1025 5) + ElementLine(435 1025 610 1025 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 22.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 22.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 22.fp (revision 1033) @@ -0,0 +1,48 @@ +Element(0x00 "DIN41.651 laying 22 pins" "" "DIN41_651LAY 22" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1730 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1650 20) + ElementLine(610 1650 770 1700 20) + ElementLine(770 1700 770 1800 20) + ElementLine(770 1800 335 1930 20) + ElementLine(335 1930 80 1930 20) + ElementLine( 80 1930 80 70 20) + # Codieraussparung + ElementLine(610 925 435 925 5) + ElementLine(435 925 435 1075 5) + ElementLine(435 1075 610 1075 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 24.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 24.fp (revision 1033) @@ -0,0 +1,50 @@ +Element(0x00 "DIN41.651 laying 24 pins" "" "DIN41_651LAY 24" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1830 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1750 20) + ElementLine(610 1750 770 1800 20) + ElementLine(770 1800 770 1900 20) + ElementLine(770 1900 335 2030 20) + ElementLine(335 2030 80 2030 20) + ElementLine( 80 2030 80 70 20) + # Codieraussparung + ElementLine(610 975 435 975 5) + ElementLine(435 975 435 1125 5) + ElementLine(435 1125 610 1125 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 26.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 26.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 26.fp (revision 1033) @@ -0,0 +1,52 @@ +Element(0x00 "DIN41.651 laying 26 pins" "" "DIN41_651LAY 26" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1930 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1850 20) + ElementLine(610 1850 770 1900 20) + ElementLine(770 1900 770 2000 20) + ElementLine(770 2000 335 2130 20) + ElementLine(335 2130 80 2130 20) + ElementLine( 80 2130 80 70 20) + # Codieraussparung + ElementLine(610 1025 435 1025 5) + ElementLine(435 1025 435 1175 5) + ElementLine(435 1175 610 1175 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 34.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 34.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 34.fp (revision 1033) @@ -0,0 +1,60 @@ +Element(0x00 "DIN41.651 laying 34 pins" "" "DIN41_651LAY 34" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 2330 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 2250 20) + ElementLine(610 2250 770 2300 20) + ElementLine(770 2300 770 2400 20) + ElementLine(770 2400 335 2530 20) + ElementLine(335 2530 80 2530 20) + ElementLine( 80 2530 80 70 20) + # Codieraussparung + ElementLine(610 1225 435 1225 5) + ElementLine(435 1225 435 1375 5) + ElementLine(435 1375 610 1375 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 40.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 40.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "DIN41.651 laying 40 pins" "" "DIN41_651LAY 40" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + Pin(100 2200 60 40 "35" 0x01) + Pin(200 2200 60 40 "36" 0x01) + Pin(100 2300 60 40 "37" 0x01) + Pin(200 2300 60 40 "38" 0x01) + Pin(100 2400 60 40 "39" 0x01) + Pin(200 2400 60 40 "40" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 2630 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 2550 20) + ElementLine(610 2550 770 2600 20) + ElementLine(770 2600 770 2700 20) + ElementLine(770 2700 335 2830 20) + ElementLine(335 2830 80 2830 20) + ElementLine( 80 2830 80 70 20) + # Codieraussparung + ElementLine(610 1375 435 1375 5) + ElementLine(435 1375 435 1525 5) + ElementLine(435 1525 610 1525 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 50.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 50.fp (revision 1033) @@ -0,0 +1,76 @@ +Element(0x00 "DIN41.651 laying 50 pins" "" "DIN41_651LAY 50" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + Pin(100 2200 60 40 "35" 0x01) + Pin(200 2200 60 40 "36" 0x01) + Pin(100 2300 60 40 "37" 0x01) + Pin(200 2300 60 40 "38" 0x01) + Pin(100 2400 60 40 "39" 0x01) + Pin(200 2400 60 40 "40" 0x01) + Pin(100 2500 60 40 "41" 0x01) + Pin(200 2500 60 40 "42" 0x01) + Pin(100 2600 60 40 "43" 0x01) + Pin(200 2600 60 40 "44" 0x01) + Pin(100 2700 60 40 "45" 0x01) + Pin(200 2700 60 40 "46" 0x01) + Pin(100 2800 60 40 "47" 0x01) + Pin(200 2800 60 40 "48" 0x01) + Pin(100 2900 60 40 "49" 0x01) + Pin(200 2900 60 40 "50" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 3130 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 3050 20) + ElementLine(610 3050 770 3100 20) + ElementLine(770 3100 770 3200 20) + ElementLine(770 3200 335 3330 20) + ElementLine(335 3330 80 3330 20) + ElementLine( 80 3330 80 70 20) + # Codieraussparung + ElementLine(610 1625 435 1625 5) + ElementLine(435 1625 435 1775 5) + ElementLine(435 1775 610 1775 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 64.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 64.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651LAY 64.fp (revision 1033) @@ -0,0 +1,90 @@ +Element(0x00 "DIN41.651 laying 64 pins" "" "DIN41_651LAY 64" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + Pin(100 2200 60 40 "35" 0x01) + Pin(200 2200 60 40 "36" 0x01) + Pin(100 2300 60 40 "37" 0x01) + Pin(200 2300 60 40 "38" 0x01) + Pin(100 2400 60 40 "39" 0x01) + Pin(200 2400 60 40 "40" 0x01) + Pin(100 2500 60 40 "41" 0x01) + Pin(200 2500 60 40 "42" 0x01) + Pin(100 2600 60 40 "43" 0x01) + Pin(200 2600 60 40 "44" 0x01) + Pin(100 2700 60 40 "45" 0x01) + Pin(200 2700 60 40 "46" 0x01) + Pin(100 2800 60 40 "47" 0x01) + Pin(200 2800 60 40 "48" 0x01) + Pin(100 2900 60 40 "49" 0x01) + Pin(200 2900 60 40 "50" 0x01) + Pin(100 3000 60 40 "51" 0x01) + Pin(200 3000 60 40 "52" 0x01) + Pin(100 3100 60 40 "53" 0x01) + Pin(200 3100 60 40 "54" 0x01) + Pin(100 3200 60 40 "55" 0x01) + Pin(200 3200 60 40 "56" 0x01) + Pin(100 3300 60 40 "57" 0x01) + Pin(200 3300 60 40 "58" 0x01) + Pin(100 3400 60 40 "59" 0x01) + Pin(200 3400 60 40 "60" 0x01) + Pin(100 3500 60 40 "61" 0x01) + Pin(200 3500 60 40 "62" 0x01) + Pin(100 3600 60 40 "63" 0x01) + Pin(200 3600 60 40 "64" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 3830 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 3750 20) + ElementLine(610 3750 770 3800 20) + ElementLine(770 3800 770 3900 20) + ElementLine(770 3900 335 4030 20) + ElementLine(335 4030 80 4030 20) + ElementLine( 80 4030 80 70 20) + # Codieraussparung + ElementLine(610 1975 435 1975 5) + ElementLine(435 1975 435 2125 5) + ElementLine(435 2125 610 2125 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 10.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 10.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "DIN41.651 standing 10 pins" "" "DIN41_651STAND 10" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1330 20) + ElementLine(410 1330 90 1330 20) + ElementLine(90 1330 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1050 5) + ElementLine(390 1050 110 1050 5) + ElementLine(110 1050 110 775 5) + ElementLine(110 775 90 775 5) + ElementLine(90 625 110 625 5) + ElementLine(110 625 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1050 200 1330 5) + ElementLine(300 1050 300 1330 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 14.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 14.fp (revision 1033) @@ -0,0 +1,40 @@ +Element(0x00 "DIN41.651 standing 14 pins" "" "DIN41_651STAND 14" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1530 20) + ElementLine(410 1530 90 1530 20) + ElementLine(90 1530 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1250 5) + ElementLine(390 1250 110 1250 5) + ElementLine(110 1250 110 875 5) + ElementLine(110 875 90 875 5) + ElementLine(90 725 110 725 5) + ElementLine(110 725 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1250 200 1530 5) + ElementLine(300 1250 300 1530 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 16.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 16.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "DIN41.651 standing 16 pins" "" "DIN41_651STAND 16" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1630 20) + ElementLine(410 1630 90 1630 20) + ElementLine(90 1630 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1350 5) + ElementLine(390 1350 110 1350 5) + ElementLine(110 1350 110 925 5) + ElementLine(110 925 90 925 5) + ElementLine(90 775 110 775 5) + ElementLine(110 775 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1350 200 1630 5) + ElementLine(300 1350 300 1630 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 18.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 18.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 18.fp (revision 1033) @@ -0,0 +1,44 @@ +Element(0x00 "DIN41.651 standing 18 pins" "" "DIN41_651STAND 18" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1730 20) + ElementLine(410 1730 90 1730 20) + ElementLine(90 1730 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1450 5) + ElementLine(390 1450 110 1450 5) + ElementLine(110 1450 110 975 5) + ElementLine(110 975 90 975 5) + ElementLine(90 825 110 825 5) + ElementLine(110 825 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1450 200 1730 5) + ElementLine(300 1450 300 1730 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 20.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 20.fp (revision 1033) @@ -0,0 +1,46 @@ +Element(0x00 "DIN41.651 standing 20 pins" "" "DIN41_651STAND 20" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1830 20) + ElementLine(410 1830 90 1830 20) + ElementLine(90 1830 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1550 5) + ElementLine(390 1550 110 1550 5) + ElementLine(110 1550 110 1025 5) + ElementLine(110 1025 90 1025 5) + ElementLine(90 875 110 875 5) + ElementLine(110 875 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1550 200 1830 5) + ElementLine(300 1550 300 1830 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 22.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 22.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 22.fp (revision 1033) @@ -0,0 +1,48 @@ +Element(0x00 "DIN41.651 standing 22 pins" "" "DIN41_651STAND 22" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1930 20) + ElementLine(410 1930 90 1930 20) + ElementLine(90 1930 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1650 5) + ElementLine(390 1650 110 1650 5) + ElementLine(110 1650 110 1075 5) + ElementLine(110 1075 90 1075 5) + ElementLine(90 925 110 925 5) + ElementLine(110 925 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1650 200 1930 5) + ElementLine(300 1650 300 1930 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 24.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 24.fp (revision 1033) @@ -0,0 +1,50 @@ +Element(0x00 "DIN41.651 standing 24 pins" "" "DIN41_651STAND 24" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 2030 20) + ElementLine(410 2030 90 2030 20) + ElementLine(90 2030 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1750 5) + ElementLine(390 1750 110 1750 5) + ElementLine(110 1750 110 1125 5) + ElementLine(110 1125 90 1125 5) + ElementLine(90 975 110 975 5) + ElementLine(110 975 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1750 200 2030 5) + ElementLine(300 1750 300 2030 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 26.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 26.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 26.fp (revision 1033) @@ -0,0 +1,52 @@ +Element(0x00 "DIN41.651 standing 26 pins" "" "DIN41_651STAND 26" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 2130 20) + ElementLine(410 2130 90 2130 20) + ElementLine(90 2130 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1850 5) + ElementLine(390 1850 110 1850 5) + ElementLine(110 1850 110 1175 5) + ElementLine(110 1175 90 1175 5) + ElementLine(90 1025 110 1025 5) + ElementLine(110 1025 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1850 200 2130 5) + ElementLine(300 1850 300 2130 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 34.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 34.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 34.fp (revision 1033) @@ -0,0 +1,60 @@ +Element(0x00 "DIN41.651 standing 34 pins" "" "DIN41_651STAND 34" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 2530 20) + ElementLine(410 2530 90 2530 20) + ElementLine(90 2530 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 2250 5) + ElementLine(390 2250 110 2250 5) + ElementLine(110 2250 110 1375 5) + ElementLine(110 1375 90 1375 5) + ElementLine(90 1225 110 1225 5) + ElementLine(110 1225 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 2250 200 2530 5) + ElementLine(300 2250 300 2530 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 40.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 40.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "DIN41.651 standing 40 pins" "" "DIN41_651STAND 40" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + Pin(200 2200 60 40 "35" 0x01) + Pin(300 2200 60 40 "36" 0x01) + Pin(200 2300 60 40 "37" 0x01) + Pin(300 2300 60 40 "38" 0x01) + Pin(200 2400 60 40 "39" 0x01) + Pin(300 2400 60 40 "40" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 2830 20) + ElementLine(410 2830 90 2830 20) + ElementLine(90 2830 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 2550 5) + ElementLine(390 2550 110 2550 5) + ElementLine(110 2550 110 1525 5) + ElementLine(110 1525 90 1525 5) + ElementLine(90 1375 110 1375 5) + ElementLine(110 1375 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 2550 200 2830 5) + ElementLine(300 2550 300 2830 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 50.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 50.fp (revision 1033) @@ -0,0 +1,76 @@ +Element(0x00 "DIN41.651 standing 50 pins" "" "DIN41_651STAND 50" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + Pin(200 2200 60 40 "35" 0x01) + Pin(300 2200 60 40 "36" 0x01) + Pin(200 2300 60 40 "37" 0x01) + Pin(300 2300 60 40 "38" 0x01) + Pin(200 2400 60 40 "39" 0x01) + Pin(300 2400 60 40 "40" 0x01) + Pin(200 2500 60 40 "41" 0x01) + Pin(300 2500 60 40 "42" 0x01) + Pin(200 2600 60 40 "43" 0x01) + Pin(300 2600 60 40 "44" 0x01) + Pin(200 2700 60 40 "45" 0x01) + Pin(300 2700 60 40 "46" 0x01) + Pin(200 2800 60 40 "47" 0x01) + Pin(300 2800 60 40 "48" 0x01) + Pin(200 2900 60 40 "49" 0x01) + Pin(300 2900 60 40 "50" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 3330 20) + ElementLine(410 3330 90 3330 20) + ElementLine(90 3330 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 3050 5) + ElementLine(390 3050 110 3050 5) + ElementLine(110 3050 110 1775 5) + ElementLine(110 1775 90 1775 5) + ElementLine(90 1625 110 1625 5) + ElementLine(110 1625 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 3050 200 3330 5) + ElementLine(300 3050 300 3330 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 64.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 64.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/DIN41_651STAND 64.fp (revision 1033) @@ -0,0 +1,90 @@ +Element(0x00 "DIN41.651 standing 64 pins" "" "DIN41_651STAND 64" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + Pin(200 2200 60 40 "35" 0x01) + Pin(300 2200 60 40 "36" 0x01) + Pin(200 2300 60 40 "37" 0x01) + Pin(300 2300 60 40 "38" 0x01) + Pin(200 2400 60 40 "39" 0x01) + Pin(300 2400 60 40 "40" 0x01) + Pin(200 2500 60 40 "41" 0x01) + Pin(300 2500 60 40 "42" 0x01) + Pin(200 2600 60 40 "43" 0x01) + Pin(300 2600 60 40 "44" 0x01) + Pin(200 2700 60 40 "45" 0x01) + Pin(300 2700 60 40 "46" 0x01) + Pin(200 2800 60 40 "47" 0x01) + Pin(300 2800 60 40 "48" 0x01) + Pin(200 2900 60 40 "49" 0x01) + Pin(300 2900 60 40 "50" 0x01) + Pin(200 3000 60 40 "51" 0x01) + Pin(300 3000 60 40 "52" 0x01) + Pin(200 3100 60 40 "53" 0x01) + Pin(300 3100 60 40 "54" 0x01) + Pin(200 3200 60 40 "55" 0x01) + Pin(300 3200 60 40 "56" 0x01) + Pin(200 3300 60 40 "57" 0x01) + Pin(300 3300 60 40 "58" 0x01) + Pin(200 3400 60 40 "59" 0x01) + Pin(300 3400 60 40 "60" 0x01) + Pin(200 3500 60 40 "61" 0x01) + Pin(300 3500 60 40 "62" 0x01) + Pin(200 3600 60 40 "63" 0x01) + Pin(300 3600 60 40 "64" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 4030 20) + ElementLine(410 4030 90 4030 20) + ElementLine(90 4030 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 3750 5) + ElementLine(390 3750 110 3750 5) + ElementLine(110 3750 110 2125 5) + ElementLine(110 2125 90 2125 5) + ElementLine(90 1975 110 1975 5) + ElementLine(110 1975 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 3750 200 4030 5) + ElementLine(300 3750 300 4030 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 100.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 100.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 100.fp (revision 1033) @@ -0,0 +1,116 @@ +Element(0x00 "Molex .025 pitch 100 pin plug" "" "MOLEX_025 100" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 1275 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 1335 0 1355 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 1375 10) + ElementLine( 100 1375 -100 1375 10) + ElementLine(-100 1375 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 120.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 120.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 120.fp (revision 1033) @@ -0,0 +1,136 @@ +Element(0x00 "Molex .025 pitch 120 pin plug" "" "MOLEX_025 120" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + Pad(54 1250 111 1250 14 "101" 0x100) + Pad(-111 1250 -54 1250 14 "102" 0x100) + Pad(54 1275 111 1275 14 "103" 0x100) + Pad(-111 1275 -54 1275 14 "104" 0x100) + Pad(54 1300 111 1300 14 "105" 0x100) + Pad(-111 1300 -54 1300 14 "106" 0x100) + Pad(54 1325 111 1325 14 "107" 0x100) + Pad(-111 1325 -54 1325 14 "108" 0x100) + Pad(54 1350 111 1350 14 "109" 0x100) + Pad(-111 1350 -54 1350 14 "110" 0x100) + Pad(54 1375 111 1375 14 "111" 0x100) + Pad(-111 1375 -54 1375 14 "112" 0x100) + Pad(54 1400 111 1400 14 "113" 0x100) + Pad(-111 1400 -54 1400 14 "114" 0x100) + Pad(54 1425 111 1425 14 "115" 0x100) + Pad(-111 1425 -54 1425 14 "116" 0x100) + Pad(54 1450 111 1450 14 "117" 0x100) + Pad(-111 1450 -54 1450 14 "118" 0x100) + Pad(54 1475 111 1475 14 "119" 0x100) + Pad(-111 1475 -54 1475 14 "120" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 1525 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 1585 0 1605 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 1625 10) + ElementLine( 100 1625 -100 1625 10) + ElementLine(-100 1625 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 140.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 140.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 140.fp (revision 1033) @@ -0,0 +1,156 @@ +Element(0x00 "Molex .025 pitch 140 pin plug" "" "MOLEX_025 140" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + Pad(54 1250 111 1250 14 "101" 0x100) + Pad(-111 1250 -54 1250 14 "102" 0x100) + Pad(54 1275 111 1275 14 "103" 0x100) + Pad(-111 1275 -54 1275 14 "104" 0x100) + Pad(54 1300 111 1300 14 "105" 0x100) + Pad(-111 1300 -54 1300 14 "106" 0x100) + Pad(54 1325 111 1325 14 "107" 0x100) + Pad(-111 1325 -54 1325 14 "108" 0x100) + Pad(54 1350 111 1350 14 "109" 0x100) + Pad(-111 1350 -54 1350 14 "110" 0x100) + Pad(54 1375 111 1375 14 "111" 0x100) + Pad(-111 1375 -54 1375 14 "112" 0x100) + Pad(54 1400 111 1400 14 "113" 0x100) + Pad(-111 1400 -54 1400 14 "114" 0x100) + Pad(54 1425 111 1425 14 "115" 0x100) + Pad(-111 1425 -54 1425 14 "116" 0x100) + Pad(54 1450 111 1450 14 "117" 0x100) + Pad(-111 1450 -54 1450 14 "118" 0x100) + Pad(54 1475 111 1475 14 "119" 0x100) + Pad(-111 1475 -54 1475 14 "120" 0x100) + Pad(54 1500 111 1500 14 "121" 0x100) + Pad(-111 1500 -54 1500 14 "122" 0x100) + Pad(54 1525 111 1525 14 "123" 0x100) + Pad(-111 1525 -54 1525 14 "124" 0x100) + Pad(54 1550 111 1550 14 "125" 0x100) + Pad(-111 1550 -54 1550 14 "126" 0x100) + Pad(54 1575 111 1575 14 "127" 0x100) + Pad(-111 1575 -54 1575 14 "128" 0x100) + Pad(54 1600 111 1600 14 "129" 0x100) + Pad(-111 1600 -54 1600 14 "130" 0x100) + Pad(54 1625 111 1625 14 "131" 0x100) + Pad(-111 1625 -54 1625 14 "132" 0x100) + Pad(54 1650 111 1650 14 "133" 0x100) + Pad(-111 1650 -54 1650 14 "134" 0x100) + Pad(54 1675 111 1675 14 "135" 0x100) + Pad(-111 1675 -54 1675 14 "136" 0x100) + Pad(54 1700 111 1700 14 "137" 0x100) + Pad(-111 1700 -54 1700 14 "138" 0x100) + Pad(54 1725 111 1725 14 "139" 0x100) + Pad(-111 1725 -54 1725 14 "140" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 1775 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 1835 0 1855 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 1875 10) + ElementLine( 100 1875 -100 1875 10) + ElementLine(-100 1875 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 160.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 160.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 160.fp (revision 1033) @@ -0,0 +1,176 @@ +Element(0x00 "Molex .025 pitch 160 pin plug" "" "MOLEX_025 160" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + Pad(54 1250 111 1250 14 "101" 0x100) + Pad(-111 1250 -54 1250 14 "102" 0x100) + Pad(54 1275 111 1275 14 "103" 0x100) + Pad(-111 1275 -54 1275 14 "104" 0x100) + Pad(54 1300 111 1300 14 "105" 0x100) + Pad(-111 1300 -54 1300 14 "106" 0x100) + Pad(54 1325 111 1325 14 "107" 0x100) + Pad(-111 1325 -54 1325 14 "108" 0x100) + Pad(54 1350 111 1350 14 "109" 0x100) + Pad(-111 1350 -54 1350 14 "110" 0x100) + Pad(54 1375 111 1375 14 "111" 0x100) + Pad(-111 1375 -54 1375 14 "112" 0x100) + Pad(54 1400 111 1400 14 "113" 0x100) + Pad(-111 1400 -54 1400 14 "114" 0x100) + Pad(54 1425 111 1425 14 "115" 0x100) + Pad(-111 1425 -54 1425 14 "116" 0x100) + Pad(54 1450 111 1450 14 "117" 0x100) + Pad(-111 1450 -54 1450 14 "118" 0x100) + Pad(54 1475 111 1475 14 "119" 0x100) + Pad(-111 1475 -54 1475 14 "120" 0x100) + Pad(54 1500 111 1500 14 "121" 0x100) + Pad(-111 1500 -54 1500 14 "122" 0x100) + Pad(54 1525 111 1525 14 "123" 0x100) + Pad(-111 1525 -54 1525 14 "124" 0x100) + Pad(54 1550 111 1550 14 "125" 0x100) + Pad(-111 1550 -54 1550 14 "126" 0x100) + Pad(54 1575 111 1575 14 "127" 0x100) + Pad(-111 1575 -54 1575 14 "128" 0x100) + Pad(54 1600 111 1600 14 "129" 0x100) + Pad(-111 1600 -54 1600 14 "130" 0x100) + Pad(54 1625 111 1625 14 "131" 0x100) + Pad(-111 1625 -54 1625 14 "132" 0x100) + Pad(54 1650 111 1650 14 "133" 0x100) + Pad(-111 1650 -54 1650 14 "134" 0x100) + Pad(54 1675 111 1675 14 "135" 0x100) + Pad(-111 1675 -54 1675 14 "136" 0x100) + Pad(54 1700 111 1700 14 "137" 0x100) + Pad(-111 1700 -54 1700 14 "138" 0x100) + Pad(54 1725 111 1725 14 "139" 0x100) + Pad(-111 1725 -54 1725 14 "140" 0x100) + Pad(54 1750 111 1750 14 "141" 0x100) + Pad(-111 1750 -54 1750 14 "142" 0x100) + Pad(54 1775 111 1775 14 "143" 0x100) + Pad(-111 1775 -54 1775 14 "144" 0x100) + Pad(54 1800 111 1800 14 "145" 0x100) + Pad(-111 1800 -54 1800 14 "146" 0x100) + Pad(54 1825 111 1825 14 "147" 0x100) + Pad(-111 1825 -54 1825 14 "148" 0x100) + Pad(54 1850 111 1850 14 "149" 0x100) + Pad(-111 1850 -54 1850 14 "150" 0x100) + Pad(54 1875 111 1875 14 "151" 0x100) + Pad(-111 1875 -54 1875 14 "152" 0x100) + Pad(54 1900 111 1900 14 "153" 0x100) + Pad(-111 1900 -54 1900 14 "154" 0x100) + Pad(54 1925 111 1925 14 "155" 0x100) + Pad(-111 1925 -54 1925 14 "156" 0x100) + Pad(54 1950 111 1950 14 "157" 0x100) + Pad(-111 1950 -54 1950 14 "158" 0x100) + Pad(54 1975 111 1975 14 "159" 0x100) + Pad(-111 1975 -54 1975 14 "160" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 2025 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 2085 0 2105 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 2125 10) + ElementLine( 100 2125 -100 2125 10) + ElementLine(-100 2125 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 180.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 180.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 180.fp (revision 1033) @@ -0,0 +1,196 @@ +Element(0x00 "Molex .025 pitch 180 pin plug" "" "MOLEX_025 180" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + Pad(54 1250 111 1250 14 "101" 0x100) + Pad(-111 1250 -54 1250 14 "102" 0x100) + Pad(54 1275 111 1275 14 "103" 0x100) + Pad(-111 1275 -54 1275 14 "104" 0x100) + Pad(54 1300 111 1300 14 "105" 0x100) + Pad(-111 1300 -54 1300 14 "106" 0x100) + Pad(54 1325 111 1325 14 "107" 0x100) + Pad(-111 1325 -54 1325 14 "108" 0x100) + Pad(54 1350 111 1350 14 "109" 0x100) + Pad(-111 1350 -54 1350 14 "110" 0x100) + Pad(54 1375 111 1375 14 "111" 0x100) + Pad(-111 1375 -54 1375 14 "112" 0x100) + Pad(54 1400 111 1400 14 "113" 0x100) + Pad(-111 1400 -54 1400 14 "114" 0x100) + Pad(54 1425 111 1425 14 "115" 0x100) + Pad(-111 1425 -54 1425 14 "116" 0x100) + Pad(54 1450 111 1450 14 "117" 0x100) + Pad(-111 1450 -54 1450 14 "118" 0x100) + Pad(54 1475 111 1475 14 "119" 0x100) + Pad(-111 1475 -54 1475 14 "120" 0x100) + Pad(54 1500 111 1500 14 "121" 0x100) + Pad(-111 1500 -54 1500 14 "122" 0x100) + Pad(54 1525 111 1525 14 "123" 0x100) + Pad(-111 1525 -54 1525 14 "124" 0x100) + Pad(54 1550 111 1550 14 "125" 0x100) + Pad(-111 1550 -54 1550 14 "126" 0x100) + Pad(54 1575 111 1575 14 "127" 0x100) + Pad(-111 1575 -54 1575 14 "128" 0x100) + Pad(54 1600 111 1600 14 "129" 0x100) + Pad(-111 1600 -54 1600 14 "130" 0x100) + Pad(54 1625 111 1625 14 "131" 0x100) + Pad(-111 1625 -54 1625 14 "132" 0x100) + Pad(54 1650 111 1650 14 "133" 0x100) + Pad(-111 1650 -54 1650 14 "134" 0x100) + Pad(54 1675 111 1675 14 "135" 0x100) + Pad(-111 1675 -54 1675 14 "136" 0x100) + Pad(54 1700 111 1700 14 "137" 0x100) + Pad(-111 1700 -54 1700 14 "138" 0x100) + Pad(54 1725 111 1725 14 "139" 0x100) + Pad(-111 1725 -54 1725 14 "140" 0x100) + Pad(54 1750 111 1750 14 "141" 0x100) + Pad(-111 1750 -54 1750 14 "142" 0x100) + Pad(54 1775 111 1775 14 "143" 0x100) + Pad(-111 1775 -54 1775 14 "144" 0x100) + Pad(54 1800 111 1800 14 "145" 0x100) + Pad(-111 1800 -54 1800 14 "146" 0x100) + Pad(54 1825 111 1825 14 "147" 0x100) + Pad(-111 1825 -54 1825 14 "148" 0x100) + Pad(54 1850 111 1850 14 "149" 0x100) + Pad(-111 1850 -54 1850 14 "150" 0x100) + Pad(54 1875 111 1875 14 "151" 0x100) + Pad(-111 1875 -54 1875 14 "152" 0x100) + Pad(54 1900 111 1900 14 "153" 0x100) + Pad(-111 1900 -54 1900 14 "154" 0x100) + Pad(54 1925 111 1925 14 "155" 0x100) + Pad(-111 1925 -54 1925 14 "156" 0x100) + Pad(54 1950 111 1950 14 "157" 0x100) + Pad(-111 1950 -54 1950 14 "158" 0x100) + Pad(54 1975 111 1975 14 "159" 0x100) + Pad(-111 1975 -54 1975 14 "160" 0x100) + Pad(54 2000 111 2000 14 "161" 0x100) + Pad(-111 2000 -54 2000 14 "162" 0x100) + Pad(54 2025 111 2025 14 "163" 0x100) + Pad(-111 2025 -54 2025 14 "164" 0x100) + Pad(54 2050 111 2050 14 "165" 0x100) + Pad(-111 2050 -54 2050 14 "166" 0x100) + Pad(54 2075 111 2075 14 "167" 0x100) + Pad(-111 2075 -54 2075 14 "168" 0x100) + Pad(54 2100 111 2100 14 "169" 0x100) + Pad(-111 2100 -54 2100 14 "170" 0x100) + Pad(54 2125 111 2125 14 "171" 0x100) + Pad(-111 2125 -54 2125 14 "172" 0x100) + Pad(54 2150 111 2150 14 "173" 0x100) + Pad(-111 2150 -54 2150 14 "174" 0x100) + Pad(54 2175 111 2175 14 "175" 0x100) + Pad(-111 2175 -54 2175 14 "176" 0x100) + Pad(54 2200 111 2200 14 "177" 0x100) + Pad(-111 2200 -54 2200 14 "178" 0x100) + Pad(54 2225 111 2225 14 "179" 0x100) + Pad(-111 2225 -54 2225 14 "180" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 2275 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 2335 0 2355 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 2375 10) + ElementLine( 100 2375 -100 2375 10) + ElementLine(-100 2375 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 20.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 20.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "Molex .025 pitch 20 pin plug" "" "MOLEX_025 20" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 275 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 335 0 355 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 375 10) + ElementLine( 100 375 -100 375 10) + ElementLine(-100 375 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 200.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 200.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 200.fp (revision 1033) @@ -0,0 +1,216 @@ +Element(0x00 "Molex .025 pitch 200 pin plug" "" "MOLEX_025 200" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + Pad(54 1250 111 1250 14 "101" 0x100) + Pad(-111 1250 -54 1250 14 "102" 0x100) + Pad(54 1275 111 1275 14 "103" 0x100) + Pad(-111 1275 -54 1275 14 "104" 0x100) + Pad(54 1300 111 1300 14 "105" 0x100) + Pad(-111 1300 -54 1300 14 "106" 0x100) + Pad(54 1325 111 1325 14 "107" 0x100) + Pad(-111 1325 -54 1325 14 "108" 0x100) + Pad(54 1350 111 1350 14 "109" 0x100) + Pad(-111 1350 -54 1350 14 "110" 0x100) + Pad(54 1375 111 1375 14 "111" 0x100) + Pad(-111 1375 -54 1375 14 "112" 0x100) + Pad(54 1400 111 1400 14 "113" 0x100) + Pad(-111 1400 -54 1400 14 "114" 0x100) + Pad(54 1425 111 1425 14 "115" 0x100) + Pad(-111 1425 -54 1425 14 "116" 0x100) + Pad(54 1450 111 1450 14 "117" 0x100) + Pad(-111 1450 -54 1450 14 "118" 0x100) + Pad(54 1475 111 1475 14 "119" 0x100) + Pad(-111 1475 -54 1475 14 "120" 0x100) + Pad(54 1500 111 1500 14 "121" 0x100) + Pad(-111 1500 -54 1500 14 "122" 0x100) + Pad(54 1525 111 1525 14 "123" 0x100) + Pad(-111 1525 -54 1525 14 "124" 0x100) + Pad(54 1550 111 1550 14 "125" 0x100) + Pad(-111 1550 -54 1550 14 "126" 0x100) + Pad(54 1575 111 1575 14 "127" 0x100) + Pad(-111 1575 -54 1575 14 "128" 0x100) + Pad(54 1600 111 1600 14 "129" 0x100) + Pad(-111 1600 -54 1600 14 "130" 0x100) + Pad(54 1625 111 1625 14 "131" 0x100) + Pad(-111 1625 -54 1625 14 "132" 0x100) + Pad(54 1650 111 1650 14 "133" 0x100) + Pad(-111 1650 -54 1650 14 "134" 0x100) + Pad(54 1675 111 1675 14 "135" 0x100) + Pad(-111 1675 -54 1675 14 "136" 0x100) + Pad(54 1700 111 1700 14 "137" 0x100) + Pad(-111 1700 -54 1700 14 "138" 0x100) + Pad(54 1725 111 1725 14 "139" 0x100) + Pad(-111 1725 -54 1725 14 "140" 0x100) + Pad(54 1750 111 1750 14 "141" 0x100) + Pad(-111 1750 -54 1750 14 "142" 0x100) + Pad(54 1775 111 1775 14 "143" 0x100) + Pad(-111 1775 -54 1775 14 "144" 0x100) + Pad(54 1800 111 1800 14 "145" 0x100) + Pad(-111 1800 -54 1800 14 "146" 0x100) + Pad(54 1825 111 1825 14 "147" 0x100) + Pad(-111 1825 -54 1825 14 "148" 0x100) + Pad(54 1850 111 1850 14 "149" 0x100) + Pad(-111 1850 -54 1850 14 "150" 0x100) + Pad(54 1875 111 1875 14 "151" 0x100) + Pad(-111 1875 -54 1875 14 "152" 0x100) + Pad(54 1900 111 1900 14 "153" 0x100) + Pad(-111 1900 -54 1900 14 "154" 0x100) + Pad(54 1925 111 1925 14 "155" 0x100) + Pad(-111 1925 -54 1925 14 "156" 0x100) + Pad(54 1950 111 1950 14 "157" 0x100) + Pad(-111 1950 -54 1950 14 "158" 0x100) + Pad(54 1975 111 1975 14 "159" 0x100) + Pad(-111 1975 -54 1975 14 "160" 0x100) + Pad(54 2000 111 2000 14 "161" 0x100) + Pad(-111 2000 -54 2000 14 "162" 0x100) + Pad(54 2025 111 2025 14 "163" 0x100) + Pad(-111 2025 -54 2025 14 "164" 0x100) + Pad(54 2050 111 2050 14 "165" 0x100) + Pad(-111 2050 -54 2050 14 "166" 0x100) + Pad(54 2075 111 2075 14 "167" 0x100) + Pad(-111 2075 -54 2075 14 "168" 0x100) + Pad(54 2100 111 2100 14 "169" 0x100) + Pad(-111 2100 -54 2100 14 "170" 0x100) + Pad(54 2125 111 2125 14 "171" 0x100) + Pad(-111 2125 -54 2125 14 "172" 0x100) + Pad(54 2150 111 2150 14 "173" 0x100) + Pad(-111 2150 -54 2150 14 "174" 0x100) + Pad(54 2175 111 2175 14 "175" 0x100) + Pad(-111 2175 -54 2175 14 "176" 0x100) + Pad(54 2200 111 2200 14 "177" 0x100) + Pad(-111 2200 -54 2200 14 "178" 0x100) + Pad(54 2225 111 2225 14 "179" 0x100) + Pad(-111 2225 -54 2225 14 "180" 0x100) + Pad(54 2250 111 2250 14 "181" 0x100) + Pad(-111 2250 -54 2250 14 "182" 0x100) + Pad(54 2275 111 2275 14 "183" 0x100) + Pad(-111 2275 -54 2275 14 "184" 0x100) + Pad(54 2300 111 2300 14 "185" 0x100) + Pad(-111 2300 -54 2300 14 "186" 0x100) + Pad(54 2325 111 2325 14 "187" 0x100) + Pad(-111 2325 -54 2325 14 "188" 0x100) + Pad(54 2350 111 2350 14 "189" 0x100) + Pad(-111 2350 -54 2350 14 "190" 0x100) + Pad(54 2375 111 2375 14 "191" 0x100) + Pad(-111 2375 -54 2375 14 "192" 0x100) + Pad(54 2400 111 2400 14 "193" 0x100) + Pad(-111 2400 -54 2400 14 "194" 0x100) + Pad(54 2425 111 2425 14 "195" 0x100) + Pad(-111 2425 -54 2425 14 "196" 0x100) + Pad(54 2450 111 2450 14 "197" 0x100) + Pad(-111 2450 -54 2450 14 "198" 0x100) + Pad(54 2475 111 2475 14 "199" 0x100) + Pad(-111 2475 -54 2475 14 "200" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 2525 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 2585 0 2605 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 2625 10) + ElementLine( 100 2625 -100 2625 10) + ElementLine(-100 2625 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 240.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 240.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 240.fp (revision 1033) @@ -0,0 +1,256 @@ +Element(0x00 "Molex .025 pitch 240 pin plug" "" "MOLEX_025 240" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + Pad(54 1250 111 1250 14 "101" 0x100) + Pad(-111 1250 -54 1250 14 "102" 0x100) + Pad(54 1275 111 1275 14 "103" 0x100) + Pad(-111 1275 -54 1275 14 "104" 0x100) + Pad(54 1300 111 1300 14 "105" 0x100) + Pad(-111 1300 -54 1300 14 "106" 0x100) + Pad(54 1325 111 1325 14 "107" 0x100) + Pad(-111 1325 -54 1325 14 "108" 0x100) + Pad(54 1350 111 1350 14 "109" 0x100) + Pad(-111 1350 -54 1350 14 "110" 0x100) + Pad(54 1375 111 1375 14 "111" 0x100) + Pad(-111 1375 -54 1375 14 "112" 0x100) + Pad(54 1400 111 1400 14 "113" 0x100) + Pad(-111 1400 -54 1400 14 "114" 0x100) + Pad(54 1425 111 1425 14 "115" 0x100) + Pad(-111 1425 -54 1425 14 "116" 0x100) + Pad(54 1450 111 1450 14 "117" 0x100) + Pad(-111 1450 -54 1450 14 "118" 0x100) + Pad(54 1475 111 1475 14 "119" 0x100) + Pad(-111 1475 -54 1475 14 "120" 0x100) + Pad(54 1500 111 1500 14 "121" 0x100) + Pad(-111 1500 -54 1500 14 "122" 0x100) + Pad(54 1525 111 1525 14 "123" 0x100) + Pad(-111 1525 -54 1525 14 "124" 0x100) + Pad(54 1550 111 1550 14 "125" 0x100) + Pad(-111 1550 -54 1550 14 "126" 0x100) + Pad(54 1575 111 1575 14 "127" 0x100) + Pad(-111 1575 -54 1575 14 "128" 0x100) + Pad(54 1600 111 1600 14 "129" 0x100) + Pad(-111 1600 -54 1600 14 "130" 0x100) + Pad(54 1625 111 1625 14 "131" 0x100) + Pad(-111 1625 -54 1625 14 "132" 0x100) + Pad(54 1650 111 1650 14 "133" 0x100) + Pad(-111 1650 -54 1650 14 "134" 0x100) + Pad(54 1675 111 1675 14 "135" 0x100) + Pad(-111 1675 -54 1675 14 "136" 0x100) + Pad(54 1700 111 1700 14 "137" 0x100) + Pad(-111 1700 -54 1700 14 "138" 0x100) + Pad(54 1725 111 1725 14 "139" 0x100) + Pad(-111 1725 -54 1725 14 "140" 0x100) + Pad(54 1750 111 1750 14 "141" 0x100) + Pad(-111 1750 -54 1750 14 "142" 0x100) + Pad(54 1775 111 1775 14 "143" 0x100) + Pad(-111 1775 -54 1775 14 "144" 0x100) + Pad(54 1800 111 1800 14 "145" 0x100) + Pad(-111 1800 -54 1800 14 "146" 0x100) + Pad(54 1825 111 1825 14 "147" 0x100) + Pad(-111 1825 -54 1825 14 "148" 0x100) + Pad(54 1850 111 1850 14 "149" 0x100) + Pad(-111 1850 -54 1850 14 "150" 0x100) + Pad(54 1875 111 1875 14 "151" 0x100) + Pad(-111 1875 -54 1875 14 "152" 0x100) + Pad(54 1900 111 1900 14 "153" 0x100) + Pad(-111 1900 -54 1900 14 "154" 0x100) + Pad(54 1925 111 1925 14 "155" 0x100) + Pad(-111 1925 -54 1925 14 "156" 0x100) + Pad(54 1950 111 1950 14 "157" 0x100) + Pad(-111 1950 -54 1950 14 "158" 0x100) + Pad(54 1975 111 1975 14 "159" 0x100) + Pad(-111 1975 -54 1975 14 "160" 0x100) + Pad(54 2000 111 2000 14 "161" 0x100) + Pad(-111 2000 -54 2000 14 "162" 0x100) + Pad(54 2025 111 2025 14 "163" 0x100) + Pad(-111 2025 -54 2025 14 "164" 0x100) + Pad(54 2050 111 2050 14 "165" 0x100) + Pad(-111 2050 -54 2050 14 "166" 0x100) + Pad(54 2075 111 2075 14 "167" 0x100) + Pad(-111 2075 -54 2075 14 "168" 0x100) + Pad(54 2100 111 2100 14 "169" 0x100) + Pad(-111 2100 -54 2100 14 "170" 0x100) + Pad(54 2125 111 2125 14 "171" 0x100) + Pad(-111 2125 -54 2125 14 "172" 0x100) + Pad(54 2150 111 2150 14 "173" 0x100) + Pad(-111 2150 -54 2150 14 "174" 0x100) + Pad(54 2175 111 2175 14 "175" 0x100) + Pad(-111 2175 -54 2175 14 "176" 0x100) + Pad(54 2200 111 2200 14 "177" 0x100) + Pad(-111 2200 -54 2200 14 "178" 0x100) + Pad(54 2225 111 2225 14 "179" 0x100) + Pad(-111 2225 -54 2225 14 "180" 0x100) + Pad(54 2250 111 2250 14 "181" 0x100) + Pad(-111 2250 -54 2250 14 "182" 0x100) + Pad(54 2275 111 2275 14 "183" 0x100) + Pad(-111 2275 -54 2275 14 "184" 0x100) + Pad(54 2300 111 2300 14 "185" 0x100) + Pad(-111 2300 -54 2300 14 "186" 0x100) + Pad(54 2325 111 2325 14 "187" 0x100) + Pad(-111 2325 -54 2325 14 "188" 0x100) + Pad(54 2350 111 2350 14 "189" 0x100) + Pad(-111 2350 -54 2350 14 "190" 0x100) + Pad(54 2375 111 2375 14 "191" 0x100) + Pad(-111 2375 -54 2375 14 "192" 0x100) + Pad(54 2400 111 2400 14 "193" 0x100) + Pad(-111 2400 -54 2400 14 "194" 0x100) + Pad(54 2425 111 2425 14 "195" 0x100) + Pad(-111 2425 -54 2425 14 "196" 0x100) + Pad(54 2450 111 2450 14 "197" 0x100) + Pad(-111 2450 -54 2450 14 "198" 0x100) + Pad(54 2475 111 2475 14 "199" 0x100) + Pad(-111 2475 -54 2475 14 "200" 0x100) + Pad(54 2500 111 2500 14 "201" 0x100) + Pad(-111 2500 -54 2500 14 "202" 0x100) + Pad(54 2525 111 2525 14 "203" 0x100) + Pad(-111 2525 -54 2525 14 "204" 0x100) + Pad(54 2550 111 2550 14 "205" 0x100) + Pad(-111 2550 -54 2550 14 "206" 0x100) + Pad(54 2575 111 2575 14 "207" 0x100) + Pad(-111 2575 -54 2575 14 "208" 0x100) + Pad(54 2600 111 2600 14 "209" 0x100) + Pad(-111 2600 -54 2600 14 "210" 0x100) + Pad(54 2625 111 2625 14 "211" 0x100) + Pad(-111 2625 -54 2625 14 "212" 0x100) + Pad(54 2650 111 2650 14 "213" 0x100) + Pad(-111 2650 -54 2650 14 "214" 0x100) + Pad(54 2675 111 2675 14 "215" 0x100) + Pad(-111 2675 -54 2675 14 "216" 0x100) + Pad(54 2700 111 2700 14 "217" 0x100) + Pad(-111 2700 -54 2700 14 "218" 0x100) + Pad(54 2725 111 2725 14 "219" 0x100) + Pad(-111 2725 -54 2725 14 "220" 0x100) + Pad(54 2750 111 2750 14 "221" 0x100) + Pad(-111 2750 -54 2750 14 "222" 0x100) + Pad(54 2775 111 2775 14 "223" 0x100) + Pad(-111 2775 -54 2775 14 "224" 0x100) + Pad(54 2800 111 2800 14 "225" 0x100) + Pad(-111 2800 -54 2800 14 "226" 0x100) + Pad(54 2825 111 2825 14 "227" 0x100) + Pad(-111 2825 -54 2825 14 "228" 0x100) + Pad(54 2850 111 2850 14 "229" 0x100) + Pad(-111 2850 -54 2850 14 "230" 0x100) + Pad(54 2875 111 2875 14 "231" 0x100) + Pad(-111 2875 -54 2875 14 "232" 0x100) + Pad(54 2900 111 2900 14 "233" 0x100) + Pad(-111 2900 -54 2900 14 "234" 0x100) + Pad(54 2925 111 2925 14 "235" 0x100) + Pad(-111 2925 -54 2925 14 "236" 0x100) + Pad(54 2950 111 2950 14 "237" 0x100) + Pad(-111 2950 -54 2950 14 "238" 0x100) + Pad(54 2975 111 2975 14 "239" 0x100) + Pad(-111 2975 -54 2975 14 "240" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 3025 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 3085 0 3105 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 3125 10) + ElementLine( 100 3125 -100 3125 10) + ElementLine(-100 3125 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 30.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 30.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 30.fp (revision 1033) @@ -0,0 +1,46 @@ +Element(0x00 "Molex .025 pitch 30 pin plug" "" "MOLEX_025 30" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 400 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 460 0 480 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 500 10) + ElementLine( 100 500 -100 500 10) + ElementLine(-100 500 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 40.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 40.fp (revision 1033) @@ -0,0 +1,56 @@ +Element(0x00 "Molex .025 pitch 40 pin plug" "" "MOLEX_025 40" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 525 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 585 0 605 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 625 10) + ElementLine( 100 625 -100 625 10) + ElementLine(-100 625 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 50.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 50.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "Molex .025 pitch 50 pin plug" "" "MOLEX_025 50" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 650 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 710 0 730 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 750 10) + ElementLine( 100 750 -100 750 10) + ElementLine(-100 750 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 60.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 60.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 60.fp (revision 1033) @@ -0,0 +1,76 @@ +Element(0x00 "Molex .025 pitch 60 pin plug" "" "MOLEX_025 60" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 775 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 835 0 855 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 875 10) + ElementLine( 100 875 -100 875 10) + ElementLine(-100 875 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 68.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 68.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 68.fp (revision 1033) @@ -0,0 +1,84 @@ +Element(0x00 "Molex .025 pitch 68 pin plug" "" "MOLEX_025 68" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 875 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 935 0 955 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 975 10) + ElementLine( 100 975 -100 975 10) + ElementLine(-100 975 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 70.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 70.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 70.fp (revision 1033) @@ -0,0 +1,86 @@ +Element(0x00 "Molex .025 pitch 70 pin plug" "" "MOLEX_025 70" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 900 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 960 0 980 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 1000 10) + ElementLine( 100 1000 -100 1000 10) + ElementLine(-100 1000 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 80.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 80.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 80.fp (revision 1033) @@ -0,0 +1,96 @@ +Element(0x00 "Molex .025 pitch 80 pin plug" "" "MOLEX_025 80" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 1025 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 1085 0 1105 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 1125 10) + ElementLine( 100 1125 -100 1125 10) + ElementLine(-100 1125 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MOLEX_025 90.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MOLEX_025 90.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MOLEX_025 90.fp (revision 1033) @@ -0,0 +1,106 @@ +Element(0x00 "Molex .025 pitch 90 pin plug" "" "MOLEX_025 90" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 1150 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 1210 0 1230 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 1250 10) + ElementLine( 100 1250 -100 1250 10) + ElementLine(-100 1250 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. +) Index: oldlib/lib/pcblib-newlib/connector/MTA_156 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/MTA_156 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/MTA_156 2.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "MTA-156 header" "" "MTA_156 2" 0 140 0 150 0x00) +( + Pin(0 0 100 70 "1" 0x101) + Pin(156 0 100 70 "2" 0x01) + ElementLine(-78 120 234 120 10) + ElementLine(234 120 234 -180 10) + ElementLine(234 -180 -78 -180 10) + ElementLine(234 -80 -78 -80 10) + ElementLine(-78 -180 -78 120 10) +) Index: oldlib/lib/pcblib-newlib/connector/NANOENGINE.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/NANOENGINE.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/NANOENGINE.fp (revision 1033) @@ -0,0 +1,182 @@ +Element(0x00 "Bright Star nanoEngine SBC" "" "NANOENGINE" 0 0 3 100 0x00) +( + Pad(54 0 111 0 14 "1" 0x00) + Pad(-111 0 -54 0 14 "2" 0x100) + Pad(54 25 111 25 14 "3" 0x100) + Pad(-111 25 -54 25 14 "4" 0x100) + Pad(54 50 111 50 14 "5" 0x100) + Pad(-111 50 -54 50 14 "6" 0x100) + Pad(54 75 111 75 14 "7" 0x100) + Pad(-111 75 -54 75 14 "8" 0x100) + Pad(54 100 111 100 14 "9" 0x100) + Pad(-111 100 -54 100 14 "10" 0x100) + Pad(54 125 111 125 14 "11" 0x100) + Pad(-111 125 -54 125 14 "12" 0x100) + Pad(54 150 111 150 14 "13" 0x100) + Pad(-111 150 -54 150 14 "14" 0x100) + Pad(54 175 111 175 14 "15" 0x100) + Pad(-111 175 -54 175 14 "16" 0x100) + Pad(54 200 111 200 14 "17" 0x100) + Pad(-111 200 -54 200 14 "18" 0x100) + Pad(54 225 111 225 14 "19" 0x100) + Pad(-111 225 -54 225 14 "20" 0x100) + Pad(54 250 111 250 14 "21" 0x100) + Pad(-111 250 -54 250 14 "22" 0x100) + Pad(54 275 111 275 14 "23" 0x100) + Pad(-111 275 -54 275 14 "24" 0x100) + Pad(54 300 111 300 14 "25" 0x100) + Pad(-111 300 -54 300 14 "26" 0x100) + Pad(54 325 111 325 14 "27" 0x100) + Pad(-111 325 -54 325 14 "28" 0x100) + Pad(54 350 111 350 14 "29" 0x100) + Pad(-111 350 -54 350 14 "30" 0x100) + Pad(54 375 111 375 14 "31" 0x100) + Pad(-111 375 -54 375 14 "32" 0x100) + Pad(54 400 111 400 14 "33" 0x100) + Pad(-111 400 -54 400 14 "34" 0x100) + Pad(54 425 111 425 14 "35" 0x100) + Pad(-111 425 -54 425 14 "36" 0x100) + Pad(54 450 111 450 14 "37" 0x100) + Pad(-111 450 -54 450 14 "38" 0x100) + Pad(54 475 111 475 14 "39" 0x100) + Pad(-111 475 -54 475 14 "40" 0x100) + Pad(54 500 111 500 14 "41" 0x100) + Pad(-111 500 -54 500 14 "42" 0x100) + Pad(54 525 111 525 14 "43" 0x100) + Pad(-111 525 -54 525 14 "44" 0x100) + Pad(54 550 111 550 14 "45" 0x100) + Pad(-111 550 -54 550 14 "46" 0x100) + Pad(54 575 111 575 14 "47" 0x100) + Pad(-111 575 -54 575 14 "48" 0x100) + Pad(54 600 111 600 14 "49" 0x100) + Pad(-111 600 -54 600 14 "50" 0x100) + Pad(54 625 111 625 14 "51" 0x100) + Pad(-111 625 -54 625 14 "52" 0x100) + Pad(54 650 111 650 14 "53" 0x100) + Pad(-111 650 -54 650 14 "54" 0x100) + Pad(54 675 111 675 14 "55" 0x100) + Pad(-111 675 -54 675 14 "56" 0x100) + Pad(54 700 111 700 14 "57" 0x100) + Pad(-111 700 -54 700 14 "58" 0x100) + Pad(54 725 111 725 14 "59" 0x100) + Pad(-111 725 -54 725 14 "60" 0x100) + Pad(54 750 111 750 14 "61" 0x100) + Pad(-111 750 -54 750 14 "62" 0x100) + Pad(54 775 111 775 14 "63" 0x100) + Pad(-111 775 -54 775 14 "64" 0x100) + Pad(54 800 111 800 14 "65" 0x100) + Pad(-111 800 -54 800 14 "66" 0x100) + Pad(54 825 111 825 14 "67" 0x100) + Pad(-111 825 -54 825 14 "68" 0x100) + Pad(54 850 111 850 14 "69" 0x100) + Pad(-111 850 -54 850 14 "70" 0x100) + Pad(54 875 111 875 14 "71" 0x100) + Pad(-111 875 -54 875 14 "72" 0x100) + Pad(54 900 111 900 14 "73" 0x100) + Pad(-111 900 -54 900 14 "74" 0x100) + Pad(54 925 111 925 14 "75" 0x100) + Pad(-111 925 -54 925 14 "76" 0x100) + Pad(54 950 111 950 14 "77" 0x100) + Pad(-111 950 -54 950 14 "78" 0x100) + Pad(54 975 111 975 14 "79" 0x100) + Pad(-111 975 -54 975 14 "80" 0x100) + Pad(54 1000 111 1000 14 "81" 0x100) + Pad(-111 1000 -54 1000 14 "82" 0x100) + Pad(54 1025 111 1025 14 "83" 0x100) + Pad(-111 1025 -54 1025 14 "84" 0x100) + Pad(54 1050 111 1050 14 "85" 0x100) + Pad(-111 1050 -54 1050 14 "86" 0x100) + Pad(54 1075 111 1075 14 "87" 0x100) + Pad(-111 1075 -54 1075 14 "88" 0x100) + Pad(54 1100 111 1100 14 "89" 0x100) + Pad(-111 1100 -54 1100 14 "90" 0x100) + Pad(54 1125 111 1125 14 "91" 0x100) + Pad(-111 1125 -54 1125 14 "92" 0x100) + Pad(54 1150 111 1150 14 "93" 0x100) + Pad(-111 1150 -54 1150 14 "94" 0x100) + Pad(54 1175 111 1175 14 "95" 0x100) + Pad(-111 1175 -54 1175 14 "96" 0x100) + Pad(54 1200 111 1200 14 "97" 0x100) + Pad(-111 1200 -54 1200 14 "98" 0x100) + Pad(54 1225 111 1225 14 "99" 0x100) + Pad(-111 1225 -54 1225 14 "100" 0x100) + Pad(54 1250 111 1250 14 "101" 0x100) + Pad(-111 1250 -54 1250 14 "102" 0x100) + Pad(54 1275 111 1275 14 "103" 0x100) + Pad(-111 1275 -54 1275 14 "104" 0x100) + Pad(54 1300 111 1300 14 "105" 0x100) + Pad(-111 1300 -54 1300 14 "106" 0x100) + Pad(54 1325 111 1325 14 "107" 0x100) + Pad(-111 1325 -54 1325 14 "108" 0x100) + Pad(54 1350 111 1350 14 "109" 0x100) + Pad(-111 1350 -54 1350 14 "110" 0x100) + Pad(54 1375 111 1375 14 "111" 0x100) + Pad(-111 1375 -54 1375 14 "112" 0x100) + Pad(54 1400 111 1400 14 "113" 0x100) + Pad(-111 1400 -54 1400 14 "114" 0x100) + Pad(54 1425 111 1425 14 "115" 0x100) + Pad(-111 1425 -54 1425 14 "116" 0x100) + Pad(54 1450 111 1450 14 "117" 0x100) + Pad(-111 1450 -54 1450 14 "118" 0x100) + Pad(54 1475 111 1475 14 "119" 0x100) + Pad(-111 1475 -54 1475 14 "120" 0x100) + Pad(54 1500 111 1500 14 "121" 0x100) + Pad(-111 1500 -54 1500 14 "122" 0x100) + Pad(54 1525 111 1525 14 "123" 0x100) + Pad(-111 1525 -54 1525 14 "124" 0x100) + Pad(54 1550 111 1550 14 "125" 0x100) + Pad(-111 1550 -54 1550 14 "126" 0x100) + Pad(54 1575 111 1575 14 "127" 0x100) + Pad(-111 1575 -54 1575 14 "128" 0x100) + Pad(54 1600 111 1600 14 "129" 0x100) + Pad(-111 1600 -54 1600 14 "130" 0x100) + Pad(54 1625 111 1625 14 "131" 0x100) + Pad(-111 1625 -54 1625 14 "132" 0x100) + Pad(54 1650 111 1650 14 "133" 0x100) + Pad(-111 1650 -54 1650 14 "134" 0x100) + Pad(54 1675 111 1675 14 "135" 0x100) + Pad(-111 1675 -54 1675 14 "136" 0x100) + Pad(54 1700 111 1700 14 "137" 0x100) + Pad(-111 1700 -54 1700 14 "138" 0x100) + Pad(54 1725 111 1725 14 "139" 0x100) + Pad(-111 1725 -54 1725 14 "140" 0x100) + Pad(54 1750 111 1750 14 "141" 0x100) + Pad(-111 1750 -54 1750 14 "142" 0x100) + Pad(54 1775 111 1775 14 "143" 0x100) + Pad(-111 1775 -54 1775 14 "144" 0x100) + Pad(54 1800 111 1800 14 "145" 0x100) + Pad(-111 1800 -54 1800 14 "146" 0x100) + Pad(54 1825 111 1825 14 "147" 0x100) + Pad(-111 1825 -54 1825 14 "148" 0x100) + Pad(54 1850 111 1850 14 "149" 0x100) + Pad(-111 1850 -54 1850 14 "150" 0x100) + Pad(54 1875 111 1875 14 "151" 0x100) + Pad(-111 1875 -54 1875 14 "152" 0x100) + Pad(54 1900 111 1900 14 "153" 0x100) + Pad(-111 1900 -54 1900 14 "154" 0x100) + Pad(54 1925 111 1925 14 "155" 0x100) + Pad(-111 1925 -54 1925 14 "156" 0x100) + Pad(54 1950 111 1950 14 "157" 0x100) + Pad(-111 1950 -54 1950 14 "158" 0x100) + Pad(54 1975 111 1975 14 "159" 0x100) + Pad(-111 1975 -54 1975 14 "160" 0x100) + # Keying is done with two sizes of alignment pins: 35 and 28 mils + Pin(0 -50 50 35 "M1" 0x01) + Pin(0 2025 43 28 "M2" 0x01) + # ends of mounting pads are 71 and 169 mils from end pad centers + Pad(0 -110 0 -130 79 "M3" 0x100) + Pad(0 2085 0 2105 79 "M4" 0x100) + ElementLine(-100 -150 50 -150 10) + ElementLine( 50 -150 100 -100 10) + ElementLine( 100 -100 100 2125 10) + ElementLine( 100 2125 -100 2125 10) + ElementLine(-100 2125 -100 -150 10) + # Support for aggregate parts built from this base, like + # the nanoEngine below. + ElementLine(-175 -215 -175 2185 15) + ElementLine(-175 2185 1225 2185 15) + ElementLine(1225 2185 1225 -215 15) + ElementLine(1225 -215 -175 -215 15) + Pin(525 -115 125 70 "M5" 0x01) + Pin(525 2085 125 70 "M6" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/SMA_VERT.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/SMA_VERT.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/SMA_VERT.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "vertical SMA" "" "SMA_VERT" 0 150 3 100 0x00) +( + ElementLine(-160 -160 160 -160 10) + ElementLine(160 -160 160 160 10) + ElementLine(160 160 -160 160 10) + ElementLine(-160 160 -160 -160 10) + Pin(0 0 80 30 "1" 0x101) + Pin(-100 100 80 30 "2" 0x01) + Pin(-100 -100 80 30 "3" 0x01) + Pin(100 100 80 30 "4" 0x01) + Pin(100 -100 80 30 "5" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 15.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 15.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 15.fp (revision 1033) @@ -0,0 +1,68 @@ +Element(0x00 "SUB-D female 15 pins" "" "SUBD_FEMALE_LAY 15" 1000 2026 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + ElementLine(635 880 665 880 10) + ElementLine(665 880 665 2416 10) + ElementLine(665 2416 635 2416 10) + ElementLine(635 2416 635 880 10) + ElementLine(635 940 665 940 10) + ElementLine(635 1060 665 1060 10) + ElementLine(635 2356 665 2356 10) + ElementLine(635 2236 665 2236 10) + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + # Gehaeuse (innerer Kasten) + ElementLine(665 1110 770 1110 20) + ElementLine(770 1110 770 2186 20) + ElementLine(770 2186 665 2186 20) + ElementLine(665 2186 665 1110 10) + # Pins + # Pin 1 + Pin(1056 2026 60 35 "1" 0x101) + ElementLine(1016 2026 770 2026 20) + # Plazierungsmarkierung == PIN 1 + # Changed PY1 to PY2 13-Dec-1999 LRD + Mark(1056 2026) + # Remainder of the first row + Pin(1056 1918 60 35 "2" 0x01) + ElementLine(1016 1918 770 1918 20) + Pin(1056 1810 60 35 "3" 0x01) + ElementLine(1016 1810 770 1810 20) + Pin(1056 1702 60 35 "4" 0x01) + ElementLine(1016 1702 770 1702 20) + Pin(1056 1594 60 35 "5" 0x01) + ElementLine(1016 1594 770 1594 20) + Pin(1056 1486 60 35 "6" 0x01) + ElementLine(1016 1486 770 1486 20) + Pin(1056 1378 60 35 "7" 0x01) + ElementLine(1016 1378 770 1378 20) + Pin(1056 1270 60 35 "8" 0x01) + ElementLine(1016 1270 770 1270 20) + # Second row + Pin(944 1972 60 35 "9" 0x01) + ElementLine(904 1972 770 1972 20) + Pin(944 1864 60 35 "10" 0x01) + ElementLine(904 1864 770 1864 20) + Pin(944 1756 60 35 "11" 0x01) + ElementLine(904 1756 770 1756 20) + Pin(944 1648 60 35 "12" 0x01) + ElementLine(904 1648 770 1648 20) + Pin(944 1540 60 35 "13" 0x01) + ElementLine(904 1540 770 1540 20) + Pin(944 1432 60 35 "14" 0x01) + ElementLine(904 1432 770 1432 20) + Pin(944 1324 60 35 "15" 0x01) + ElementLine(904 1324 770 1324 20) + # Befestigungsbohrung + Pin(1000 1000 250 125 "C1" 0x01) + Pin(1000 2296 250 125 "C2" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 25.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 25.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 25.fp (revision 1033) @@ -0,0 +1,88 @@ +Element(0x00 "SUB-D female 25 pins" "" "SUBD_FEMALE_LAY 25" 1000 2566 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + ElementLine(635 880 665 880 10) + ElementLine(665 880 665 2956 10) + ElementLine(665 2956 635 2956 10) + ElementLine(635 2956 635 880 10) + ElementLine(635 940 665 940 10) + ElementLine(635 1060 665 1060 10) + ElementLine(635 2896 665 2896 10) + ElementLine(635 2776 665 2776 10) + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + # Gehaeuse (innerer Kasten) + ElementLine(665 1110 770 1110 20) + ElementLine(770 1110 770 2726 20) + ElementLine(770 2726 665 2726 20) + ElementLine(665 2726 665 1110 10) + # Pins + # Pin 1 + Pin(1056 2566 60 35 "1" 0x101) + ElementLine(1016 2566 770 2566 20) + # Plazierungsmarkierung == PIN 1 + # Changed PY1 to PY2 13-Dec-1999 LRD + Mark(1056 2566) + # Remainder of the first row + Pin(1056 2458 60 35 "2" 0x01) + ElementLine(1016 2458 770 2458 20) + Pin(1056 2350 60 35 "3" 0x01) + ElementLine(1016 2350 770 2350 20) + Pin(1056 2242 60 35 "4" 0x01) + ElementLine(1016 2242 770 2242 20) + Pin(1056 2134 60 35 "5" 0x01) + ElementLine(1016 2134 770 2134 20) + Pin(1056 2026 60 35 "6" 0x01) + ElementLine(1016 2026 770 2026 20) + Pin(1056 1918 60 35 "7" 0x01) + ElementLine(1016 1918 770 1918 20) + Pin(1056 1810 60 35 "8" 0x01) + ElementLine(1016 1810 770 1810 20) + Pin(1056 1702 60 35 "9" 0x01) + ElementLine(1016 1702 770 1702 20) + Pin(1056 1594 60 35 "10" 0x01) + ElementLine(1016 1594 770 1594 20) + Pin(1056 1486 60 35 "11" 0x01) + ElementLine(1016 1486 770 1486 20) + Pin(1056 1378 60 35 "12" 0x01) + ElementLine(1016 1378 770 1378 20) + Pin(1056 1270 60 35 "13" 0x01) + ElementLine(1016 1270 770 1270 20) + # Second row + Pin(944 2512 60 35 "14" 0x01) + ElementLine(904 2512 770 2512 20) + Pin(944 2404 60 35 "15" 0x01) + ElementLine(904 2404 770 2404 20) + Pin(944 2296 60 35 "16" 0x01) + ElementLine(904 2296 770 2296 20) + Pin(944 2188 60 35 "17" 0x01) + ElementLine(904 2188 770 2188 20) + Pin(944 2080 60 35 "18" 0x01) + ElementLine(904 2080 770 2080 20) + Pin(944 1972 60 35 "19" 0x01) + ElementLine(904 1972 770 1972 20) + Pin(944 1864 60 35 "20" 0x01) + ElementLine(904 1864 770 1864 20) + Pin(944 1756 60 35 "21" 0x01) + ElementLine(904 1756 770 1756 20) + Pin(944 1648 60 35 "22" 0x01) + ElementLine(904 1648 770 1648 20) + Pin(944 1540 60 35 "23" 0x01) + ElementLine(904 1540 770 1540 20) + Pin(944 1432 60 35 "24" 0x01) + ElementLine(904 1432 770 1432 20) + Pin(944 1324 60 35 "25" 0x01) + ElementLine(904 1324 770 1324 20) + # Befestigungsbohrung + Pin(1000 1000 250 125 "C1" 0x01) + Pin(1000 2836 250 125 "C2" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 9.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 9.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/SUBD_FEMALE_LAY 9.fp (revision 1033) @@ -0,0 +1,56 @@ +Element(0x00 "SUB-D female 9 pins" "" "SUBD_FEMALE_LAY 9" 1000 1702 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + ElementLine(635 880 665 880 10) + ElementLine(665 880 665 2092 10) + ElementLine(665 2092 635 2092 10) + ElementLine(635 2092 635 880 10) + ElementLine(635 940 665 940 10) + ElementLine(635 1060 665 1060 10) + ElementLine(635 2032 665 2032 10) + ElementLine(635 1912 665 1912 10) + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + # Gehaeuse (innerer Kasten) + ElementLine(665 1110 770 1110 20) + ElementLine(770 1110 770 1862 20) + ElementLine(770 1862 665 1862 20) + ElementLine(665 1862 665 1110 10) + # Pins + # Pin 1 + Pin(1056 1702 60 35 "1" 0x101) + ElementLine(1016 1702 770 1702 20) + # Plazierungsmarkierung == PIN 1 + # Changed PY1 to PY2 13-Dec-1999 LRD + Mark(1056 1702) + # Remainder of the first row + Pin(1056 1594 60 35 "2" 0x01) + ElementLine(1016 1594 770 1594 20) + Pin(1056 1486 60 35 "3" 0x01) + ElementLine(1016 1486 770 1486 20) + Pin(1056 1378 60 35 "4" 0x01) + ElementLine(1016 1378 770 1378 20) + Pin(1056 1270 60 35 "5" 0x01) + ElementLine(1016 1270 770 1270 20) + # Second row + Pin(944 1648 60 35 "6" 0x01) + ElementLine(904 1648 770 1648 20) + Pin(944 1540 60 35 "7" 0x01) + ElementLine(904 1540 770 1540 20) + Pin(944 1432 60 35 "8" 0x01) + ElementLine(904 1432 770 1432 20) + Pin(944 1324 60 35 "9" 0x01) + ElementLine(904 1324 770 1324 20) + # Befestigungsbohrung + Pin(1000 1000 250 125 "C1" 0x01) + Pin(1000 1972 250 125 "C2" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 15.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 15.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 15.fp (revision 1033) @@ -0,0 +1,67 @@ +Element(0x00 "SUB-D male 15 pins" "" "SUBD_MALE_LAY 15" 1000 2026 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + ElementLine(635 880 665 880 10) + ElementLine(665 880 665 2416 10) + ElementLine(665 2416 635 2416 10) + ElementLine(635 2416 635 880 10) + ElementLine(635 940 665 940 10) + ElementLine(635 1060 665 1060 10) + ElementLine(635 2356 665 2356 10) + ElementLine(635 2236 665 2236 10) + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + # Gehaeuse (innerer Kasten) + ElementLine(665 1110 770 1110 20) + ElementLine(770 1110 770 2186 20) + ElementLine(770 2186 665 2186 20) + ElementLine(665 2186 665 1110 10) + # Pins + # First row + Pin(1056 1270 60 35 "1" 0x101) + ElementLine(1016 1270 770 1270 20) + Pin(1056 1378 60 35 "2" 0x01) + ElementLine(1016 1378 770 1378 20) + Pin(1056 1486 60 35 "3" 0x01) + ElementLine(1016 1486 770 1486 20) + Pin(1056 1594 60 35 "4" 0x01) + ElementLine(1016 1594 770 1594 20) + Pin(1056 1702 60 35 "5" 0x01) + ElementLine(1016 1702 770 1702 20) + Pin(1056 1810 60 35 "6" 0x01) + ElementLine(1016 1810 770 1810 20) + Pin(1056 1918 60 35 "7" 0x01) + ElementLine(1016 1918 770 1918 20) + # Last pin in first row + Pin(1056 2026 60 35 "8" 0x01) + ElementLine(1016 2026 770 2026 20) + # Second row + Pin(944 1324 60 35 "9" 0x01) + ElementLine(904 1324 770 1324 20) + Pin(944 1432 60 35 "10" 0x01) + ElementLine(904 1432 770 1432 20) + Pin(944 1540 60 35 "11" 0x01) + ElementLine(904 1540 770 1540 20) + Pin(944 1648 60 35 "12" 0x01) + ElementLine(904 1648 770 1648 20) + Pin(944 1756 60 35 "13" 0x01) + ElementLine(904 1756 770 1756 20) + Pin(944 1864 60 35 "14" 0x01) + ElementLine(904 1864 770 1864 20) + Pin(944 1972 60 35 "15" 0x01) + ElementLine(904 1972 770 1972 20) + # Plazierungsmarkierung == PIN 1 + Mark(1050 1270) + # Befestigungsbohrung + Pin(1000 1000 250 125 "C1" 0x01) + Pin(1000 2296 250 125 "C2" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 25.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 25.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 25.fp (revision 1033) @@ -0,0 +1,87 @@ +Element(0x00 "SUB-D male 25 pins" "" "SUBD_MALE_LAY 25" 1000 2566 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + ElementLine(635 880 665 880 10) + ElementLine(665 880 665 2956 10) + ElementLine(665 2956 635 2956 10) + ElementLine(635 2956 635 880 10) + ElementLine(635 940 665 940 10) + ElementLine(635 1060 665 1060 10) + ElementLine(635 2896 665 2896 10) + ElementLine(635 2776 665 2776 10) + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + # Gehaeuse (innerer Kasten) + ElementLine(665 1110 770 1110 20) + ElementLine(770 1110 770 2726 20) + ElementLine(770 2726 665 2726 20) + ElementLine(665 2726 665 1110 10) + # Pins + # First row + Pin(1056 1270 60 35 "1" 0x101) + ElementLine(1016 1270 770 1270 20) + Pin(1056 1378 60 35 "2" 0x01) + ElementLine(1016 1378 770 1378 20) + Pin(1056 1486 60 35 "3" 0x01) + ElementLine(1016 1486 770 1486 20) + Pin(1056 1594 60 35 "4" 0x01) + ElementLine(1016 1594 770 1594 20) + Pin(1056 1702 60 35 "5" 0x01) + ElementLine(1016 1702 770 1702 20) + Pin(1056 1810 60 35 "6" 0x01) + ElementLine(1016 1810 770 1810 20) + Pin(1056 1918 60 35 "7" 0x01) + ElementLine(1016 1918 770 1918 20) + Pin(1056 2026 60 35 "8" 0x01) + ElementLine(1016 2026 770 2026 20) + Pin(1056 2134 60 35 "9" 0x01) + ElementLine(1016 2134 770 2134 20) + Pin(1056 2242 60 35 "10" 0x01) + ElementLine(1016 2242 770 2242 20) + Pin(1056 2350 60 35 "11" 0x01) + ElementLine(1016 2350 770 2350 20) + Pin(1056 2458 60 35 "12" 0x01) + ElementLine(1016 2458 770 2458 20) + # Last pin in first row + Pin(1056 2566 60 35 "13" 0x01) + ElementLine(1016 2566 770 2566 20) + # Second row + Pin(944 1324 60 35 "14" 0x01) + ElementLine(904 1324 770 1324 20) + Pin(944 1432 60 35 "15" 0x01) + ElementLine(904 1432 770 1432 20) + Pin(944 1540 60 35 "16" 0x01) + ElementLine(904 1540 770 1540 20) + Pin(944 1648 60 35 "17" 0x01) + ElementLine(904 1648 770 1648 20) + Pin(944 1756 60 35 "18" 0x01) + ElementLine(904 1756 770 1756 20) + Pin(944 1864 60 35 "19" 0x01) + ElementLine(904 1864 770 1864 20) + Pin(944 1972 60 35 "20" 0x01) + ElementLine(904 1972 770 1972 20) + Pin(944 2080 60 35 "21" 0x01) + ElementLine(904 2080 770 2080 20) + Pin(944 2188 60 35 "22" 0x01) + ElementLine(904 2188 770 2188 20) + Pin(944 2296 60 35 "23" 0x01) + ElementLine(904 2296 770 2296 20) + Pin(944 2404 60 35 "24" 0x01) + ElementLine(904 2404 770 2404 20) + Pin(944 2512 60 35 "25" 0x01) + ElementLine(904 2512 770 2512 20) + # Plazierungsmarkierung == PIN 1 + Mark(1050 1270) + # Befestigungsbohrung + Pin(1000 1000 250 125 "C1" 0x01) + Pin(1000 2836 250 125 "C2" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 9.fp =================================================================== --- oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 9.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/SUBD_MALE_LAY 9.fp (revision 1033) @@ -0,0 +1,55 @@ +Element(0x00 "SUB-D male 9 pins" "" "SUBD_MALE_LAY 9" 1000 1702 1 150 0x00) +( + # Gehaeuse (schmaler Kasten incl. Bohrungen) + ElementLine(635 880 665 880 10) + ElementLine(665 880 665 2092 10) + ElementLine(665 2092 635 2092 10) + ElementLine(635 2092 635 880 10) + ElementLine(635 940 665 940 10) + ElementLine(635 1060 665 1060 10) + ElementLine(635 2032 665 2032 10) + ElementLine(635 1912 665 1912 10) + # Gehaeuse (aeusserer Kasten) + # This part of the connector normally hangs off the circuit board, + # so it is confusing to actually mark it on the silkscreen + # define(`X1', `eval(BASEX-PANEL_DISTANCE-260)') + # define(`Y1', `eval(PY1-100)') + # define(`X2', `eval(BASEX-PANEL_DISTANCE)') + # define(`Y2', `eval(PY2+100)') + # ElementLine(X1 Y1 X2 Y1 20) + # ElementLine(X2 Y1 X2 Y2 10) + # ElementLine(X2 Y2 X1 Y2 20) + # ElementLine(X1 Y2 X1 Y1 20) + # Gehaeuse (innerer Kasten) + ElementLine(665 1110 770 1110 20) + ElementLine(770 1110 770 1862 20) + ElementLine(770 1862 665 1862 20) + ElementLine(665 1862 665 1110 10) + # Pins + # First row + Pin(1056 1270 60 35 "1" 0x101) + ElementLine(1016 1270 770 1270 20) + Pin(1056 1378 60 35 "2" 0x01) + ElementLine(1016 1378 770 1378 20) + Pin(1056 1486 60 35 "3" 0x01) + ElementLine(1016 1486 770 1486 20) + Pin(1056 1594 60 35 "4" 0x01) + ElementLine(1016 1594 770 1594 20) + # Last pin in first row + Pin(1056 1702 60 35 "5" 0x01) + ElementLine(1016 1702 770 1702 20) + # Second row + Pin(944 1324 60 35 "6" 0x01) + ElementLine(904 1324 770 1324 20) + Pin(944 1432 60 35 "7" 0x01) + ElementLine(904 1432 770 1432 20) + Pin(944 1540 60 35 "8" 0x01) + ElementLine(904 1540 770 1540 20) + Pin(944 1648 60 35 "9" 0x01) + ElementLine(904 1648 770 1648 20) + # Plazierungsmarkierung == PIN 1 + Mark(1050 1270) + # Befestigungsbohrung + Pin(1000 1000 250 125 "C1" 0x01) + Pin(1000 1972 250 125 "C2" 0x01) +) Index: oldlib/lib/pcblib-newlib/connector/index.html =================================================================== --- oldlib/lib/pcblib-newlib/connector/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/connector/index.html (revision 1033) @@ -0,0 +1,310 @@ + +PCB connector Footprint Library + +

    PCB connector Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    2, connector 2x1 pins CONNECTOR 1 2.fp
    4, connector 2x2 pins CONNECTOR 2 2.fp
    6, connector 2x3 pins CONNECTOR 3 2.fp
    8, connector 2x4 pins CONNECTOR 4 2.fp
    10, connector 2x5 pins CONNECTOR 5 2.fp
    12, connector 3x4 pins CONNECTOR 4 3.fp
    14, connector 2x7 pins CONNECTOR 7 2.fp
    15, connector 3x5 pins CONNECTOR 5 3.fp
    16, connector 2x8 pins CONNECTOR 8 2.fp
    18, connector 3x6 pins CONNECTOR 6 3.fp
    20, connector 2x10 pins CONNECTOR 10 2.fp
    50, connector 2x25 pins CONNECTOR 25 2.fp
    abc48f, DIN41.612 row a+b+c female DIN41_612FEMALE_SMALL.fp
    abc48m, DIN41.612 row a+b+c male DIN41_612MALE_SMALL.fp
    ab64f, DIN41.612 row a+b female DIN41_612FEMALE ab.fp
    ab64m, DIN41.612 row a+b male DIN41_612MALE ab.fp
    ac64f, DIN41.612 row a+c female DIN41_612FEMALE ac.fp
    ac64m, DIN41.612 row a+c male DIN41_612MALE ac.fp
    abc96f, DIN41.612 row a+b+c female DIN41_612FEMALE abc.fp
    abc96m, DIN41.612 row a+b+c male DIN41_612MALE abc.fp
    10l, DIN41.651 laying 10 pins DIN41_651LAY 10.fp
    10s, DIN41.651 standing 10 pins DIN41_651STAND 10.fp
    14l, DIN41.651 laying 14 pins DIN41_651LAY 14.fp
    14s, DIN41.651 standing 14 pins DIN41_651STAND 14.fp
    16l, DIN41.651 laying 16 pins DIN41_651LAY 16.fp
    16s, DIN41.651 standing 16 pins DIN41_651STAND 16.fp
    18l, DIN41.651 laying 18 pins DIN41_651LAY 18.fp
    18s, DIN41.651 standing 18 pins DIN41_651STAND 18.fp
    20l, DIN41.651 laying 20 pins DIN41_651LAY 20.fp
    20s, DIN41.651 standing 20 pins DIN41_651STAND 20.fp
    22l, DIN41.651 laying 22 pins DIN41_651LAY 22.fp
    22s, DIN41.651 standing 22 pins DIN41_651STAND 22.fp
    24l, DIN41.651 laying 24 pins DIN41_651LAY 24.fp
    24s, DIN41.651 standing 24 pins DIN41_651STAND 24.fp
    26l, DIN41.651 laying 26 pins DIN41_651LAY 26.fp
    26s, DIN41.651 standing 26 pins DIN41_651STAND 26.fp
    34l, DIN41.651 laying 34 pins DIN41_651LAY 34.fp
    34s, DIN41.651 standing 34 pins DIN41_651STAND 34.fp
    40l, DIN41.651 laying 40 pins DIN41_651LAY 40.fp
    40s, DIN41.651 standing 40 pins DIN41_651STAND 40.fp
    50l, DIN41.651 laying 50 pins DIN41_651LAY 50.fp
    50s, DIN41.651 standing 50 pins DIN41_651STAND 50.fp
    64l, DIN41.651 laying 64 pins DIN41_651LAY 64.fp
    64s, DIN41.651 standing 64 pins DIN41_651STAND 64.fp
    PC-centronics, PC Centronics Connector SUBD_FEMALE_LAY 25.fp
    PC-RS232, PC V24 9-pins SUBD_MALE_LAY 9.fp
    PC-RS232, PC V24 25-pins SUBD_MALE_LAY 25.fp
    single-ended SCSI, single-ended SCSI 2x25 pins CONNECTOR 25 2.fp
    9f, SUB-D female 9 pins SUBD_FEMALE_LAY 9.fp
    9m, SUB-D male 9 pins SUBD_MALE_LAY 9.fp
    15f, SUB-D female 15 pins SUBD_FEMALE_LAY 15.fp
    15m, SUB-D male 15 pins SUBD_MALE_LAY 15.fp
    25f, SUB-D female 25 pins SUBD_FEMALE_LAY 25.fp
    25m, SUB-D male 25 pins SUBD_MALE_LAY 25.fp
    1, right angle BNC BNC_LAY.fp
    2, MTA-156 header MTA_156 2.fp
    1, vertical SMA SMA_VERT.fp
    20, Molex .025 pitch 20 pin plug MOLEX_025 20.fp
    30, Molex .025 pitch 30 pin plug MOLEX_025 30.fp
    40, Molex .025 pitch 40 pin plug MOLEX_025 40.fp
    50, Molex .025 pitch 50 pin plug MOLEX_025 50.fp
    60, Molex .025 pitch 60 pin plug MOLEX_025 60.fp
    68, Molex .025 pitch 68 pin plug MOLEX_025 68.fp
    70, Molex .025 pitch 70 pin plug MOLEX_025 70.fp
    80, Molex .025 pitch 80 pin plug MOLEX_025 80.fp
    90, Molex .025 pitch 90 pin plug MOLEX_025 90.fp
    100, Molex .025 pitch 100 pin plug MOLEX_025 100.fp
    120, Molex .025 pitch 120 pin plug MOLEX_025 120.fp
    140, Molex .025 pitch 140 pin plug MOLEX_025 140.fp
    160, Molex .025 pitch 160 pin plug MOLEX_025 160.fp
    180, Molex .025 pitch 180 pin plug MOLEX_025 180.fp
    200, Molex .025 pitch 200 pin plug MOLEX_025 200.fp
    240, Molex .025 pitch 240 pin plug MOLEX_025 240.fp
    160, Bright Star nanoEngine SBC NANOENGINE.fp
    + + Index: oldlib/lib/pcblib-newlib/crystal/CRYSTAL 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/crystal/CRYSTAL 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/crystal/CRYSTAL 300.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "crystal 300mil" "" "CRYSTAL 300" 0 -60 0 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(250 50 60 28 "2" 0x01) + ElementLine(50 0 250 0 20) + ElementArc(250 50 50 50 90 180 20) + ElementLine(250 100 50 100 20) + ElementArc(50 50 50 50 270 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/crystal/index.html =================================================================== --- oldlib/lib/pcblib-newlib/crystal/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/crystal/index.html (revision 1033) @@ -0,0 +1,26 @@ + +PCB crystal Footprint Library + +

    PCB crystal Footprint Library

    + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    1MHz, crystal 300mil CRYSTAL 300.fp
    8MHZ, crystal 300mil CRYSTAL 300.fp
    crystal, crystal 300mil CRYSTAL 300.fp
    + + Index: oldlib/lib/pcblib-newlib/cts/CTS_742C_04.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_742C_04.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_742C_04.fp (revision 1033) @@ -0,0 +1,36 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 742C Series Chip Resistor Array" "" "CTS_742C_04 " 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -4330 -1574 + -2755 -1574 + 1968 1000 2968 "1" "1" 0x00000100] + Pad[ -4330 1574 + -2755 1574 + 1968 1000 2968 "2" "2" 0x00000100] + Pad[ 4330 1574 + 2755 1574 + 1968 1000 2968 "3" "3" 0x00000100] + Pad[ 4330 -1574 + 2755 -1574 + 1968 1000 2968 "4" "4" 0x00000100] + ElementLine[-6314 -3559 -6314 3559 1000] + ElementLine[-6314 3559 6314 3559 1000] + ElementLine[ 6314 3559 6314 -3559 1000] + ElementLine[-6314 -3559 -2500 -3559 1000] + ElementLine[ 6314 -3559 2500 -3559 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_742C_08.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_742C_08.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_742C_08.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 742C Series Chip Resistor Array" "" "CTS_742C_08" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -4330 -4724 + -2755 -4724 + 1968 1000 2968 "1" "1" 0x00000100] + Pad[ -4330 -1574 + -2755 -1574 + 1968 1000 2968 "2" "2" 0x00000100] + Pad[ -4330 1574 + -2755 1574 + 1968 1000 2968 "3" "3" 0x00000100] + Pad[ -4330 4724 + -2755 4724 + 1968 1000 2968 "4" "4" 0x00000100] + Pad[ 4330 4724 + 2755 4724 + 1968 1000 2968 "5" "5" 0x00000100] + Pad[ 4330 1574 + 2755 1574 + 1968 1000 2968 "6" "6" 0x00000100] + Pad[ 4330 -1574 + 2755 -1574 + 1968 1000 2968 "7" "7" 0x00000100] + Pad[ 4330 -4724 + 2755 -4724 + 1968 1000 2968 "8" "8" 0x00000100] + ElementLine[-6314 -6708 -6314 6708 1000] + ElementLine[-6314 6708 6314 6708 1000] + ElementLine[ 6314 6708 6314 -6708 1000] + ElementLine[-6314 -6708 -2500 -6708 1000] + ElementLine[ 6314 -6708 2500 -6708 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_742C_16.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_742C_16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_742C_16.fp (revision 1033) @@ -0,0 +1,72 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 742C Series Chip Resistor Array" "" "CTS_742C_16" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -4330 -11023 + -2755 -11023 + 1968 1000 2968 "1" "1" 0x00000100] + Pad[ -4330 -7874 + -2755 -7874 + 1968 1000 2968 "2" "2" 0x00000100] + Pad[ -4330 -4724 + -2755 -4724 + 1968 1000 2968 "3" "3" 0x00000100] + Pad[ -4330 -1574 + -2755 -1574 + 1968 1000 2968 "4" "4" 0x00000100] + Pad[ -4330 1574 + -2755 1574 + 1968 1000 2968 "5" "5" 0x00000100] + Pad[ -4330 4724 + -2755 4724 + 1968 1000 2968 "6" "6" 0x00000100] + Pad[ -4330 7874 + -2755 7874 + 1968 1000 2968 "7" "7" 0x00000100] + Pad[ -4330 11023 + -2755 11023 + 1968 1000 2968 "8" "8" 0x00000100] + Pad[ 4330 11023 + 2755 11023 + 1968 1000 2968 "9" "9" 0x00000100] + Pad[ 4330 7874 + 2755 7874 + 1968 1000 2968 "10" "10" 0x00000100] + Pad[ 4330 4724 + 2755 4724 + 1968 1000 2968 "11" "11" 0x00000100] + Pad[ 4330 1574 + 2755 1574 + 1968 1000 2968 "12" "12" 0x00000100] + Pad[ 4330 -1574 + 2755 -1574 + 1968 1000 2968 "13" "13" 0x00000100] + Pad[ 4330 -4724 + 2755 -4724 + 1968 1000 2968 "14" "14" 0x00000100] + Pad[ 4330 -7874 + 2755 -7874 + 1968 1000 2968 "15" "15" 0x00000100] + Pad[ 4330 -11023 + 2755 -11023 + 1968 1000 2968 "16" "16" 0x00000100] + ElementLine[-6314 -13007 -6314 13007 1000] + ElementLine[-6314 13007 6314 13007 1000] + ElementLine[ 6314 13007 6314 -13007 1000] + ElementLine[-6314 -13007 -2500 -13007 1000] + ElementLine[ 6314 -13007 2500 -13007 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_742X_08.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_742X_08.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_742X_08.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 742C Series Chip Resistor Array" "" "CTS_742X_08" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -4330 -4724 + -2755 -4724 + 1968 1000 2968 "1" "1" 0x00000100] + Pad[ -4330 -1574 + -2755 -1574 + 1968 1000 2968 "2" "2" 0x00000100] + Pad[ -4330 1574 + -2755 1574 + 1968 1000 2968 "3" "3" 0x00000100] + Pad[ -4330 4724 + -2755 4724 + 1968 1000 2968 "4" "4" 0x00000100] + Pad[ 4330 4724 + 2755 4724 + 1968 1000 2968 "5" "5" 0x00000100] + Pad[ 4330 1574 + 2755 1574 + 1968 1000 2968 "6" "6" 0x00000100] + Pad[ 4330 -1574 + 2755 -1574 + 1968 1000 2968 "7" "7" 0x00000100] + Pad[ 4330 -4724 + 2755 -4724 + 1968 1000 2968 "8" "8" 0x00000100] + ElementLine[-6314 -6708 -6314 6708 1000] + ElementLine[-6314 6708 6314 6708 1000] + ElementLine[ 6314 6708 6314 -6708 1000] + ElementLine[-6314 -6708 -2500 -6708 1000] + ElementLine[ 6314 -6708 2500 -6708 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_743C_04.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_743C_04.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_743C_04.fp (revision 1033) @@ -0,0 +1,36 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 743C Series Chip Resistor Array" "" "CTS_743C_04" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -4500 -2500 + -3000 -2500 + 3200 1000 4200 "1" "1" 0x00000100] + Pad[ -4500 2500 + -3000 2500 + 3200 1000 4200 "2" "2" 0x00000100] + Pad[ 4500 2500 + 3000 2500 + 3200 1000 4200 "3" "3" 0x00000100] + Pad[ 4500 -2500 + 3000 -2500 + 3200 1000 4200 "4" "4" 0x00000100] + ElementLine[-7100 -5100 -7100 5100 1000] + ElementLine[-7100 5100 7100 5100 1000] + ElementLine[ 7100 5100 7100 -5100 1000] + ElementLine[-7100 -5100 -2500 -5100 1000] + ElementLine[ 7100 -5100 2500 -5100 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_743C_08.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_743C_08.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_743C_08.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 743C Series Chip Resistor Array" "" "CTS_743C_08" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -4500 -7500 + -3000 -7500 + 3200 1000 4200 "1" "1" 0x00000100] + Pad[ -4500 -2500 + -3000 -2500 + 3200 1000 4200 "2" "2" 0x00000100] + Pad[ -4500 2500 + -3000 2500 + 3200 1000 4200 "3" "3" 0x00000100] + Pad[ -4500 7500 + -3000 7500 + 3200 1000 4200 "4" "4" 0x00000100] + Pad[ 4500 7500 + 3000 7500 + 3200 1000 4200 "5" "5" 0x00000100] + Pad[ 4500 2500 + 3000 2500 + 3200 1000 4200 "6" "6" 0x00000100] + Pad[ 4500 -2500 + 3000 -2500 + 3200 1000 4200 "7" "7" 0x00000100] + Pad[ 4500 -7500 + 3000 -7500 + 3200 1000 4200 "8" "8" 0x00000100] + ElementLine[-7100 -10100 -7100 10100 1000] + ElementLine[-7100 10100 7100 10100 1000] + ElementLine[ 7100 10100 7100 -10100 1000] + ElementLine[-7100 -10100 -2500 -10100 1000] + ElementLine[ 7100 -10100 2500 -10100 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_744C_04.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_744C_04.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_744C_04.fp (revision 1033) @@ -0,0 +1,36 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 744C Series Chip Resistor Array" "" "CTS_744C_04" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6850 -2500 + -4950 -2500 + 3200 1000 4200 "1" "1" 0x00000100] + Pad[ -6850 2500 + -4950 2500 + 3200 1000 4200 "2" "2" 0x00000100] + Pad[ 6850 2500 + 4950 2500 + 3200 1000 4200 "3" "3" 0x00000100] + Pad[ 6850 -2500 + 4950 -2500 + 3200 1000 4200 "4" "4" 0x00000100] + ElementLine[-9450 -5100 -9450 5100 1000] + ElementLine[-9450 5100 9450 5100 1000] + ElementLine[ 9450 5100 9450 -5100 1000] + ElementLine[-9450 -5100 -2500 -5100 1000] + ElementLine[ 9450 -5100 2500 -5100 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_744C_08.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_744C_08.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_744C_08.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 744C Series Chip Resistor Array" "" "CTS_744C_08" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6850 -7500 + -4950 -7500 + 3200 1000 4200 "1" "1" 0x00000100] + Pad[ -6850 -2500 + -4950 -2500 + 3200 1000 4200 "2" "2" 0x00000100] + Pad[ -6850 2500 + -4950 2500 + 3200 1000 4200 "3" "3" 0x00000100] + Pad[ -6850 7500 + -4950 7500 + 3200 1000 4200 "4" "4" 0x00000100] + Pad[ 6850 7500 + 4950 7500 + 3200 1000 4200 "5" "5" 0x00000100] + Pad[ 6850 2500 + 4950 2500 + 3200 1000 4200 "6" "6" 0x00000100] + Pad[ 6850 -2500 + 4950 -2500 + 3200 1000 4200 "7" "7" 0x00000100] + Pad[ 6850 -7500 + 4950 -7500 + 3200 1000 4200 "8" "8" 0x00000100] + ElementLine[-9450 -10100 -9450 10100 1000] + ElementLine[-9450 10100 9450 10100 1000] + ElementLine[ 9450 10100 9450 -10100 1000] + ElementLine[-9450 -10100 -2500 -10100 1000] + ElementLine[ 9450 -10100 2500 -10100 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_745C_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_745C_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_745C_10.fp (revision 1033) @@ -0,0 +1,54 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 745C Series Chip Resistor Array" "" "CTS_745C_10" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6850 -10000 + -4950 -10000 + 3200 1000 4200 "1" "1" 0x00000100] + Pad[ -6850 -5000 + -4950 -5000 + 3200 1000 4200 "2" "2" 0x00000100] + Pad[ -6850 0 + -4950 0 + 3200 1000 4200 "3" "3" 0x00000100] + Pad[ -6850 5000 + -4950 5000 + 3200 1000 4200 "4" "4" 0x00000100] + Pad[ -6850 10000 + -4950 10000 + 3200 1000 4200 "5" "5" 0x00000100] + Pad[ 6850 10000 + 4950 10000 + 3200 1000 4200 "6" "6" 0x00000100] + Pad[ 6850 5000 + 4950 5000 + 3200 1000 4200 "7" "7" 0x00000100] + Pad[ 6850 0 + 4950 0 + 3200 1000 4200 "8" "8" 0x00000100] + Pad[ 6850 -5000 + 4950 -5000 + 3200 1000 4200 "9" "9" 0x00000100] + Pad[ 6850 -10000 + 4950 -10000 + 3200 1000 4200 "10" "10" 0x00000100] + ElementLine[-9450 -12600 -9450 12600 1000] + ElementLine[-9450 12600 9450 12600 1000] + ElementLine[ 9450 12600 9450 -12600 1000] + ElementLine[-9450 -12600 -2500 -12600 1000] + ElementLine[ 9450 -12600 2500 -12600 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_745X_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_745X_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_745X_10.fp (revision 1033) @@ -0,0 +1,54 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 745X Series Chip Resistor Array" "" "CTS_745X_10" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6850 -10000 + -4950 -10000 + 3200 1000 4200 "1" "1" 0x00000100] + Pad[ -6850 -5000 + -4950 -5000 + 3200 1000 4200 "2" "2" 0x00000100] + Pad[ -6850 0 + -4950 0 + 3200 1000 4200 "3" "3" 0x00000100] + Pad[ -6850 5000 + -4950 5000 + 3200 1000 4200 "4" "4" 0x00000100] + Pad[ -6850 10000 + -4950 10000 + 3200 1000 4200 "5" "5" 0x00000100] + Pad[ 6850 10000 + 4950 10000 + 3200 1000 4200 "6" "6" 0x00000100] + Pad[ 6850 5000 + 4950 5000 + 3200 1000 4200 "7" "7" 0x00000100] + Pad[ 6850 0 + 4950 0 + 3200 1000 4200 "8" "8" 0x00000100] + Pad[ 6850 -5000 + 4950 -5000 + 3200 1000 4200 "9" "9" 0x00000100] + Pad[ 6850 -10000 + 4950 -10000 + 3200 1000 4200 "10" "10" 0x00000100] + ElementLine[-9450 -12600 -9450 12600 1000] + ElementLine[-9450 12600 9450 12600 1000] + ElementLine[ 9450 12600 9450 -12600 1000] + ElementLine[-9450 -12600 -2500 -12600 1000] + ElementLine[ 9450 -12600 2500 -12600 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/CTS_746X_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/cts/CTS_746X_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/CTS_746X_10.fp (revision 1033) @@ -0,0 +1,54 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "CTS 746X Series Chip Resistor Array" "" "CTS_746X_10" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -3900 -5000 + -2100 -5000 + 1400 1000 2400 "1" "1" 0x00000100] + Pad[ -3900 -2500 + -2100 -2500 + 1400 1000 2400 "2" "2" 0x00000100] + Pad[ -3900 0 + -2100 0 + 1400 1000 2400 "3" "3" 0x00000100] + Pad[ -3900 2500 + -2100 2500 + 1400 1000 2400 "4" "4" 0x00000100] + Pad[ -3900 5000 + -2100 5000 + 1400 1000 2400 "5" "5" 0x00000100] + Pad[ 3900 5000 + 2100 5000 + 1400 1000 2400 "6" "6" 0x00000100] + Pad[ 3900 2500 + 2100 2500 + 1400 1000 2400 "7" "7" 0x00000100] + Pad[ 3900 0 + 2100 0 + 1400 1000 2400 "8" "8" 0x00000100] + Pad[ 3900 -2500 + 2100 -2500 + 1400 1000 2400 "9" "9" 0x00000100] + Pad[ 3900 -5000 + 2100 -5000 + 1400 1000 2400 "10" "10" 0x00000100] + ElementLine[-5600 -6700 -5600 6700 1000] + ElementLine[-5600 6700 5600 6700 1000] + ElementLine[ 5600 6700 5600 -6700 1000] + ElementLine[-5600 -6700 -2500 -6700 1000] + ElementLine[ 5600 -6700 2500 -6700 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/cts/index.html =================================================================== --- oldlib/lib/pcblib-newlib/cts/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/cts/index.html (revision 1033) @@ -0,0 +1,58 @@ + +PCB cts Footprint Library + +

    PCB cts Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    CTS_742C_04, CTS 742C Series Chip Resistor Array CTS_742C_04.fp
    CTS_742C_08, CTS 742C Series Chip Resistor Array CTS_742C_08.fp
    CTS_742C_16, CTS 742C Series Chip Resistor Array CTS_742C_16.fp
    CTS_742X_08, CTS 742C Series Chip Resistor Array CTS_742X_08.fp
    CTS_743C_04, CTS 743C Series Chip Resistor Array CTS_743C_04.fp
    CTS_743C_08, CTS 743C Series Chip Resistor Array CTS_743C_08.fp
    CTS_744C_04, CTS 744C Series Chip Resistor Array CTS_744C_04.fp
    CTS_744C_08, CTS 744C Series Chip Resistor Array CTS_744C_08.fp
    CTS_745C_10, CTS 745C Series Chip Resistor Array CTS_745C_10.fp
    CTS_745X_10, CTS 745X Series Chip Resistor Array CTS_745X_10.fp
    CTS_746X_10, CTS 746X Series Chip Resistor Array CTS_746X_10.fp
    + + Index: oldlib/lib/pcblib-newlib/geda/ACY100.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY100.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY100.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY100" 95 36 0 100 0x00) +( + Pin(0 8 55 30 "1" 0x101) + Pin(100 8 55 30 "2" 0x01) + ElementLine(0 8 25 8 10) + ElementLine(75 8 100 8 10) + ElementLine(25 0 75 0 10) + ElementLine(75 0 75 16 10) + ElementLine(75 16 25 16 10) + ElementLine(25 16 25 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 8) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1000.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1000.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1000.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY1000" 770 186 0 100 0x00) +( + Pin(0 83 80 50 "1" 0x101) + Pin(1000 83 80 50 "2" 0x01) + ElementLine(0 83 250 83 10) + ElementLine(750 83 1000 83 10) + ElementLine(250 0 750 0 10) + ElementLine(750 0 750 166 10) + ElementLine(750 166 250 166 10) + ElementLine(250 166 250 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 83) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1000P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1000P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1000P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY1000P" 770 186 0 100 0x00) +( + Pin(0 83 80 50 "1" 0x101) + Pin(1000 83 80 50 "2" 0x01) + ElementLine(0 83 250 83 10) + ElementLine(750 83 1000 83 10) + ElementLine(250 0 750 0 10) + ElementLine(750 0 750 166 10) + ElementLine(750 166 250 166 10) + ElementLine(250 166 250 0 10) + ElementLine(0 -7 0 33 10) + ElementLine(-20 13 20 13 10) + ElementLine(980 13 1020 13 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 83) +) Index: oldlib/lib/pcblib-newlib/geda/ACY100P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY100P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY100P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY100P" 95 36 0 100 0x00) +( + Pin(0 8 55 30 "1" 0x101) + Pin(100 8 55 30 "2" 0x01) + ElementLine(0 8 25 8 10) + ElementLine(75 8 100 8 10) + ElementLine(25 0 75 0 10) + ElementLine(75 0 75 16 10) + ElementLine(75 16 25 16 10) + ElementLine(25 16 25 0 10) + ElementLine(0 -69 0 -29 10) + ElementLine(-20 -49 20 -49 10) + ElementLine(80 -49 120 -49 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 8) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1100.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1100.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1100.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY1100" 845 202 0 100 0x00) +( + Pin(0 91 80 50 "1" 0x101) + Pin(1100 91 80 50 "2" 0x01) + ElementLine(0 91 275 91 10) + ElementLine(825 91 1100 91 10) + ElementLine(275 0 825 0 10) + ElementLine(825 0 825 182 10) + ElementLine(825 182 275 182 10) + ElementLine(275 182 275 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 91) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1100P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1100P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1100P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY1100P" 845 202 0 100 0x00) +( + Pin(0 91 80 50 "1" 0x101) + Pin(1100 91 80 50 "2" 0x01) + ElementLine(0 91 275 91 10) + ElementLine(825 91 1100 91 10) + ElementLine(275 0 825 0 10) + ElementLine(825 0 825 182 10) + ElementLine(825 182 275 182 10) + ElementLine(275 182 275 0 10) + ElementLine(0 1 0 41 10) + ElementLine(-20 21 20 21 10) + ElementLine(1080 21 1120 21 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 91) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1200.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1200.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1200.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY1200" 920 220 0 100 0x00) +( + Pin(0 100 80 50 "1" 0x101) + Pin(1200 100 80 50 "2" 0x01) + ElementLine(0 100 300 100 10) + ElementLine(900 100 1200 100 10) + ElementLine(300 0 900 0 10) + ElementLine(900 0 900 200 10) + ElementLine(900 200 300 200 10) + ElementLine(300 200 300 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 100) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1200P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1200P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1200P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY1200P" 920 220 0 100 0x00) +( + Pin(0 100 80 50 "1" 0x101) + Pin(1200 100 80 50 "2" 0x01) + ElementLine(0 100 300 100 10) + ElementLine(900 100 1200 100 10) + ElementLine(300 0 900 0 10) + ElementLine(900 0 900 200 10) + ElementLine(900 200 300 200 10) + ElementLine(300 200 300 0 10) + ElementLine(0 10 0 50 10) + ElementLine(-20 30 20 30 10) + ElementLine(1180 30 1220 30 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 100) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1300.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY1300" 995 236 0 100 0x00) +( + Pin(0 108 80 50 "1" 0x101) + Pin(1300 108 80 50 "2" 0x01) + ElementLine(0 108 325 108 10) + ElementLine(975 108 1300 108 10) + ElementLine(325 0 975 0 10) + ElementLine(975 0 975 216 10) + ElementLine(975 216 325 216 10) + ElementLine(325 216 325 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 108) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1300P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1300P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1300P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY1300P" 995 236 0 100 0x00) +( + Pin(0 108 80 50 "1" 0x101) + Pin(1300 108 80 50 "2" 0x01) + ElementLine(0 108 325 108 10) + ElementLine(975 108 1300 108 10) + ElementLine(325 0 975 0 10) + ElementLine(975 0 975 216 10) + ElementLine(975 216 325 216 10) + ElementLine(325 216 325 0 10) + ElementLine(0 18 0 58 10) + ElementLine(-20 38 20 38 10) + ElementLine(1280 38 1320 38 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 108) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1400.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY1400" 1070 252 0 100 0x00) +( + Pin(0 116 80 50 "1" 0x101) + Pin(1400 116 80 50 "2" 0x01) + ElementLine(0 116 350 116 10) + ElementLine(1050 116 1400 116 10) + ElementLine(350 0 1050 0 10) + ElementLine(1050 0 1050 232 10) + ElementLine(1050 232 350 232 10) + ElementLine(350 232 350 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 116) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1400P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1400P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1400P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY1400P" 1070 252 0 100 0x00) +( + Pin(0 116 80 50 "1" 0x101) + Pin(1400 116 80 50 "2" 0x01) + ElementLine(0 116 350 116 10) + ElementLine(1050 116 1400 116 10) + ElementLine(350 0 1050 0 10) + ElementLine(1050 0 1050 232 10) + ElementLine(1050 232 350 232 10) + ElementLine(350 232 350 0 10) + ElementLine(0 26 0 66 10) + ElementLine(-20 46 20 46 10) + ElementLine(1380 46 1420 46 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 116) +) Index: oldlib/lib/pcblib-newlib/geda/ACY150.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY150.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY150" 133 44 0 100 0x00) +( + Pin(0 12 55 30 "1" 0x101) + Pin(150 12 55 30 "2" 0x01) + ElementLine(0 12 37 12 10) + ElementLine(113 12 150 12 10) + ElementLine(37 0 113 0 10) + ElementLine(113 0 113 24 10) + ElementLine(113 24 37 24 10) + ElementLine(37 24 37 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 12) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1500.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1500.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1500.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY1500" 1145 270 0 100 0x00) +( + Pin(0 125 80 50 "1" 0x101) + Pin(1500 125 80 50 "2" 0x01) + ElementLine(0 125 375 125 10) + ElementLine(1125 125 1500 125 10) + ElementLine(375 0 1125 0 10) + ElementLine(1125 0 1125 250 10) + ElementLine(1125 250 375 250 10) + ElementLine(375 250 375 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 125) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1500P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1500P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1500P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY1500P" 1145 270 0 100 0x00) +( + Pin(0 125 80 50 "1" 0x101) + Pin(1500 125 80 50 "2" 0x01) + ElementLine(0 125 375 125 10) + ElementLine(1125 125 1500 125 10) + ElementLine(375 0 1125 0 10) + ElementLine(1125 0 1125 250 10) + ElementLine(1125 250 375 250 10) + ElementLine(375 250 375 0 10) + ElementLine(0 35 0 75 10) + ElementLine(-20 55 20 55 10) + ElementLine(1480 55 1520 55 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 125) +) Index: oldlib/lib/pcblib-newlib/geda/ACY150P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY150P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY150P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY150P" 133 44 0 100 0x00) +( + Pin(0 12 55 30 "1" 0x101) + Pin(150 12 55 30 "2" 0x01) + ElementLine(0 12 37 12 10) + ElementLine(113 12 150 12 10) + ElementLine(37 0 113 0 10) + ElementLine(113 0 113 24 10) + ElementLine(113 24 37 24 10) + ElementLine(37 24 37 0 10) + ElementLine(0 -65 0 -25 10) + ElementLine(-20 -45 20 -45 10) + ElementLine(130 -45 170 -45 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 12) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1600.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1600.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY1600" 1220 286 0 100 0x00) +( + Pin(0 133 80 50 "1" 0x101) + Pin(1600 133 80 50 "2" 0x01) + ElementLine(0 133 400 133 10) + ElementLine(1200 133 1600 133 10) + ElementLine(400 0 1200 0 10) + ElementLine(1200 0 1200 266 10) + ElementLine(1200 266 400 266 10) + ElementLine(400 266 400 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 133) +) Index: oldlib/lib/pcblib-newlib/geda/ACY1600P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY1600P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY1600P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY1600P" 1220 286 0 100 0x00) +( + Pin(0 133 80 50 "1" 0x101) + Pin(1600 133 80 50 "2" 0x01) + ElementLine(0 133 400 133 10) + ElementLine(1200 133 1600 133 10) + ElementLine(400 0 1200 0 10) + ElementLine(1200 0 1200 266 10) + ElementLine(1200 266 400 266 10) + ElementLine(400 266 400 0 10) + ElementLine(0 43 0 83 10) + ElementLine(-20 63 20 63 10) + ElementLine(1580 63 1620 63 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 133) +) Index: oldlib/lib/pcblib-newlib/geda/ACY200.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY200.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY200.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY200" 170 52 0 100 0x00) +( + Pin(0 16 55 30 "1" 0x101) + Pin(200 16 55 30 "2" 0x01) + ElementLine(0 16 50 16 10) + ElementLine(150 16 200 16 10) + ElementLine(50 0 150 0 10) + ElementLine(150 0 150 32 10) + ElementLine(150 32 50 32 10) + ElementLine(50 32 50 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 16) +) Index: oldlib/lib/pcblib-newlib/geda/ACY200P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY200P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY200P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY200P" 170 52 0 100 0x00) +( + Pin(0 16 55 30 "1" 0x101) + Pin(200 16 55 30 "2" 0x01) + ElementLine(0 16 50 16 10) + ElementLine(150 16 200 16 10) + ElementLine(50 0 150 0 10) + ElementLine(150 0 150 32 10) + ElementLine(150 32 50 32 10) + ElementLine(50 32 50 0 10) + ElementLine(0 -61 0 -21 10) + ElementLine(-20 -41 20 -41 10) + ElementLine(180 -41 220 -41 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 16) +) Index: oldlib/lib/pcblib-newlib/geda/ACY300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY300.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY300" 245 70 0 100 0x00) +( + Pin(0 25 55 30 "1" 0x101) + Pin(300 25 55 30 "2" 0x01) + ElementLine(0 25 75 25 10) + ElementLine(225 25 300 25 10) + ElementLine(75 0 225 0 10) + ElementLine(225 0 225 50 10) + ElementLine(225 50 75 50 10) + ElementLine(75 50 75 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 25) +) Index: oldlib/lib/pcblib-newlib/geda/ACY300P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY300P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY300P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY300P" 245 70 0 100 0x00) +( + Pin(0 25 55 30 "1" 0x101) + Pin(300 25 55 30 "2" 0x01) + ElementLine(0 25 75 25 10) + ElementLine(225 25 300 25 10) + ElementLine(75 0 225 0 10) + ElementLine(225 0 225 50 10) + ElementLine(225 50 75 50 10) + ElementLine(75 50 75 0 10) + ElementLine(0 -52 0 -12 10) + ElementLine(-20 -32 20 -32 10) + ElementLine(280 -32 320 -32 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 25) +) Index: oldlib/lib/pcblib-newlib/geda/ACY400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY400.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY400" 320 86 0 100 0x00) +( + Pin(0 33 55 30 "1" 0x101) + Pin(400 33 55 30 "2" 0x01) + ElementLine(0 33 100 33 10) + ElementLine(300 33 400 33 10) + ElementLine(100 0 300 0 10) + ElementLine(300 0 300 66 10) + ElementLine(300 66 100 66 10) + ElementLine(100 66 100 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 33) +) Index: oldlib/lib/pcblib-newlib/geda/ACY400P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY400P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY400P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY400P" 320 86 0 100 0x00) +( + Pin(0 33 55 30 "1" 0x101) + Pin(400 33 55 30 "2" 0x01) + ElementLine(0 33 100 33 10) + ElementLine(300 33 400 33 10) + ElementLine(100 0 300 0 10) + ElementLine(300 0 300 66 10) + ElementLine(300 66 100 66 10) + ElementLine(100 66 100 0 10) + ElementLine(0 -44 0 -4 10) + ElementLine(-20 -24 20 -24 10) + ElementLine(380 -24 420 -24 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 33) +) Index: oldlib/lib/pcblib-newlib/geda/ACY500.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY500.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY500.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY500" 395 102 0 100 0x00) +( + Pin(0 41 55 30 "1" 0x101) + Pin(500 41 55 30 "2" 0x01) + ElementLine(0 41 125 41 10) + ElementLine(375 41 500 41 10) + ElementLine(125 0 375 0 10) + ElementLine(375 0 375 82 10) + ElementLine(375 82 125 82 10) + ElementLine(125 82 125 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 41) +) Index: oldlib/lib/pcblib-newlib/geda/ACY500P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY500P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY500P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY500P" 395 102 0 100 0x00) +( + Pin(0 41 55 30 "1" 0x101) + Pin(500 41 55 30 "2" 0x01) + ElementLine(0 41 125 41 10) + ElementLine(375 41 500 41 10) + ElementLine(125 0 375 0 10) + ElementLine(375 0 375 82 10) + ElementLine(375 82 125 82 10) + ElementLine(125 82 125 0 10) + ElementLine(0 -36 0 4 10) + ElementLine(-20 -16 20 -16 10) + ElementLine(480 -16 520 -16 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 41) +) Index: oldlib/lib/pcblib-newlib/geda/ACY600.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY600.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY600" 470 120 0 100 0x00) +( + Pin(0 50 80 50 "1" 0x101) + Pin(600 50 80 50 "2" 0x01) + ElementLine(0 50 150 50 10) + ElementLine(450 50 600 50 10) + ElementLine(150 0 450 0 10) + ElementLine(450 0 450 100 10) + ElementLine(450 100 150 100 10) + ElementLine(150 100 150 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 50) +) Index: oldlib/lib/pcblib-newlib/geda/ACY600P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY600P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY600P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY600P" 470 120 0 100 0x00) +( + Pin(0 50 80 50 "1" 0x101) + Pin(600 50 80 50 "2" 0x01) + ElementLine(0 50 150 50 10) + ElementLine(450 50 600 50 10) + ElementLine(150 0 450 0 10) + ElementLine(450 0 450 100 10) + ElementLine(450 100 150 100 10) + ElementLine(150 100 150 0 10) + ElementLine(0 -40 0 0 10) + ElementLine(-20 -20 20 -20 10) + ElementLine(580 -20 620 -20 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 50) +) Index: oldlib/lib/pcblib-newlib/geda/ACY700.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY700.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY700.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY700" 545 136 0 100 0x00) +( + Pin(0 58 80 50 "1" 0x101) + Pin(700 58 80 50 "2" 0x01) + ElementLine(0 58 175 58 10) + ElementLine(525 58 700 58 10) + ElementLine(175 0 525 0 10) + ElementLine(525 0 525 116 10) + ElementLine(525 116 175 116 10) + ElementLine(175 116 175 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 58) +) Index: oldlib/lib/pcblib-newlib/geda/ACY700P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY700P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY700P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY700P" 545 136 0 100 0x00) +( + Pin(0 58 80 50 "1" 0x101) + Pin(700 58 80 50 "2" 0x01) + ElementLine(0 58 175 58 10) + ElementLine(525 58 700 58 10) + ElementLine(175 0 525 0 10) + ElementLine(525 0 525 116 10) + ElementLine(525 116 175 116 10) + ElementLine(175 116 175 0 10) + ElementLine(0 -32 0 8 10) + ElementLine(-20 -12 20 -12 10) + ElementLine(680 -12 720 -12 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 58) +) Index: oldlib/lib/pcblib-newlib/geda/ACY800.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY800.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY800.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY800" 620 152 0 100 0x00) +( + Pin(0 66 80 50 "1" 0x101) + Pin(800 66 80 50 "2" 0x01) + ElementLine(0 66 200 66 10) + ElementLine(600 66 800 66 10) + ElementLine(200 0 600 0 10) + ElementLine(600 0 600 132 10) + ElementLine(600 132 200 132 10) + ElementLine(200 132 200 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 66) +) Index: oldlib/lib/pcblib-newlib/geda/ACY800P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY800P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY800P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY800P" 620 152 0 100 0x00) +( + Pin(0 66 80 50 "1" 0x101) + Pin(800 66 80 50 "2" 0x01) + ElementLine(0 66 200 66 10) + ElementLine(600 66 800 66 10) + ElementLine(200 0 600 0 10) + ElementLine(600 0 600 132 10) + ElementLine(600 132 200 132 10) + ElementLine(200 132 200 0 10) + ElementLine(0 -24 0 16 10) + ElementLine(-20 -4 20 -4 10) + ElementLine(780 -4 820 -4 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 66) +) Index: oldlib/lib/pcblib-newlib/geda/ACY900.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY900.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY900.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Axial non-polar component (typically resistor or capacitor)," "" "ACY900" 695 170 0 100 0x00) +( + Pin(0 75 80 50 "1" 0x101) + Pin(900 75 80 50 "2" 0x01) + ElementLine(0 75 225 75 10) + ElementLine(675 75 900 75 10) + ElementLine(225 0 675 0 10) + ElementLine(675 0 675 150 10) + ElementLine(675 150 225 150 10) + ElementLine(225 150 225 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 75) +) Index: oldlib/lib/pcblib-newlib/geda/ACY900P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ACY900P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ACY900P.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Axial polar component (typically capacitor)," "" "ACY900P" 695 170 0 100 0x00) +( + Pin(0 75 80 50 "1" 0x101) + Pin(900 75 80 50 "2" 0x01) + ElementLine(0 75 225 75 10) + ElementLine(675 75 900 75 10) + ElementLine(225 0 675 0 10) + ElementLine(675 0 675 150 10) + ElementLine(675 150 225 150 10) + ElementLine(225 150 225 0 10) + ElementLine(0 -15 0 25 10) + ElementLine(-20 5 20 5 10) + ElementLine(880 5 920 5 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 75) +) Index: oldlib/lib/pcblib-newlib/geda/ALF1000.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF1000.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF1000.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF1000" 687 334 0 100 0x00) +( + Pin(0 500 80 20 "1" 0x101) + Pin(1000 500 80 20 "2" 0x01) + ElementLine(0 500 333 500 10) + ElementLine(667 500 1000 500 10) + ElementLine(333 500 667 334 10) + ElementLine(667 334 667 666 10) + ElementLine(667 666 333 500 10) + ElementLine(333 334 333 666 10) + Mark(0 500) +) Index: oldlib/lib/pcblib-newlib/geda/ALF300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF300.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF300" 220 100 0 100 0x00) +( + Pin(0 150 50 20 "1" 0x101) + Pin(300 150 50 20 "2" 0x01) + ElementLine(0 150 100 150 10) + ElementLine(200 150 300 150 10) + ElementLine(100 150 200 100 10) + ElementLine(200 100 200 200 10) + ElementLine(200 200 100 150 10) + ElementLine(100 100 100 200 10) + Mark(0 150) +) Index: oldlib/lib/pcblib-newlib/geda/ALF400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF400.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF400" 287 134 0 100 0x00) +( + Pin(0 200 50 20 "1" 0x101) + Pin(400 200 50 20 "2" 0x01) + ElementLine(0 200 133 200 10) + ElementLine(267 200 400 200 10) + ElementLine(133 200 267 134 10) + ElementLine(267 134 267 266 10) + ElementLine(267 266 133 200 10) + ElementLine(133 134 133 266 10) + Mark(0 200) +) Index: oldlib/lib/pcblib-newlib/geda/ALF500.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF500.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF500.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF500" 354 167 0 100 0x00) +( + Pin(0 250 80 20 "1" 0x101) + Pin(500 250 80 20 "2" 0x01) + ElementLine(0 250 166 250 10) + ElementLine(334 250 500 250 10) + ElementLine(166 250 334 167 10) + ElementLine(334 167 334 333 10) + ElementLine(334 333 166 250 10) + ElementLine(166 167 166 333 10) + Mark(0 250) +) Index: oldlib/lib/pcblib-newlib/geda/ALF600.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF600.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF600" 420 200 0 100 0x00) +( + Pin(0 300 80 20 "1" 0x101) + Pin(600 300 80 20 "2" 0x01) + ElementLine(0 300 200 300 10) + ElementLine(400 300 600 300 10) + ElementLine(200 300 400 200 10) + ElementLine(400 200 400 400 10) + ElementLine(400 400 200 300 10) + ElementLine(200 200 200 400 10) + Mark(0 300) +) Index: oldlib/lib/pcblib-newlib/geda/ALF700.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF700.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF700.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF700" 487 234 0 100 0x00) +( + Pin(0 350 80 20 "1" 0x101) + Pin(700 350 80 20 "2" 0x01) + ElementLine(0 350 233 350 10) + ElementLine(467 350 700 350 10) + ElementLine(233 350 467 234 10) + ElementLine(467 234 467 466 10) + ElementLine(467 466 233 350 10) + ElementLine(233 234 233 466 10) + Mark(0 350) +) Index: oldlib/lib/pcblib-newlib/geda/ALF800.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF800.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF800.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF800" 554 267 0 100 0x00) +( + Pin(0 400 80 20 "1" 0x101) + Pin(800 400 80 20 "2" 0x01) + ElementLine(0 400 266 400 10) + ElementLine(534 400 800 400 10) + ElementLine(266 400 534 267 10) + ElementLine(534 267 534 533 10) + ElementLine(534 533 266 400 10) + ElementLine(266 267 266 533 10) + Mark(0 400) +) Index: oldlib/lib/pcblib-newlib/geda/ALF900.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ALF900.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ALF900.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Axial diode (pin 1 is cathode)" "" "ALF900" 620 300 0 100 0x00) +( + Pin(0 450 80 20 "1" 0x101) + Pin(900 450 80 20 "2" 0x01) + ElementLine(0 450 300 450 10) + ElementLine(600 450 900 450 10) + ElementLine(300 450 600 300 10) + ElementLine(600 300 600 600 10) + ElementLine(600 600 300 450 10) + ElementLine(300 300 300 600 10) + Mark(0 450) +) Index: oldlib/lib/pcblib-newlib/geda/CAPC0402L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC0402L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC0402L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC0402L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-610 -216 + -610 216 + 590 2000 1190 "1" "1" "square"] + Pad[610 -216 + 610 216 + 590 2000 1190 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC0402M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC0402M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC0402M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC0402M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1003 -20 + -1003 20 + 1377 2000 1977 "1" "1" "square"] + Pad[1003 -20 + 1003 20 + 1377 2000 1977 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC0402N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC0402N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC0402N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC0402N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-807 -19 + -807 19 + 984 2000 1584 "1" "1" "square"] + Pad[807 -19 + 807 19 + 984 2000 1584 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC0603L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC0603L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC0603L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC0603L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-984 0 + -984 0 + 1181 2000 1781 "1" "1" "square"] + Pad[984 0 + 984 0 + 1181 2000 1781 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC0603M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC0603M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC0603M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC0603M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1574 0 + -1574 0 + 1968 2000 2568 "1" "1" "square"] + Pad[1574 0 + 1574 0 + 1968 2000 2568 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC0603N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC0603N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC0603N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC0603N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1181 0 + -1181 0 + 1574 2000 2174 "1" "1" "square"] + Pad[1181 0 + 1181 0 + 1574 2000 2174 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC1005L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC1005L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC1005L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC1005L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1771 -295 + -1771 295 + 1574 2000 2174 "1" "1" "square"] + Pad[1771 -295 + 1771 295 + 1574 2000 2174 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC1005M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC1005M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC1005M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC1005M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1968 -295 + -1968 295 + 2362 2000 2962 "1" "1" "square"] + Pad[1968 -295 + 1968 295 + 2362 2000 2962 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC1005N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC1005N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC1005N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC1005N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1771 -295 + -1771 295 + 1968 2000 2568 "1" "1" "square"] + Pad[1771 -295 + 1771 295 + 1968 2000 2568 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC1608L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC1608L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC1608L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC1608L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2362 -492 + -2362 492 + 2559 2000 3159 "1" "1" "square"] + Pad[2362 -492 + 2362 492 + 2559 2000 3159 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC1608M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC1608M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC1608M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC1608M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2755 -492 + -2755 492 + 3346 2000 3946 "1" "1" "square"] + Pad[2755 -492 + 2755 492 + 3346 2000 3946 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC1608N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC1608N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC1608N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC1608N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2559 -492 + -2559 492 + 2952 2000 3552 "1" "1" "square"] + Pad[2559 -492 + 2559 492 + 2952 2000 3552 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC2012L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC2012L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC2012L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC2012L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3149 -590 + -3149 590 + 4330 2000 4930 "1" "1" "square"] + Pad[3149 -590 + 3149 590 + 4330 2000 4930 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC2012M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC2012M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC2012M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC2012M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3937 -197 + -3937 197 + 5905 2000 6505 "1" "1" "square"] + Pad[3937 -197 + 3937 197 + 5905 2000 6505 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC2012N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC2012N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC2012N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC2012N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3543 -393 + -3543 393 + 5118 2000 5718 "1" "1" "square"] + Pad[3543 -393 + 3543 393 + 5118 2000 5718 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC3216L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC3216L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC3216L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC3216L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5511 -1181 + -5511 1181 + 4330 2000 4930 "1" "1" "square"] + Pad[5511 -1181 + 5511 1181 + 4330 2000 4930 "2" "2" "square"] + ElementLine[-1771 -3346 1771 -3346 800] + ElementLine[-1771 3346 1771 3346 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC3216M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC3216M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC3216M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC3216M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-6299 -787 + -6299 787 + 5905 2000 6505 "1" "1" "square"] + Pad[6299 -787 + 6299 787 + 5905 2000 6505 "2" "2" "square"] + ElementLine[-1968 -3740 1968 -3740 800] + ElementLine[-1968 3740 1968 3740 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC3216N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC3216N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC3216N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC3216N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5905 -984 + -5905 984 + 5118 2000 5718 "1" "1" "square"] + Pad[5905 -984 + 5905 984 + 5118 2000 5718 "2" "2" "square"] + ElementLine[-1968 -3543 1968 -3543 800] + ElementLine[-1968 3543 1968 3543 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC3225L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC3225L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC3225L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC3225L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5511 -2953 + -5511 2953 + 4330 2000 4930 "1" "1" "square"] + Pad[5511 -2953 + 5511 2953 + 4330 2000 4930 "2" "2" "square"] + ElementLine[-1771 -5118 1771 -5118 800] + ElementLine[-1771 5118 1771 5118 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC3225M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC3225M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC3225M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC3225M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-6299 -2559 + -6299 2559 + 5905 2000 6505 "1" "1" "square"] + Pad[6299 -2559 + 6299 2559 + 5905 2000 6505 "2" "2" "square"] + ElementLine[-1968 -5511 1968 -5511 800] + ElementLine[-1968 5511 1968 5511 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC3225N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC3225N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC3225N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC3225N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5905 -2755 + -5905 2755 + 5118 2000 5718 "1" "1" "square"] + Pad[5905 -2755 + 5905 2755 + 5118 2000 5718 "2" "2" "square"] + ElementLine[-1968 -5314 1968 -5314 800] + ElementLine[-1968 5314 1968 5314 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC4532L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC4532L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC4532L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC4532L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7480 -3740 + -7480 3740 + 5511 2000 6111 "1" "1" "square"] + Pad[7480 -3740 + 7480 3740 + 5511 2000 6111 "2" "2" "square"] + ElementLine[-3149 -6496 3149 -6496 800] + ElementLine[-3149 6496 3149 6496 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC4532M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC4532M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC4532M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC4532M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-8267 -3346 + -8267 3346 + 7086 2000 7686 "1" "1" "square"] + Pad[8267 -3346 + 8267 3346 + 7086 2000 7686 "2" "2" "square"] + ElementLine[-3149 -6889 3149 -6889 800] + ElementLine[-3149 6889 3149 6889 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC4532N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC4532N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC4532N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC4532N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7874 -3543 + -7874 3543 + 6299 2000 6899 "1" "1" "square"] + Pad[7874 -3543 + 7874 3543 + 6299 2000 6899 "2" "2" "square"] + ElementLine[-3149 -6692 3149 -6692 800] + ElementLine[-3149 6692 3149 6692 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC4564L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC4564L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC4564L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC4564L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7480 -10433 + -7480 10433 + 5511 2000 6111 "1" "1" "square"] + Pad[7480 -10433 + 7480 10433 + 5511 2000 6111 "2" "2" "square"] + ElementLine[-3149 -13188 3149 -13188 800] + ElementLine[-3149 13188 3149 13188 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC4564M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC4564M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC4564M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC4564M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-8267 -10039 + -8267 10039 + 7086 2000 7686 "1" "1" "square"] + Pad[8267 -10039 + 8267 10039 + 7086 2000 7686 "2" "2" "square"] + ElementLine[-3149 -13582 3149 -13582 800] + ElementLine[-3149 13582 3149 13582 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/CAPC4564N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/CAPC4564N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/CAPC4564N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "CAPC4564N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7874 -10236 + -7874 10236 + 6299 2000 6899 "1" "1" "square"] + Pad[7874 -10236 + 7874 10236 + 6299 2000 6899 "2" "2" "square"] + ElementLine[-3149 -13385 3149 -13385 800] + ElementLine[-3149 13385 3149 13385 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C64F.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C64F.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C64F.fp (revision 1033) @@ -0,0 +1,89 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C64F" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "1" 0x101) + Pin(200 500 60 30 "2" 0x01) + Pin(200 600 60 30 "3" 0x01) + Pin(200 700 60 30 "4" 0x01) + Pin(200 800 60 30 "5" 0x01) + Pin(200 900 60 30 "6" 0x01) + Pin(200 1000 60 30 "7" 0x01) + Pin(200 1100 60 30 "8" 0x01) + Pin(200 1200 60 30 "9" 0x01) + Pin(200 1300 60 30 "10" 0x01) + Pin(200 1400 60 30 "11" 0x01) + Pin(200 1500 60 30 "12" 0x01) + Pin(200 1600 60 30 "13" 0x01) + Pin(200 1700 60 30 "14" 0x01) + Pin(200 1800 60 30 "15" 0x01) + Pin(200 1900 60 30 "16" 0x01) + Pin(200 2000 60 30 "17" 0x01) + Pin(200 2100 60 30 "18" 0x01) + Pin(200 2200 60 30 "19" 0x01) + Pin(200 2300 60 30 "20" 0x01) + Pin(200 2400 60 30 "21" 0x01) + Pin(200 2500 60 30 "22" 0x01) + Pin(200 2600 60 30 "23" 0x01) + Pin(200 2700 60 30 "24" 0x01) + Pin(200 2800 60 30 "25" 0x01) + Pin(200 2900 60 30 "26" 0x01) + Pin(200 3000 60 30 "27" 0x01) + Pin(200 3100 60 30 "28" 0x01) + Pin(200 3200 60 30 "29" 0x01) + Pin(200 3300 60 30 "30" 0x01) + Pin(200 3400 60 30 "31" 0x01) + Pin(200 3500 60 30 "32" 0x01) + # Reihe b + # Reihe c + Pin(400 400 60 30 "65" 0x01) + Pin(400 500 60 30 "66" 0x01) + Pin(400 600 60 30 "67" 0x01) + Pin(400 700 60 30 "68" 0x01) + Pin(400 800 60 30 "69" 0x01) + Pin(400 900 60 30 "70" 0x01) + Pin(400 1000 60 30 "71" 0x01) + Pin(400 1100 60 30 "72" 0x01) + Pin(400 1200 60 30 "73" 0x01) + Pin(400 1300 60 30 "74" 0x01) + Pin(400 1400 60 30 "75" 0x01) + Pin(400 1500 60 30 "76" 0x01) + Pin(400 1600 60 30 "77" 0x01) + Pin(400 1700 60 30 "78" 0x01) + Pin(400 1800 60 30 "79" 0x01) + Pin(400 1900 60 30 "80" 0x01) + Pin(400 2000 60 30 "81" 0x01) + Pin(400 2100 60 30 "82" 0x01) + Pin(400 2200 60 30 "83" 0x01) + Pin(400 2300 60 30 "84" 0x01) + Pin(400 2400 60 30 "85" 0x01) + Pin(400 2500 60 30 "86" 0x01) + Pin(400 2600 60 30 "87" 0x01) + Pin(400 2700 60 30 "88" 0x01) + Pin(400 2800 60 30 "89" 0x01) + Pin(400 2900 60 30 "90" 0x01) + Pin(400 3000 60 30 "91" 0x01) + Pin(400 3100 60 30 "92" 0x01) + Pin(400 3200 60 30 "93" 0x01) + Pin(400 3300 60 30 "94" 0x01) + Pin(400 3400 60 30 "95" 0x01) + Pin(400 3500 60 30 "96" 0x01) + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 3720 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 520 80 20) + ElementLine(520 80 520 3820 20) + ElementLine(520 3820 80 3820 20) + ElementLine( 80 3820 80 80 20) + # Innere Begrenzung + ElementLine(120 320 350 320 10) + ElementLine(350 320 350 360 10) + ElementLine(350 360 480 360 10) + ElementLine(480 360 480 3540 10) + ElementLine(480 3540 350 3540 10) + ElementLine(350 3540 350 3580 10) + ElementLine(350 3580 120 3580 10) + ElementLine(120 3580 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C64FS.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C64FS.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C64FS.fp (revision 1033) @@ -0,0 +1,57 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C64FS" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "1" 0x101) + Pin(200 500 60 30 "2" 0x01) + Pin(200 600 60 30 "3" 0x01) + Pin(200 700 60 30 "4" 0x01) + Pin(200 800 60 30 "5" 0x01) + Pin(200 900 60 30 "6" 0x01) + Pin(200 1000 60 30 "7" 0x01) + Pin(200 1100 60 30 "8" 0x01) + Pin(200 1200 60 30 "9" 0x01) + Pin(200 1300 60 30 "10" 0x01) + Pin(200 1400 60 30 "11" 0x01) + Pin(200 1500 60 30 "12" 0x01) + Pin(200 1600 60 30 "13" 0x01) + Pin(200 1700 60 30 "14" 0x01) + Pin(200 1800 60 30 "15" 0x01) + Pin(200 1900 60 30 "16" 0x01) + # Reihe b + # Reihe c + Pin(400 400 60 30 "33" 0x01) + Pin(400 500 60 30 "34" 0x01) + Pin(400 600 60 30 "35" 0x01) + Pin(400 700 60 30 "36" 0x01) + Pin(400 800 60 30 "37" 0x01) + Pin(400 900 60 30 "38" 0x01) + Pin(400 1000 60 30 "39" 0x01) + Pin(400 1100 60 30 "40" 0x01) + Pin(400 1200 60 30 "41" 0x01) + Pin(400 1300 60 30 "42" 0x01) + Pin(400 1400 60 30 "43" 0x01) + Pin(400 1500 60 30 "44" 0x01) + Pin(400 1600 60 30 "45" 0x01) + Pin(400 1700 60 30 "46" 0x01) + Pin(400 1800 60 30 "47" 0x01) + Pin(400 1900 60 30 "48" 0x01) + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 2120 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 520 80 20) + ElementLine(520 80 520 2220 20) + ElementLine(520 2220 80 2220 20) + ElementLine( 80 2220 80 80 20) + # Innere Begrenzung + ElementLine(120 320 350 320 10) + ElementLine(350 320 350 360 10) + ElementLine(350 360 480 360 10) + ElementLine(480 360 480 1940 10) + ElementLine(480 1940 350 1940 10) + ElementLine(350 1940 350 1980 10) + ElementLine(350 1980 120 1980 10) + ElementLine(120 1980 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C64M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C64M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C64M.fp (revision 1033) @@ -0,0 +1,127 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C64M" 520 550 0 200 0x00) +( + # Reihe a + Pin(3500 300 60 30 "1" 0x101) + Pin(3400 300 60 30 "2" 0x01) + Pin(3300 300 60 30 "3" 0x01) + Pin(3200 300 60 30 "4" 0x01) + Pin(3100 300 60 30 "5" 0x01) + Pin(3000 300 60 30 "6" 0x01) + Pin(2900 300 60 30 "7" 0x01) + Pin(2800 300 60 30 "8" 0x01) + Pin(2700 300 60 30 "9" 0x01) + Pin(2600 300 60 30 "10" 0x01) + Pin(2500 300 60 30 "11" 0x01) + Pin(2400 300 60 30 "12" 0x01) + Pin(2300 300 60 30 "13" 0x01) + Pin(2200 300 60 30 "14" 0x01) + Pin(2100 300 60 30 "15" 0x01) + Pin(2000 300 60 30 "16" 0x01) + Pin(1900 300 60 30 "17" 0x01) + Pin(1800 300 60 30 "18" 0x01) + Pin(1700 300 60 30 "19" 0x01) + Pin(1600 300 60 30 "20" 0x01) + Pin(1500 300 60 30 "21" 0x01) + Pin(1400 300 60 30 "22" 0x01) + Pin(1300 300 60 30 "23" 0x01) + Pin(1200 300 60 30 "24" 0x01) + Pin(1100 300 60 30 "25" 0x01) + Pin(1000 300 60 30 "26" 0x01) + Pin(900 300 60 30 "27" 0x01) + Pin(800 300 60 30 "28" 0x01) + Pin(700 300 60 30 "29" 0x01) + Pin(600 300 60 30 "30" 0x01) + Pin(500 300 60 30 "31" 0x01) + Pin(400 300 60 30 "32" 0x01) + # Reihe b + # Reihe c + Pin(3500 100 60 30 "65" 0x01) + Pin(3400 100 60 30 "66" 0x01) + Pin(3300 100 60 30 "67" 0x01) + Pin(3200 100 60 30 "68" 0x01) + Pin(3100 100 60 30 "69" 0x01) + Pin(3000 100 60 30 "70" 0x01) + Pin(2900 100 60 30 "71" 0x01) + Pin(2800 100 60 30 "72" 0x01) + Pin(2700 100 60 30 "73" 0x01) + Pin(2600 100 60 30 "74" 0x01) + Pin(2500 100 60 30 "75" 0x01) + Pin(2400 100 60 30 "76" 0x01) + Pin(2300 100 60 30 "77" 0x01) + Pin(2200 100 60 30 "78" 0x01) + Pin(2100 100 60 30 "79" 0x01) + Pin(2000 100 60 30 "80" 0x01) + Pin(1900 100 60 30 "81" 0x01) + Pin(1800 100 60 30 "82" 0x01) + Pin(1700 100 60 30 "83" 0x01) + Pin(1600 100 60 30 "84" 0x01) + Pin(1500 100 60 30 "85" 0x01) + Pin(1400 100 60 30 "86" 0x01) + Pin(1300 100 60 30 "87" 0x01) + Pin(1200 100 60 30 "88" 0x01) + Pin(1100 100 60 30 "89" 0x01) + Pin(1000 100 60 30 "90" 0x01) + Pin(900 100 60 30 "91" 0x01) + Pin(800 100 60 30 "92" 0x01) + Pin(700 100 60 30 "93" 0x01) + Pin(600 100 60 30 "94" 0x01) + Pin(500 100 60 30 "95" 0x01) + Pin(400 100 60 30 "96" 0x01) + # Rueckseite Kontaktstifte + ElementLine(400 100 400 375 40) + ElementLine(500 100 500 375 40) + ElementLine(600 100 600 375 40) + ElementLine(700 100 700 375 40) + ElementLine(800 100 800 375 40) + ElementLine(900 100 900 375 40) + ElementLine(1000 100 1000 375 40) + ElementLine(1100 100 1100 375 40) + ElementLine(1200 100 1200 375 40) + ElementLine(1300 100 1300 375 40) + ElementLine(1400 100 1400 375 40) + ElementLine(1500 100 1500 375 40) + ElementLine(1600 100 1600 375 40) + ElementLine(1700 100 1700 375 40) + ElementLine(1800 100 1800 375 40) + ElementLine(1900 100 1900 375 40) + ElementLine(2000 100 2000 375 40) + ElementLine(2100 100 2100 375 40) + ElementLine(2200 100 2200 375 40) + ElementLine(2300 100 2300 375 40) + ElementLine(2400 100 2400 375 40) + ElementLine(2500 100 2500 375 40) + ElementLine(2600 100 2600 375 40) + ElementLine(2700 100 2700 375 40) + ElementLine(2800 100 2800 375 40) + ElementLine(2900 100 2900 375 40) + ElementLine(3000 100 3000 375 40) + ElementLine(3100 100 3100 375 40) + ElementLine(3200 100 3200 375 40) + ElementLine(3300 100 3300 375 40) + ElementLine(3400 100 3400 375 40) + ElementLine(3500 100 3500 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(3700 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(3580 300 3800 300 20) + ElementLine(3800 300 3800 620 20) + ElementLine(3800 620 3700 620 20) + ElementLine(3700 620 3580 620 10) + ElementLine(3580 620 3580 395 10) + ElementLine(3580 395 3580 300 20) + # Kante Pins + ElementLine( 320 395 3580 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 3700 800 20) + ElementLine(3700 800 3700 620 20) + Mark(3500 300) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C64MS.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C64MS.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C64MS.fp (revision 1033) @@ -0,0 +1,79 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C64MS" 520 550 0 200 0x00) +( + # Reihe a + Pin(1900 300 60 30 "1" 0x101) + Pin(1800 300 60 30 "2" 0x01) + Pin(1700 300 60 30 "3" 0x01) + Pin(1600 300 60 30 "4" 0x01) + Pin(1500 300 60 30 "5" 0x01) + Pin(1400 300 60 30 "6" 0x01) + Pin(1300 300 60 30 "7" 0x01) + Pin(1200 300 60 30 "8" 0x01) + Pin(1100 300 60 30 "9" 0x01) + Pin(1000 300 60 30 "10" 0x01) + Pin(900 300 60 30 "11" 0x01) + Pin(800 300 60 30 "12" 0x01) + Pin(700 300 60 30 "13" 0x01) + Pin(600 300 60 30 "14" 0x01) + Pin(500 300 60 30 "15" 0x01) + Pin(400 300 60 30 "16" 0x01) + # Reihe b + # Reihe c + Pin(1900 100 60 30 "33" 0x01) + Pin(1800 100 60 30 "34" 0x01) + Pin(1700 100 60 30 "35" 0x01) + Pin(1600 100 60 30 "36" 0x01) + Pin(1500 100 60 30 "37" 0x01) + Pin(1400 100 60 30 "38" 0x01) + Pin(1300 100 60 30 "39" 0x01) + Pin(1200 100 60 30 "40" 0x01) + Pin(1100 100 60 30 "41" 0x01) + Pin(1000 100 60 30 "42" 0x01) + Pin(900 100 60 30 "43" 0x01) + Pin(800 100 60 30 "44" 0x01) + Pin(700 100 60 30 "45" 0x01) + Pin(600 100 60 30 "46" 0x01) + Pin(500 100 60 30 "47" 0x01) + Pin(400 100 60 30 "48" 0x01) + # Rueckseite Kontaktstifte + ElementLine(400 100 400 375 40) + ElementLine(500 100 500 375 40) + ElementLine(600 100 600 375 40) + ElementLine(700 100 700 375 40) + ElementLine(800 100 800 375 40) + ElementLine(900 100 900 375 40) + ElementLine(1000 100 1000 375 40) + ElementLine(1100 100 1100 375 40) + ElementLine(1200 100 1200 375 40) + ElementLine(1300 100 1300 375 40) + ElementLine(1400 100 1400 375 40) + ElementLine(1500 100 1500 375 40) + ElementLine(1600 100 1600 375 40) + ElementLine(1700 100 1700 375 40) + ElementLine(1800 100 1800 375 40) + ElementLine(1900 100 1900 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(2100 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(1980 300 2200 300 20) + ElementLine(2200 300 2200 620 20) + ElementLine(2200 620 2100 620 20) + ElementLine(2100 620 1980 620 10) + ElementLine(1980 620 1980 395 10) + ElementLine(1980 395 1980 300 20) + # Kante Pins + ElementLine( 320 395 1980 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 2100 800 20) + ElementLine(2100 800 2100 620 20) + Mark(1900 300) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C96F.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C96F.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C96F.fp (revision 1033) @@ -0,0 +1,121 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C96F" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "1" 0x101) + Pin(200 500 60 30 "2" 0x01) + Pin(200 600 60 30 "3" 0x01) + Pin(200 700 60 30 "4" 0x01) + Pin(200 800 60 30 "5" 0x01) + Pin(200 900 60 30 "6" 0x01) + Pin(200 1000 60 30 "7" 0x01) + Pin(200 1100 60 30 "8" 0x01) + Pin(200 1200 60 30 "9" 0x01) + Pin(200 1300 60 30 "10" 0x01) + Pin(200 1400 60 30 "11" 0x01) + Pin(200 1500 60 30 "12" 0x01) + Pin(200 1600 60 30 "13" 0x01) + Pin(200 1700 60 30 "14" 0x01) + Pin(200 1800 60 30 "15" 0x01) + Pin(200 1900 60 30 "16" 0x01) + Pin(200 2000 60 30 "17" 0x01) + Pin(200 2100 60 30 "18" 0x01) + Pin(200 2200 60 30 "19" 0x01) + Pin(200 2300 60 30 "20" 0x01) + Pin(200 2400 60 30 "21" 0x01) + Pin(200 2500 60 30 "22" 0x01) + Pin(200 2600 60 30 "23" 0x01) + Pin(200 2700 60 30 "24" 0x01) + Pin(200 2800 60 30 "25" 0x01) + Pin(200 2900 60 30 "26" 0x01) + Pin(200 3000 60 30 "27" 0x01) + Pin(200 3100 60 30 "28" 0x01) + Pin(200 3200 60 30 "29" 0x01) + Pin(200 3300 60 30 "30" 0x01) + Pin(200 3400 60 30 "31" 0x01) + Pin(200 3500 60 30 "32" 0x01) + # Reihe b + Pin(300 400 60 30 "33" 0x01) + Pin(300 500 60 30 "34" 0x01) + Pin(300 600 60 30 "35" 0x01) + Pin(300 700 60 30 "36" 0x01) + Pin(300 800 60 30 "37" 0x01) + Pin(300 900 60 30 "38" 0x01) + Pin(300 1000 60 30 "39" 0x01) + Pin(300 1100 60 30 "40" 0x01) + Pin(300 1200 60 30 "41" 0x01) + Pin(300 1300 60 30 "42" 0x01) + Pin(300 1400 60 30 "43" 0x01) + Pin(300 1500 60 30 "44" 0x01) + Pin(300 1600 60 30 "45" 0x01) + Pin(300 1700 60 30 "46" 0x01) + Pin(300 1800 60 30 "47" 0x01) + Pin(300 1900 60 30 "48" 0x01) + Pin(300 2000 60 30 "49" 0x01) + Pin(300 2100 60 30 "50" 0x01) + Pin(300 2200 60 30 "51" 0x01) + Pin(300 2300 60 30 "52" 0x01) + Pin(300 2400 60 30 "53" 0x01) + Pin(300 2500 60 30 "54" 0x01) + Pin(300 2600 60 30 "55" 0x01) + Pin(300 2700 60 30 "56" 0x01) + Pin(300 2800 60 30 "57" 0x01) + Pin(300 2900 60 30 "58" 0x01) + Pin(300 3000 60 30 "59" 0x01) + Pin(300 3100 60 30 "60" 0x01) + Pin(300 3200 60 30 "61" 0x01) + Pin(300 3300 60 30 "62" 0x01) + Pin(300 3400 60 30 "63" 0x01) + Pin(300 3500 60 30 "64" 0x01) + # Reihe c + Pin(400 400 60 30 "65" 0x01) + Pin(400 500 60 30 "66" 0x01) + Pin(400 600 60 30 "67" 0x01) + Pin(400 700 60 30 "68" 0x01) + Pin(400 800 60 30 "69" 0x01) + Pin(400 900 60 30 "70" 0x01) + Pin(400 1000 60 30 "71" 0x01) + Pin(400 1100 60 30 "72" 0x01) + Pin(400 1200 60 30 "73" 0x01) + Pin(400 1300 60 30 "74" 0x01) + Pin(400 1400 60 30 "75" 0x01) + Pin(400 1500 60 30 "76" 0x01) + Pin(400 1600 60 30 "77" 0x01) + Pin(400 1700 60 30 "78" 0x01) + Pin(400 1800 60 30 "79" 0x01) + Pin(400 1900 60 30 "80" 0x01) + Pin(400 2000 60 30 "81" 0x01) + Pin(400 2100 60 30 "82" 0x01) + Pin(400 2200 60 30 "83" 0x01) + Pin(400 2300 60 30 "84" 0x01) + Pin(400 2400 60 30 "85" 0x01) + Pin(400 2500 60 30 "86" 0x01) + Pin(400 2600 60 30 "87" 0x01) + Pin(400 2700 60 30 "88" 0x01) + Pin(400 2800 60 30 "89" 0x01) + Pin(400 2900 60 30 "90" 0x01) + Pin(400 3000 60 30 "91" 0x01) + Pin(400 3100 60 30 "92" 0x01) + Pin(400 3200 60 30 "93" 0x01) + Pin(400 3300 60 30 "94" 0x01) + Pin(400 3400 60 30 "95" 0x01) + Pin(400 3500 60 30 "96" 0x01) + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 3720 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 520 80 20) + ElementLine(520 80 520 3820 20) + ElementLine(520 3820 80 3820 20) + ElementLine( 80 3820 80 80 20) + # Innere Begrenzung + ElementLine(120 320 350 320 10) + ElementLine(350 320 350 360 10) + ElementLine(350 360 480 360 10) + ElementLine(480 360 480 3540 10) + ElementLine(480 3540 350 3540 10) + ElementLine(350 3540 350 3580 10) + ElementLine(350 3580 120 3580 10) + ElementLine(120 3580 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C96FS.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C96FS.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C96FS.fp (revision 1033) @@ -0,0 +1,73 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C96FS" 50 100 3 200 0x00) +( + # Reihe a + Pin(200 400 60 30 "1" 0x101) + Pin(200 500 60 30 "2" 0x01) + Pin(200 600 60 30 "3" 0x01) + Pin(200 700 60 30 "4" 0x01) + Pin(200 800 60 30 "5" 0x01) + Pin(200 900 60 30 "6" 0x01) + Pin(200 1000 60 30 "7" 0x01) + Pin(200 1100 60 30 "8" 0x01) + Pin(200 1200 60 30 "9" 0x01) + Pin(200 1300 60 30 "10" 0x01) + Pin(200 1400 60 30 "11" 0x01) + Pin(200 1500 60 30 "12" 0x01) + Pin(200 1600 60 30 "13" 0x01) + Pin(200 1700 60 30 "14" 0x01) + Pin(200 1800 60 30 "15" 0x01) + Pin(200 1900 60 30 "16" 0x01) + # Reihe b + Pin(300 400 60 30 "17" 0x01) + Pin(300 500 60 30 "18" 0x01) + Pin(300 600 60 30 "19" 0x01) + Pin(300 700 60 30 "20" 0x01) + Pin(300 800 60 30 "21" 0x01) + Pin(300 900 60 30 "22" 0x01) + Pin(300 1000 60 30 "23" 0x01) + Pin(300 1100 60 30 "24" 0x01) + Pin(300 1200 60 30 "25" 0x01) + Pin(300 1300 60 30 "26" 0x01) + Pin(300 1400 60 30 "27" 0x01) + Pin(300 1500 60 30 "28" 0x01) + Pin(300 1600 60 30 "29" 0x01) + Pin(300 1700 60 30 "30" 0x01) + Pin(300 1800 60 30 "31" 0x01) + Pin(300 1900 60 30 "32" 0x01) + # Reihe c + Pin(400 400 60 30 "33" 0x01) + Pin(400 500 60 30 "34" 0x01) + Pin(400 600 60 30 "35" 0x01) + Pin(400 700 60 30 "36" 0x01) + Pin(400 800 60 30 "37" 0x01) + Pin(400 900 60 30 "38" 0x01) + Pin(400 1000 60 30 "39" 0x01) + Pin(400 1100 60 30 "40" 0x01) + Pin(400 1200 60 30 "41" 0x01) + Pin(400 1300 60 30 "42" 0x01) + Pin(400 1400 60 30 "43" 0x01) + Pin(400 1500 60 30 "44" 0x01) + Pin(400 1600 60 30 "45" 0x01) + Pin(400 1700 60 30 "46" 0x01) + Pin(400 1800 60 30 "47" 0x01) + Pin(400 1900 60 30 "48" 0x01) + # Befestigungsbohrung + Pin(290 180 120 80 "M1" 0x01) + Pin(290 2120 120 80 "M2" 0x01) + # Aeussere Begrenzung + ElementLine( 80 80 520 80 20) + ElementLine(520 80 520 2220 20) + ElementLine(520 2220 80 2220 20) + ElementLine( 80 2220 80 80 20) + # Innere Begrenzung + ElementLine(120 320 350 320 10) + ElementLine(350 320 350 360 10) + ElementLine(350 360 480 360 10) + ElementLine(480 360 480 1940 10) + ElementLine(480 1940 350 1940 10) + ElementLine(350 1940 350 1980 10) + ElementLine(350 1980 120 1980 10) + ElementLine(120 1980 120 320 10) + # Markierung: Pin 1a + Mark(200 400) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C96M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C96M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C96M.fp (revision 1033) @@ -0,0 +1,159 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C96M" 520 550 0 200 0x00) +( + # Reihe a + Pin(3500 300 60 30 "1" 0x101) + Pin(3400 300 60 30 "2" 0x01) + Pin(3300 300 60 30 "3" 0x01) + Pin(3200 300 60 30 "4" 0x01) + Pin(3100 300 60 30 "5" 0x01) + Pin(3000 300 60 30 "6" 0x01) + Pin(2900 300 60 30 "7" 0x01) + Pin(2800 300 60 30 "8" 0x01) + Pin(2700 300 60 30 "9" 0x01) + Pin(2600 300 60 30 "10" 0x01) + Pin(2500 300 60 30 "11" 0x01) + Pin(2400 300 60 30 "12" 0x01) + Pin(2300 300 60 30 "13" 0x01) + Pin(2200 300 60 30 "14" 0x01) + Pin(2100 300 60 30 "15" 0x01) + Pin(2000 300 60 30 "16" 0x01) + Pin(1900 300 60 30 "17" 0x01) + Pin(1800 300 60 30 "18" 0x01) + Pin(1700 300 60 30 "19" 0x01) + Pin(1600 300 60 30 "20" 0x01) + Pin(1500 300 60 30 "21" 0x01) + Pin(1400 300 60 30 "22" 0x01) + Pin(1300 300 60 30 "23" 0x01) + Pin(1200 300 60 30 "24" 0x01) + Pin(1100 300 60 30 "25" 0x01) + Pin(1000 300 60 30 "26" 0x01) + Pin(900 300 60 30 "27" 0x01) + Pin(800 300 60 30 "28" 0x01) + Pin(700 300 60 30 "29" 0x01) + Pin(600 300 60 30 "30" 0x01) + Pin(500 300 60 30 "31" 0x01) + Pin(400 300 60 30 "32" 0x01) + # Reihe b + Pin(3500 200 60 30 "33" 0x01) + Pin(3400 200 60 30 "34" 0x01) + Pin(3300 200 60 30 "35" 0x01) + Pin(3200 200 60 30 "36" 0x01) + Pin(3100 200 60 30 "37" 0x01) + Pin(3000 200 60 30 "38" 0x01) + Pin(2900 200 60 30 "39" 0x01) + Pin(2800 200 60 30 "40" 0x01) + Pin(2700 200 60 30 "41" 0x01) + Pin(2600 200 60 30 "42" 0x01) + Pin(2500 200 60 30 "43" 0x01) + Pin(2400 200 60 30 "44" 0x01) + Pin(2300 200 60 30 "45" 0x01) + Pin(2200 200 60 30 "46" 0x01) + Pin(2100 200 60 30 "47" 0x01) + Pin(2000 200 60 30 "48" 0x01) + Pin(1900 200 60 30 "49" 0x01) + Pin(1800 200 60 30 "50" 0x01) + Pin(1700 200 60 30 "51" 0x01) + Pin(1600 200 60 30 "52" 0x01) + Pin(1500 200 60 30 "53" 0x01) + Pin(1400 200 60 30 "54" 0x01) + Pin(1300 200 60 30 "55" 0x01) + Pin(1200 200 60 30 "56" 0x01) + Pin(1100 200 60 30 "57" 0x01) + Pin(1000 200 60 30 "58" 0x01) + Pin(900 200 60 30 "59" 0x01) + Pin(800 200 60 30 "60" 0x01) + Pin(700 200 60 30 "61" 0x01) + Pin(600 200 60 30 "62" 0x01) + Pin(500 200 60 30 "63" 0x01) + Pin(400 200 60 30 "64" 0x01) + # Reihe c + Pin(3500 100 60 30 "65" 0x01) + Pin(3400 100 60 30 "66" 0x01) + Pin(3300 100 60 30 "67" 0x01) + Pin(3200 100 60 30 "68" 0x01) + Pin(3100 100 60 30 "69" 0x01) + Pin(3000 100 60 30 "70" 0x01) + Pin(2900 100 60 30 "71" 0x01) + Pin(2800 100 60 30 "72" 0x01) + Pin(2700 100 60 30 "73" 0x01) + Pin(2600 100 60 30 "74" 0x01) + Pin(2500 100 60 30 "75" 0x01) + Pin(2400 100 60 30 "76" 0x01) + Pin(2300 100 60 30 "77" 0x01) + Pin(2200 100 60 30 "78" 0x01) + Pin(2100 100 60 30 "79" 0x01) + Pin(2000 100 60 30 "80" 0x01) + Pin(1900 100 60 30 "81" 0x01) + Pin(1800 100 60 30 "82" 0x01) + Pin(1700 100 60 30 "83" 0x01) + Pin(1600 100 60 30 "84" 0x01) + Pin(1500 100 60 30 "85" 0x01) + Pin(1400 100 60 30 "86" 0x01) + Pin(1300 100 60 30 "87" 0x01) + Pin(1200 100 60 30 "88" 0x01) + Pin(1100 100 60 30 "89" 0x01) + Pin(1000 100 60 30 "90" 0x01) + Pin(900 100 60 30 "91" 0x01) + Pin(800 100 60 30 "92" 0x01) + Pin(700 100 60 30 "93" 0x01) + Pin(600 100 60 30 "94" 0x01) + Pin(500 100 60 30 "95" 0x01) + Pin(400 100 60 30 "96" 0x01) + # Rueckseite Kontaktstifte + ElementLine(400 100 400 375 40) + ElementLine(500 100 500 375 40) + ElementLine(600 100 600 375 40) + ElementLine(700 100 700 375 40) + ElementLine(800 100 800 375 40) + ElementLine(900 100 900 375 40) + ElementLine(1000 100 1000 375 40) + ElementLine(1100 100 1100 375 40) + ElementLine(1200 100 1200 375 40) + ElementLine(1300 100 1300 375 40) + ElementLine(1400 100 1400 375 40) + ElementLine(1500 100 1500 375 40) + ElementLine(1600 100 1600 375 40) + ElementLine(1700 100 1700 375 40) + ElementLine(1800 100 1800 375 40) + ElementLine(1900 100 1900 375 40) + ElementLine(2000 100 2000 375 40) + ElementLine(2100 100 2100 375 40) + ElementLine(2200 100 2200 375 40) + ElementLine(2300 100 2300 375 40) + ElementLine(2400 100 2400 375 40) + ElementLine(2500 100 2500 375 40) + ElementLine(2600 100 2600 375 40) + ElementLine(2700 100 2700 375 40) + ElementLine(2800 100 2800 375 40) + ElementLine(2900 100 2900 375 40) + ElementLine(3000 100 3000 375 40) + ElementLine(3100 100 3100 375 40) + ElementLine(3200 100 3200 375 40) + ElementLine(3300 100 3300 375 40) + ElementLine(3400 100 3400 375 40) + ElementLine(3500 100 3500 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(3700 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(3580 300 3800 300 20) + ElementLine(3800 300 3800 620 20) + ElementLine(3800 620 3700 620 20) + ElementLine(3700 620 3580 620 10) + ElementLine(3580 620 3580 395 10) + ElementLine(3580 395 3580 300 20) + # Kante Pins + ElementLine( 320 395 3580 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 3700 800 20) + ElementLine(3700 800 3700 620 20) + Mark(3500 300) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41612C96MS.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41612C96MS.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41612C96MS.fp (revision 1033) @@ -0,0 +1,95 @@ +Element(0x00 "DIN connector, 96 pin housing" "" "DIN41612C96MS" 520 550 0 200 0x00) +( + # Reihe a + Pin(1900 300 60 30 "1" 0x101) + Pin(1800 300 60 30 "2" 0x01) + Pin(1700 300 60 30 "3" 0x01) + Pin(1600 300 60 30 "4" 0x01) + Pin(1500 300 60 30 "5" 0x01) + Pin(1400 300 60 30 "6" 0x01) + Pin(1300 300 60 30 "7" 0x01) + Pin(1200 300 60 30 "8" 0x01) + Pin(1100 300 60 30 "9" 0x01) + Pin(1000 300 60 30 "10" 0x01) + Pin(900 300 60 30 "11" 0x01) + Pin(800 300 60 30 "12" 0x01) + Pin(700 300 60 30 "13" 0x01) + Pin(600 300 60 30 "14" 0x01) + Pin(500 300 60 30 "15" 0x01) + Pin(400 300 60 30 "16" 0x01) + # Reihe b + Pin(1900 200 60 30 "17" 0x01) + Pin(1800 200 60 30 "18" 0x01) + Pin(1700 200 60 30 "19" 0x01) + Pin(1600 200 60 30 "20" 0x01) + Pin(1500 200 60 30 "21" 0x01) + Pin(1400 200 60 30 "22" 0x01) + Pin(1300 200 60 30 "23" 0x01) + Pin(1200 200 60 30 "24" 0x01) + Pin(1100 200 60 30 "25" 0x01) + Pin(1000 200 60 30 "26" 0x01) + Pin(900 200 60 30 "27" 0x01) + Pin(800 200 60 30 "28" 0x01) + Pin(700 200 60 30 "29" 0x01) + Pin(600 200 60 30 "30" 0x01) + Pin(500 200 60 30 "31" 0x01) + Pin(400 200 60 30 "32" 0x01) + # Reihe c + Pin(1900 100 60 30 "33" 0x01) + Pin(1800 100 60 30 "34" 0x01) + Pin(1700 100 60 30 "35" 0x01) + Pin(1600 100 60 30 "36" 0x01) + Pin(1500 100 60 30 "37" 0x01) + Pin(1400 100 60 30 "38" 0x01) + Pin(1300 100 60 30 "39" 0x01) + Pin(1200 100 60 30 "40" 0x01) + Pin(1100 100 60 30 "41" 0x01) + Pin(1000 100 60 30 "42" 0x01) + Pin(900 100 60 30 "43" 0x01) + Pin(800 100 60 30 "44" 0x01) + Pin(700 100 60 30 "45" 0x01) + Pin(600 100 60 30 "46" 0x01) + Pin(500 100 60 30 "47" 0x01) + Pin(400 100 60 30 "48" 0x01) + # Rueckseite Kontaktstifte + ElementLine(400 100 400 375 40) + ElementLine(500 100 500 375 40) + ElementLine(600 100 600 375 40) + ElementLine(700 100 700 375 40) + ElementLine(800 100 800 375 40) + ElementLine(900 100 900 375 40) + ElementLine(1000 100 1000 375 40) + ElementLine(1100 100 1100 375 40) + ElementLine(1200 100 1200 375 40) + ElementLine(1300 100 1300 375 40) + ElementLine(1400 100 1400 375 40) + ElementLine(1500 100 1500 375 40) + ElementLine(1600 100 1600 375 40) + ElementLine(1700 100 1700 375 40) + ElementLine(1800 100 1800 375 40) + ElementLine(1900 100 1900 375 40) + # Befestigungsbohrung + Pin( 200 400 120 80 "M1" 0x01) + Pin(2100 400 120 80 "M2" 0x01) + # Begrenzung M1 + ElementLine( 100 300 320 300 20) + ElementLine( 320 300 320 395 20) + ElementLine( 320 395 320 620 10) + ElementLine( 320 620 200 620 10) + ElementLine( 200 620 100 620 20) + ElementLine( 100 620 100 300 20) + # Begrenzung M2 + ElementLine(1980 300 2200 300 20) + ElementLine(2200 300 2200 620 20) + ElementLine(2200 620 2100 620 20) + ElementLine(2100 620 1980 620 10) + ElementLine(1980 620 1980 395 10) + ElementLine(1980 395 1980 300 20) + # Kante Pins + ElementLine( 320 395 1980 395 20) + # Kanten Stifte + ElementLine( 200 620 200 800 20) + ElementLine( 200 800 2100 800 20) + ElementLine(2100 800 2100 620 20) + Mark(1900 300) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_10.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_10" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1130 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1050 20) + ElementLine(610 1050 770 1100 20) + ElementLine(770 1100 770 1200 20) + ElementLine(770 1200 335 1330 20) + ElementLine(335 1330 80 1330 20) + ElementLine( 80 1330 80 70 20) + # Codieraussparung + ElementLine(610 625 435 625 5) + ElementLine(435 625 435 775 5) + ElementLine(435 775 610 775 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_10S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_10S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_10S.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_10S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1330 20) + ElementLine(410 1330 90 1330 20) + ElementLine(90 1330 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1050 5) + ElementLine(390 1050 110 1050 5) + ElementLine(110 1050 110 775 5) + ElementLine(110 775 90 775 5) + ElementLine(90 625 110 625 5) + ElementLine(110 625 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1050 200 1330 5) + ElementLine(300 1050 300 1330 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_14.fp (revision 1033) @@ -0,0 +1,40 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_14" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1330 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1250 20) + ElementLine(610 1250 770 1300 20) + ElementLine(770 1300 770 1400 20) + ElementLine(770 1400 335 1530 20) + ElementLine(335 1530 80 1530 20) + ElementLine( 80 1530 80 70 20) + # Codieraussparung + ElementLine(610 725 435 725 5) + ElementLine(435 725 435 875 5) + ElementLine(435 875 610 875 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_14S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_14S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_14S.fp (revision 1033) @@ -0,0 +1,40 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_14S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1530 20) + ElementLine(410 1530 90 1530 20) + ElementLine(90 1530 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1250 5) + ElementLine(390 1250 110 1250 5) + ElementLine(110 1250 110 875 5) + ElementLine(110 875 90 875 5) + ElementLine(90 725 110 725 5) + ElementLine(110 725 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1250 200 1530 5) + ElementLine(300 1250 300 1530 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_16.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_16" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1430 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1350 20) + ElementLine(610 1350 770 1400 20) + ElementLine(770 1400 770 1500 20) + ElementLine(770 1500 335 1630 20) + ElementLine(335 1630 80 1630 20) + ElementLine( 80 1630 80 70 20) + # Codieraussparung + ElementLine(610 775 435 775 5) + ElementLine(435 775 435 925 5) + ElementLine(435 925 610 925 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_16S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_16S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_16S.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_16S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1630 20) + ElementLine(410 1630 90 1630 20) + ElementLine(90 1630 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1350 5) + ElementLine(390 1350 110 1350 5) + ElementLine(110 1350 110 925 5) + ElementLine(110 925 90 925 5) + ElementLine(90 775 110 775 5) + ElementLine(110 775 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1350 200 1630 5) + ElementLine(300 1350 300 1630 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_20.fp (revision 1033) @@ -0,0 +1,46 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_20" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1630 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1550 20) + ElementLine(610 1550 770 1600 20) + ElementLine(770 1600 770 1700 20) + ElementLine(770 1700 335 1830 20) + ElementLine(335 1830 80 1830 20) + ElementLine( 80 1830 80 70 20) + # Codieraussparung + ElementLine(610 875 435 875 5) + ElementLine(435 875 435 1025 5) + ElementLine(435 1025 610 1025 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_20S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_20S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_20S.fp (revision 1033) @@ -0,0 +1,46 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_20S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 1830 20) + ElementLine(410 1830 90 1830 20) + ElementLine(90 1830 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1550 5) + ElementLine(390 1550 110 1550 5) + ElementLine(110 1550 110 1025 5) + ElementLine(110 1025 90 1025 5) + ElementLine(90 875 110 875 5) + ElementLine(110 875 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1550 200 1830 5) + ElementLine(300 1550 300 1830 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_26.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_26.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_26.fp (revision 1033) @@ -0,0 +1,52 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_26" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 1930 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 1850 20) + ElementLine(610 1850 770 1900 20) + ElementLine(770 1900 770 2000 20) + ElementLine(770 2000 335 2130 20) + ElementLine(335 2130 80 2130 20) + ElementLine( 80 2130 80 70 20) + # Codieraussparung + ElementLine(610 1025 435 1025 5) + ElementLine(435 1025 435 1175 5) + ElementLine(435 1175 610 1175 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_26S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_26S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_26S.fp (revision 1033) @@ -0,0 +1,52 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_26S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 2130 20) + ElementLine(410 2130 90 2130 20) + ElementLine(90 2130 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 1850 5) + ElementLine(390 1850 110 1850 5) + ElementLine(110 1850 110 1175 5) + ElementLine(110 1175 90 1175 5) + ElementLine(90 1025 110 1025 5) + ElementLine(110 1025 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 1850 200 2130 5) + ElementLine(300 1850 300 2130 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_34.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_34.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_34.fp (revision 1033) @@ -0,0 +1,60 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_34" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 2330 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 2250 20) + ElementLine(610 2250 770 2300 20) + ElementLine(770 2300 770 2400 20) + ElementLine(770 2400 335 2530 20) + ElementLine(335 2530 80 2530 20) + ElementLine( 80 2530 80 70 20) + # Codieraussparung + ElementLine(610 1225 435 1225 5) + ElementLine(435 1225 435 1375 5) + ElementLine(435 1375 610 1375 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_34S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_34S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_34S.fp (revision 1033) @@ -0,0 +1,60 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_34S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 2530 20) + ElementLine(410 2530 90 2530 20) + ElementLine(90 2530 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 2250 5) + ElementLine(390 2250 110 2250 5) + ElementLine(110 2250 110 1375 5) + ElementLine(110 1375 90 1375 5) + ElementLine(90 1225 110 1225 5) + ElementLine(110 1225 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 2250 200 2530 5) + ElementLine(300 2250 300 2530 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_40.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_40.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_40" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + Pin(100 2200 60 40 "35" 0x01) + Pin(200 2200 60 40 "36" 0x01) + Pin(100 2300 60 40 "37" 0x01) + Pin(200 2300 60 40 "38" 0x01) + Pin(100 2400 60 40 "39" 0x01) + Pin(200 2400 60 40 "40" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 2630 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 2550 20) + ElementLine(610 2550 770 2600 20) + ElementLine(770 2600 770 2700 20) + ElementLine(770 2700 335 2830 20) + ElementLine(335 2830 80 2830 20) + ElementLine( 80 2830 80 70 20) + # Codieraussparung + ElementLine(610 1375 435 1375 5) + ElementLine(435 1375 435 1525 5) + ElementLine(435 1525 610 1525 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_40S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_40S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_40S.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_40S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + Pin(200 2200 60 40 "35" 0x01) + Pin(300 2200 60 40 "36" 0x01) + Pin(200 2300 60 40 "37" 0x01) + Pin(300 2300 60 40 "38" 0x01) + Pin(200 2400 60 40 "39" 0x01) + Pin(300 2400 60 40 "40" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 2830 20) + ElementLine(410 2830 90 2830 20) + ElementLine(90 2830 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 2550 5) + ElementLine(390 2550 110 2550 5) + ElementLine(110 2550 110 1525 5) + ElementLine(110 1525 90 1525 5) + ElementLine(90 1375 110 1375 5) + ElementLine(110 1375 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 2550 200 2830 5) + ElementLine(300 2550 300 2830 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_50.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_50.fp (revision 1033) @@ -0,0 +1,76 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_50" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + Pin(100 2200 60 40 "35" 0x01) + Pin(200 2200 60 40 "36" 0x01) + Pin(100 2300 60 40 "37" 0x01) + Pin(200 2300 60 40 "38" 0x01) + Pin(100 2400 60 40 "39" 0x01) + Pin(200 2400 60 40 "40" 0x01) + Pin(100 2500 60 40 "41" 0x01) + Pin(200 2500 60 40 "42" 0x01) + Pin(100 2600 60 40 "43" 0x01) + Pin(200 2600 60 40 "44" 0x01) + Pin(100 2700 60 40 "45" 0x01) + Pin(200 2700 60 40 "46" 0x01) + Pin(100 2800 60 40 "47" 0x01) + Pin(200 2800 60 40 "48" 0x01) + Pin(100 2900 60 40 "49" 0x01) + Pin(200 2900 60 40 "50" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 3130 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 3050 20) + ElementLine(610 3050 770 3100 20) + ElementLine(770 3100 770 3200 20) + ElementLine(770 3200 335 3330 20) + ElementLine(335 3330 80 3330 20) + ElementLine( 80 3330 80 70 20) + # Codieraussparung + ElementLine(610 1625 435 1625 5) + ElementLine(435 1625 435 1775 5) + ElementLine(435 1775 610 1775 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_50S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_50S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_50S.fp (revision 1033) @@ -0,0 +1,76 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_50S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + Pin(200 2200 60 40 "35" 0x01) + Pin(300 2200 60 40 "36" 0x01) + Pin(200 2300 60 40 "37" 0x01) + Pin(300 2300 60 40 "38" 0x01) + Pin(200 2400 60 40 "39" 0x01) + Pin(300 2400 60 40 "40" 0x01) + Pin(200 2500 60 40 "41" 0x01) + Pin(300 2500 60 40 "42" 0x01) + Pin(200 2600 60 40 "43" 0x01) + Pin(300 2600 60 40 "44" 0x01) + Pin(200 2700 60 40 "45" 0x01) + Pin(300 2700 60 40 "46" 0x01) + Pin(200 2800 60 40 "47" 0x01) + Pin(300 2800 60 40 "48" 0x01) + Pin(200 2900 60 40 "49" 0x01) + Pin(300 2900 60 40 "50" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 3330 20) + ElementLine(410 3330 90 3330 20) + ElementLine(90 3330 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 3050 5) + ElementLine(390 3050 110 3050 5) + ElementLine(110 3050 110 1775 5) + ElementLine(110 1775 90 1775 5) + ElementLine(90 1625 110 1625 5) + ElementLine(110 1625 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 3050 200 3330 5) + ElementLine(300 3050 300 3330 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_60.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_60.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_60.fp (revision 1033) @@ -0,0 +1,86 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_60" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + Pin(100 2200 60 40 "35" 0x01) + Pin(200 2200 60 40 "36" 0x01) + Pin(100 2300 60 40 "37" 0x01) + Pin(200 2300 60 40 "38" 0x01) + Pin(100 2400 60 40 "39" 0x01) + Pin(200 2400 60 40 "40" 0x01) + Pin(100 2500 60 40 "41" 0x01) + Pin(200 2500 60 40 "42" 0x01) + Pin(100 2600 60 40 "43" 0x01) + Pin(200 2600 60 40 "44" 0x01) + Pin(100 2700 60 40 "45" 0x01) + Pin(200 2700 60 40 "46" 0x01) + Pin(100 2800 60 40 "47" 0x01) + Pin(200 2800 60 40 "48" 0x01) + Pin(100 2900 60 40 "49" 0x01) + Pin(200 2900 60 40 "50" 0x01) + Pin(100 3000 60 40 "51" 0x01) + Pin(200 3000 60 40 "52" 0x01) + Pin(100 3100 60 40 "53" 0x01) + Pin(200 3100 60 40 "54" 0x01) + Pin(100 3200 60 40 "55" 0x01) + Pin(200 3200 60 40 "56" 0x01) + Pin(100 3300 60 40 "57" 0x01) + Pin(200 3300 60 40 "58" 0x01) + Pin(100 3400 60 40 "59" 0x01) + Pin(200 3400 60 40 "60" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 3630 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 3550 20) + ElementLine(610 3550 770 3600 20) + ElementLine(770 3600 770 3700 20) + ElementLine(770 3700 335 3830 20) + ElementLine(335 3830 80 3830 20) + ElementLine( 80 3830 80 70 20) + # Codieraussparung + ElementLine(610 1875 435 1875 5) + ElementLine(435 1875 435 2025 5) + ElementLine(435 2025 610 2025 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_60S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_60S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_60S.fp (revision 1033) @@ -0,0 +1,86 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_60S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + Pin(200 2200 60 40 "35" 0x01) + Pin(300 2200 60 40 "36" 0x01) + Pin(200 2300 60 40 "37" 0x01) + Pin(300 2300 60 40 "38" 0x01) + Pin(200 2400 60 40 "39" 0x01) + Pin(300 2400 60 40 "40" 0x01) + Pin(200 2500 60 40 "41" 0x01) + Pin(300 2500 60 40 "42" 0x01) + Pin(200 2600 60 40 "43" 0x01) + Pin(300 2600 60 40 "44" 0x01) + Pin(200 2700 60 40 "45" 0x01) + Pin(300 2700 60 40 "46" 0x01) + Pin(200 2800 60 40 "47" 0x01) + Pin(300 2800 60 40 "48" 0x01) + Pin(200 2900 60 40 "49" 0x01) + Pin(300 2900 60 40 "50" 0x01) + Pin(200 3000 60 40 "51" 0x01) + Pin(300 3000 60 40 "52" 0x01) + Pin(200 3100 60 40 "53" 0x01) + Pin(300 3100 60 40 "54" 0x01) + Pin(200 3200 60 40 "55" 0x01) + Pin(300 3200 60 40 "56" 0x01) + Pin(200 3300 60 40 "57" 0x01) + Pin(300 3300 60 40 "58" 0x01) + Pin(200 3400 60 40 "59" 0x01) + Pin(300 3400 60 40 "60" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 3830 20) + ElementLine(410 3830 90 3830 20) + ElementLine(90 3830 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 3550 5) + ElementLine(390 3550 110 3550 5) + ElementLine(110 3550 110 2025 5) + ElementLine(110 2025 90 2025 5) + ElementLine(90 1875 110 1875 5) + ElementLine(110 1875 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 3550 200 3830 5) + ElementLine(300 3550 300 3830 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_64.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_64.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_64.fp (revision 1033) @@ -0,0 +1,90 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_64" 400 250 3 200 0x00) +( + Pin(100 500 60 40 "1" 0x101) + Pin(200 500 60 40 "2" 0x01) + Pin(100 600 60 40 "3" 0x01) + Pin(200 600 60 40 "4" 0x01) + Pin(100 700 60 40 "5" 0x01) + Pin(200 700 60 40 "6" 0x01) + Pin(100 800 60 40 "7" 0x01) + Pin(200 800 60 40 "8" 0x01) + Pin(100 900 60 40 "9" 0x01) + Pin(200 900 60 40 "10" 0x01) + Pin(100 1000 60 40 "11" 0x01) + Pin(200 1000 60 40 "12" 0x01) + Pin(100 1100 60 40 "13" 0x01) + Pin(200 1100 60 40 "14" 0x01) + Pin(100 1200 60 40 "15" 0x01) + Pin(200 1200 60 40 "16" 0x01) + Pin(100 1300 60 40 "17" 0x01) + Pin(200 1300 60 40 "18" 0x01) + Pin(100 1400 60 40 "19" 0x01) + Pin(200 1400 60 40 "20" 0x01) + Pin(100 1500 60 40 "21" 0x01) + Pin(200 1500 60 40 "22" 0x01) + Pin(100 1600 60 40 "23" 0x01) + Pin(200 1600 60 40 "24" 0x01) + Pin(100 1700 60 40 "25" 0x01) + Pin(200 1700 60 40 "26" 0x01) + Pin(100 1800 60 40 "27" 0x01) + Pin(200 1800 60 40 "28" 0x01) + Pin(100 1900 60 40 "29" 0x01) + Pin(200 1900 60 40 "30" 0x01) + Pin(100 2000 60 40 "31" 0x01) + Pin(200 2000 60 40 "32" 0x01) + Pin(100 2100 60 40 "33" 0x01) + Pin(200 2100 60 40 "34" 0x01) + Pin(100 2200 60 40 "35" 0x01) + Pin(200 2200 60 40 "36" 0x01) + Pin(100 2300 60 40 "37" 0x01) + Pin(200 2300 60 40 "38" 0x01) + Pin(100 2400 60 40 "39" 0x01) + Pin(200 2400 60 40 "40" 0x01) + Pin(100 2500 60 40 "41" 0x01) + Pin(200 2500 60 40 "42" 0x01) + Pin(100 2600 60 40 "43" 0x01) + Pin(200 2600 60 40 "44" 0x01) + Pin(100 2700 60 40 "45" 0x01) + Pin(200 2700 60 40 "46" 0x01) + Pin(100 2800 60 40 "47" 0x01) + Pin(200 2800 60 40 "48" 0x01) + Pin(100 2900 60 40 "49" 0x01) + Pin(200 2900 60 40 "50" 0x01) + Pin(100 3000 60 40 "51" 0x01) + Pin(200 3000 60 40 "52" 0x01) + Pin(100 3100 60 40 "53" 0x01) + Pin(200 3100 60 40 "54" 0x01) + Pin(100 3200 60 40 "55" 0x01) + Pin(200 3200 60 40 "56" 0x01) + Pin(100 3300 60 40 "57" 0x01) + Pin(200 3300 60 40 "58" 0x01) + Pin(100 3400 60 40 "59" 0x01) + Pin(200 3400 60 40 "60" 0x01) + Pin(100 3500 60 40 "61" 0x01) + Pin(200 3500 60 40 "62" 0x01) + Pin(100 3600 60 40 "63" 0x01) + Pin(200 3600 60 40 "64" 0x01) + # Befestigungsbohrung + Pin(180 270 100 80 "M1" 0x01) + Pin(180 3830 100 80 "M2" 0x01) + # aeusserer Rahmen + ElementLine(80 70 335 70 20) + ElementLine(335 70 770 200 20) + ElementLine(770 200 770 300 20) + ElementLine(770 300 610 390 20) + ElementLine(610 390 610 3750 20) + ElementLine(610 3750 770 3800 20) + ElementLine(770 3800 770 3900 20) + ElementLine(770 3900 335 4030 20) + ElementLine(335 4030 80 4030 20) + ElementLine( 80 4030 80 70 20) + # Codieraussparung + ElementLine(610 1975 435 1975 5) + ElementLine(435 1975 435 2125 5) + ElementLine(435 2125 610 2125 5) + # Markierung Pin 1 + ElementLine(610 450 500 500 5) + ElementLine(500 500 610 550 5) + # Plazierungsmarkierung == Pin 1 + Mark(100 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIN41651_64S.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIN41651_64S.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIN41651_64S.fp (revision 1033) @@ -0,0 +1,90 @@ +Element(0x00 "Header connector with latches" "" "DIN41651_64S" 50 100 3 200 0x00) +( + Pin(200 500 60 40 "1" 0x101) + Pin(300 500 60 40 "2" 0x01) + Pin(200 600 60 40 "3" 0x01) + Pin(300 600 60 40 "4" 0x01) + Pin(200 700 60 40 "5" 0x01) + Pin(300 700 60 40 "6" 0x01) + Pin(200 800 60 40 "7" 0x01) + Pin(300 800 60 40 "8" 0x01) + Pin(200 900 60 40 "9" 0x01) + Pin(300 900 60 40 "10" 0x01) + Pin(200 1000 60 40 "11" 0x01) + Pin(300 1000 60 40 "12" 0x01) + Pin(200 1100 60 40 "13" 0x01) + Pin(300 1100 60 40 "14" 0x01) + Pin(200 1200 60 40 "15" 0x01) + Pin(300 1200 60 40 "16" 0x01) + Pin(200 1300 60 40 "17" 0x01) + Pin(300 1300 60 40 "18" 0x01) + Pin(200 1400 60 40 "19" 0x01) + Pin(300 1400 60 40 "20" 0x01) + Pin(200 1500 60 40 "21" 0x01) + Pin(300 1500 60 40 "22" 0x01) + Pin(200 1600 60 40 "23" 0x01) + Pin(300 1600 60 40 "24" 0x01) + Pin(200 1700 60 40 "25" 0x01) + Pin(300 1700 60 40 "26" 0x01) + Pin(200 1800 60 40 "27" 0x01) + Pin(300 1800 60 40 "28" 0x01) + Pin(200 1900 60 40 "29" 0x01) + Pin(300 1900 60 40 "30" 0x01) + Pin(200 2000 60 40 "31" 0x01) + Pin(300 2000 60 40 "32" 0x01) + Pin(200 2100 60 40 "33" 0x01) + Pin(300 2100 60 40 "34" 0x01) + Pin(200 2200 60 40 "35" 0x01) + Pin(300 2200 60 40 "36" 0x01) + Pin(200 2300 60 40 "37" 0x01) + Pin(300 2300 60 40 "38" 0x01) + Pin(200 2400 60 40 "39" 0x01) + Pin(300 2400 60 40 "40" 0x01) + Pin(200 2500 60 40 "41" 0x01) + Pin(300 2500 60 40 "42" 0x01) + Pin(200 2600 60 40 "43" 0x01) + Pin(300 2600 60 40 "44" 0x01) + Pin(200 2700 60 40 "45" 0x01) + Pin(300 2700 60 40 "46" 0x01) + Pin(200 2800 60 40 "47" 0x01) + Pin(300 2800 60 40 "48" 0x01) + Pin(200 2900 60 40 "49" 0x01) + Pin(300 2900 60 40 "50" 0x01) + Pin(200 3000 60 40 "51" 0x01) + Pin(300 3000 60 40 "52" 0x01) + Pin(200 3100 60 40 "53" 0x01) + Pin(300 3100 60 40 "54" 0x01) + Pin(200 3200 60 40 "55" 0x01) + Pin(300 3200 60 40 "56" 0x01) + Pin(200 3300 60 40 "57" 0x01) + Pin(300 3300 60 40 "58" 0x01) + Pin(200 3400 60 40 "59" 0x01) + Pin(300 3400 60 40 "60" 0x01) + Pin(200 3500 60 40 "61" 0x01) + Pin(300 3500 60 40 "62" 0x01) + Pin(200 3600 60 40 "63" 0x01) + Pin(300 3600 60 40 "64" 0x01) + # aeusserer Rahmen + ElementLine(90 70 410 70 20) + ElementLine(410 70 410 4030 20) + ElementLine(410 4030 90 4030 20) + ElementLine(90 4030 90 70 20) + # innerer Rahmen mit Codieraussparung + ElementLine(110 350 390 350 5) + ElementLine(390 350 390 3750 5) + ElementLine(390 3750 110 3750 5) + ElementLine(110 3750 110 2125 5) + ElementLine(110 2125 90 2125 5) + ElementLine(90 1975 110 1975 5) + ElementLine(110 1975 110 350 5) + # Markierung Pin 1 + ElementLine(110 390 150 350 5) + # Auswurfhebel oben + ElementLine(200 70 200 350 5) + ElementLine(300 70 300 350 5) + # Auswurfhebel unten + ElementLine(200 3750 200 4030 5) + ElementLine(300 3750 300 4030 5) + # Plazierungsmarkierung == Pin 1 + Mark(200 500) +) Index: oldlib/lib/pcblib-newlib/geda/DIP14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP14.fp (revision 1033) @@ -0,0 +1,26 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP14" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(350 650 60 28 "8" 0x01) + Pin(350 550 60 28 "9" 0x01) + Pin(350 450 60 28 "10" 0x01) + Pin(350 350 60 28 "11" 0x01) + Pin(350 250 60 28 "12" 0x01) + Pin(350 150 60 28 "13" 0x01) + Pin(350 50 60 28 "14" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 400 700 10) + ElementLine(400 700 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP14M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP14M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP14M.fp (revision 1033) @@ -0,0 +1,26 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP14M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(450 650 60 28 "8" 0x01) + Pin(450 550 60 28 "9" 0x01) + Pin(450 450 60 28 "10" 0x01) + Pin(450 350 60 28 "11" 0x01) + Pin(450 250 60 28 "12" 0x01) + Pin(450 150 60 28 "13" 0x01) + Pin(450 50 60 28 "14" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 500 700 10) + ElementLine(500 700 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP16.fp (revision 1033) @@ -0,0 +1,28 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP16" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(350 750 60 28 "9" 0x01) + Pin(350 650 60 28 "10" 0x01) + Pin(350 550 60 28 "11" 0x01) + Pin(350 450 60 28 "12" 0x01) + Pin(350 350 60 28 "13" 0x01) + Pin(350 250 60 28 "14" 0x01) + Pin(350 150 60 28 "15" 0x01) + Pin(350 50 60 28 "16" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 400 800 10) + ElementLine(400 800 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP16M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP16M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP16M.fp (revision 1033) @@ -0,0 +1,28 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP16M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(450 750 60 28 "9" 0x01) + Pin(450 650 60 28 "10" 0x01) + Pin(450 550 60 28 "11" 0x01) + Pin(450 450 60 28 "12" 0x01) + Pin(450 350 60 28 "13" 0x01) + Pin(450 250 60 28 "14" 0x01) + Pin(450 150 60 28 "15" 0x01) + Pin(450 50 60 28 "16" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 500 800 10) + ElementLine(500 800 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP18.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP18.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP18.fp (revision 1033) @@ -0,0 +1,30 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP18" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(350 850 60 28 "10" 0x01) + Pin(350 750 60 28 "11" 0x01) + Pin(350 650 60 28 "12" 0x01) + Pin(350 550 60 28 "13" 0x01) + Pin(350 450 60 28 "14" 0x01) + Pin(350 350 60 28 "15" 0x01) + Pin(350 250 60 28 "16" 0x01) + Pin(350 150 60 28 "17" 0x01) + Pin(350 50 60 28 "18" 0x01) + ElementLine(0 0 0 900 10) + ElementLine(0 900 400 900 10) + ElementLine(400 900 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP18M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP18M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP18M.fp (revision 1033) @@ -0,0 +1,30 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP18M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(450 850 60 28 "10" 0x01) + Pin(450 750 60 28 "11" 0x01) + Pin(450 650 60 28 "12" 0x01) + Pin(450 550 60 28 "13" 0x01) + Pin(450 450 60 28 "14" 0x01) + Pin(450 350 60 28 "15" 0x01) + Pin(450 250 60 28 "16" 0x01) + Pin(450 150 60 28 "17" 0x01) + Pin(450 50 60 28 "18" 0x01) + ElementLine(0 0 0 900 10) + ElementLine(0 900 500 900 10) + ElementLine(500 900 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP20.fp (revision 1033) @@ -0,0 +1,32 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP20" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(350 950 60 28 "11" 0x01) + Pin(350 850 60 28 "12" 0x01) + Pin(350 750 60 28 "13" 0x01) + Pin(350 650 60 28 "14" 0x01) + Pin(350 550 60 28 "15" 0x01) + Pin(350 450 60 28 "16" 0x01) + Pin(350 350 60 28 "17" 0x01) + Pin(350 250 60 28 "18" 0x01) + Pin(350 150 60 28 "19" 0x01) + Pin(350 50 60 28 "20" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 400 1000 10) + ElementLine(400 1000 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP20M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP20M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP20M.fp (revision 1033) @@ -0,0 +1,32 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP20M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(450 950 60 28 "11" 0x01) + Pin(450 850 60 28 "12" 0x01) + Pin(450 750 60 28 "13" 0x01) + Pin(450 650 60 28 "14" 0x01) + Pin(450 550 60 28 "15" 0x01) + Pin(450 450 60 28 "16" 0x01) + Pin(450 350 60 28 "17" 0x01) + Pin(450 250 60 28 "18" 0x01) + Pin(450 150 60 28 "19" 0x01) + Pin(450 50 60 28 "20" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 500 1000 10) + ElementLine(500 1000 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP22.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP22.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP22.fp (revision 1033) @@ -0,0 +1,34 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP22" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(350 1050 60 28 "12" 0x01) + Pin(350 950 60 28 "13" 0x01) + Pin(350 850 60 28 "14" 0x01) + Pin(350 750 60 28 "15" 0x01) + Pin(350 650 60 28 "16" 0x01) + Pin(350 550 60 28 "17" 0x01) + Pin(350 450 60 28 "18" 0x01) + Pin(350 350 60 28 "19" 0x01) + Pin(350 250 60 28 "20" 0x01) + Pin(350 150 60 28 "21" 0x01) + Pin(350 50 60 28 "22" 0x01) + ElementLine(0 0 0 1100 10) + ElementLine(0 1100 400 1100 10) + ElementLine(400 1100 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP22M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP22M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP22M.fp (revision 1033) @@ -0,0 +1,34 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP22M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(450 1050 60 28 "12" 0x01) + Pin(450 950 60 28 "13" 0x01) + Pin(450 850 60 28 "14" 0x01) + Pin(450 750 60 28 "15" 0x01) + Pin(450 650 60 28 "16" 0x01) + Pin(450 550 60 28 "17" 0x01) + Pin(450 450 60 28 "18" 0x01) + Pin(450 350 60 28 "19" 0x01) + Pin(450 250 60 28 "20" 0x01) + Pin(450 150 60 28 "21" 0x01) + Pin(450 50 60 28 "22" 0x01) + ElementLine(0 0 0 1100 10) + ElementLine(0 1100 500 1100 10) + ElementLine(500 1100 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP24.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP24" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(650 1150 60 28 "13" 0x01) + Pin(650 1050 60 28 "14" 0x01) + Pin(650 950 60 28 "15" 0x01) + Pin(650 850 60 28 "16" 0x01) + Pin(650 750 60 28 "17" 0x01) + Pin(650 650 60 28 "18" 0x01) + Pin(650 550 60 28 "19" 0x01) + Pin(650 450 60 28 "20" 0x01) + Pin(650 350 60 28 "21" 0x01) + Pin(650 250 60 28 "22" 0x01) + Pin(650 150 60 28 "23" 0x01) + Pin(650 50 60 28 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 700 1200 10) + ElementLine(700 1200 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP24M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP24M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP24M.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP24M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(450 1150 60 28 "13" 0x01) + Pin(450 1050 60 28 "14" 0x01) + Pin(450 950 60 28 "15" 0x01) + Pin(450 850 60 28 "16" 0x01) + Pin(450 750 60 28 "17" 0x01) + Pin(450 650 60 28 "18" 0x01) + Pin(450 550 60 28 "19" 0x01) + Pin(450 450 60 28 "20" 0x01) + Pin(450 350 60 28 "21" 0x01) + Pin(450 250 60 28 "22" 0x01) + Pin(450 150 60 28 "23" 0x01) + Pin(450 50 60 28 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 500 1200 10) + ElementLine(500 1200 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP24N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP24N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP24N.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP24N" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(350 1150 60 28 "13" 0x01) + Pin(350 1050 60 28 "14" 0x01) + Pin(350 950 60 28 "15" 0x01) + Pin(350 850 60 28 "16" 0x01) + Pin(350 750 60 28 "17" 0x01) + Pin(350 650 60 28 "18" 0x01) + Pin(350 550 60 28 "19" 0x01) + Pin(350 450 60 28 "20" 0x01) + Pin(350 350 60 28 "21" 0x01) + Pin(350 250 60 28 "22" 0x01) + Pin(350 150 60 28 "23" 0x01) + Pin(350 50 60 28 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 400 1200 10) + ElementLine(400 1200 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP28.fp (revision 1033) @@ -0,0 +1,40 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP28" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(650 1350 60 28 "15" 0x01) + Pin(650 1250 60 28 "16" 0x01) + Pin(650 1150 60 28 "17" 0x01) + Pin(650 1050 60 28 "18" 0x01) + Pin(650 950 60 28 "19" 0x01) + Pin(650 850 60 28 "20" 0x01) + Pin(650 750 60 28 "21" 0x01) + Pin(650 650 60 28 "22" 0x01) + Pin(650 550 60 28 "23" 0x01) + Pin(650 450 60 28 "24" 0x01) + Pin(650 350 60 28 "25" 0x01) + Pin(650 250 60 28 "26" 0x01) + Pin(650 150 60 28 "27" 0x01) + Pin(650 50 60 28 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 700 1400 10) + ElementLine(700 1400 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP28M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP28M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP28M.fp (revision 1033) @@ -0,0 +1,40 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP28M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(450 1350 60 28 "15" 0x01) + Pin(450 1250 60 28 "16" 0x01) + Pin(450 1150 60 28 "17" 0x01) + Pin(450 1050 60 28 "18" 0x01) + Pin(450 950 60 28 "19" 0x01) + Pin(450 850 60 28 "20" 0x01) + Pin(450 750 60 28 "21" 0x01) + Pin(450 650 60 28 "22" 0x01) + Pin(450 550 60 28 "23" 0x01) + Pin(450 450 60 28 "24" 0x01) + Pin(450 350 60 28 "25" 0x01) + Pin(450 250 60 28 "26" 0x01) + Pin(450 150 60 28 "27" 0x01) + Pin(450 50 60 28 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 500 1400 10) + ElementLine(500 1400 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP28N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP28N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP28N.fp (revision 1033) @@ -0,0 +1,40 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP28N" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(350 1350 60 28 "15" 0x01) + Pin(350 1250 60 28 "16" 0x01) + Pin(350 1150 60 28 "17" 0x01) + Pin(350 1050 60 28 "18" 0x01) + Pin(350 950 60 28 "19" 0x01) + Pin(350 850 60 28 "20" 0x01) + Pin(350 750 60 28 "21" 0x01) + Pin(350 650 60 28 "22" 0x01) + Pin(350 550 60 28 "23" 0x01) + Pin(350 450 60 28 "24" 0x01) + Pin(350 350 60 28 "25" 0x01) + Pin(350 250 60 28 "26" 0x01) + Pin(350 150 60 28 "27" 0x01) + Pin(350 50 60 28 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 400 1400 10) + ElementLine(400 1400 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP32.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP32.fp (revision 1033) @@ -0,0 +1,44 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP32" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(650 1550 60 28 "17" 0x01) + Pin(650 1450 60 28 "18" 0x01) + Pin(650 1350 60 28 "19" 0x01) + Pin(650 1250 60 28 "20" 0x01) + Pin(650 1150 60 28 "21" 0x01) + Pin(650 1050 60 28 "22" 0x01) + Pin(650 950 60 28 "23" 0x01) + Pin(650 850 60 28 "24" 0x01) + Pin(650 750 60 28 "25" 0x01) + Pin(650 650 60 28 "26" 0x01) + Pin(650 550 60 28 "27" 0x01) + Pin(650 450 60 28 "28" 0x01) + Pin(650 350 60 28 "29" 0x01) + Pin(650 250 60 28 "30" 0x01) + Pin(650 150 60 28 "31" 0x01) + Pin(650 50 60 28 "32" 0x01) + ElementLine(0 0 0 1600 10) + ElementLine(0 1600 700 1600 10) + ElementLine(700 1600 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP36.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP36.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP36.fp (revision 1033) @@ -0,0 +1,48 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP36" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(650 1750 60 28 "19" 0x01) + Pin(650 1650 60 28 "20" 0x01) + Pin(650 1550 60 28 "21" 0x01) + Pin(650 1450 60 28 "22" 0x01) + Pin(650 1350 60 28 "23" 0x01) + Pin(650 1250 60 28 "24" 0x01) + Pin(650 1150 60 28 "25" 0x01) + Pin(650 1050 60 28 "26" 0x01) + Pin(650 950 60 28 "27" 0x01) + Pin(650 850 60 28 "28" 0x01) + Pin(650 750 60 28 "29" 0x01) + Pin(650 650 60 28 "30" 0x01) + Pin(650 550 60 28 "31" 0x01) + Pin(650 450 60 28 "32" 0x01) + Pin(650 350 60 28 "33" 0x01) + Pin(650 250 60 28 "34" 0x01) + Pin(650 150 60 28 "35" 0x01) + Pin(650 50 60 28 "36" 0x01) + ElementLine(0 0 0 1800 10) + ElementLine(0 1800 700 1800 10) + ElementLine(700 1800 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP40.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP40.fp (revision 1033) @@ -0,0 +1,52 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP40" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(650 1950 60 28 "21" 0x01) + Pin(650 1850 60 28 "22" 0x01) + Pin(650 1750 60 28 "23" 0x01) + Pin(650 1650 60 28 "24" 0x01) + Pin(650 1550 60 28 "25" 0x01) + Pin(650 1450 60 28 "26" 0x01) + Pin(650 1350 60 28 "27" 0x01) + Pin(650 1250 60 28 "28" 0x01) + Pin(650 1150 60 28 "29" 0x01) + Pin(650 1050 60 28 "30" 0x01) + Pin(650 950 60 28 "31" 0x01) + Pin(650 850 60 28 "32" 0x01) + Pin(650 750 60 28 "33" 0x01) + Pin(650 650 60 28 "34" 0x01) + Pin(650 550 60 28 "35" 0x01) + Pin(650 450 60 28 "36" 0x01) + Pin(650 350 60 28 "37" 0x01) + Pin(650 250 60 28 "38" 0x01) + Pin(650 150 60 28 "39" 0x01) + Pin(650 50 60 28 "40" 0x01) + ElementLine(0 0 0 2000 10) + ElementLine(0 2000 700 2000 10) + ElementLine(700 2000 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP42.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP42.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP42.fp (revision 1033) @@ -0,0 +1,54 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP42" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(50 2050 60 28 "21" 0x01) + Pin(650 2050 60 28 "22" 0x01) + Pin(650 1950 60 28 "23" 0x01) + Pin(650 1850 60 28 "24" 0x01) + Pin(650 1750 60 28 "25" 0x01) + Pin(650 1650 60 28 "26" 0x01) + Pin(650 1550 60 28 "27" 0x01) + Pin(650 1450 60 28 "28" 0x01) + Pin(650 1350 60 28 "29" 0x01) + Pin(650 1250 60 28 "30" 0x01) + Pin(650 1150 60 28 "31" 0x01) + Pin(650 1050 60 28 "32" 0x01) + Pin(650 950 60 28 "33" 0x01) + Pin(650 850 60 28 "34" 0x01) + Pin(650 750 60 28 "35" 0x01) + Pin(650 650 60 28 "36" 0x01) + Pin(650 550 60 28 "37" 0x01) + Pin(650 450 60 28 "38" 0x01) + Pin(650 350 60 28 "39" 0x01) + Pin(650 250 60 28 "40" 0x01) + Pin(650 150 60 28 "41" 0x01) + Pin(650 50 60 28 "42" 0x01) + ElementLine(0 0 0 2100 10) + ElementLine(0 2100 700 2100 10) + ElementLine(700 2100 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP44.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP44.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP44.fp (revision 1033) @@ -0,0 +1,56 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP44" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(50 2050 60 28 "21" 0x01) + Pin(50 2150 60 28 "22" 0x01) + Pin(650 2150 60 28 "23" 0x01) + Pin(650 2050 60 28 "24" 0x01) + Pin(650 1950 60 28 "25" 0x01) + Pin(650 1850 60 28 "26" 0x01) + Pin(650 1750 60 28 "27" 0x01) + Pin(650 1650 60 28 "28" 0x01) + Pin(650 1550 60 28 "29" 0x01) + Pin(650 1450 60 28 "30" 0x01) + Pin(650 1350 60 28 "31" 0x01) + Pin(650 1250 60 28 "32" 0x01) + Pin(650 1150 60 28 "33" 0x01) + Pin(650 1050 60 28 "34" 0x01) + Pin(650 950 60 28 "35" 0x01) + Pin(650 850 60 28 "36" 0x01) + Pin(650 750 60 28 "37" 0x01) + Pin(650 650 60 28 "38" 0x01) + Pin(650 550 60 28 "39" 0x01) + Pin(650 450 60 28 "40" 0x01) + Pin(650 350 60 28 "41" 0x01) + Pin(650 250 60 28 "42" 0x01) + Pin(650 150 60 28 "43" 0x01) + Pin(650 50 60 28 "44" 0x01) + ElementLine(0 0 0 2200 10) + ElementLine(0 2200 700 2200 10) + ElementLine(700 2200 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP48.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP48.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP48.fp (revision 1033) @@ -0,0 +1,60 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (600 mil)" "" "DIP48" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(50 2050 60 28 "21" 0x01) + Pin(50 2150 60 28 "22" 0x01) + Pin(50 2250 60 28 "23" 0x01) + Pin(50 2350 60 28 "24" 0x01) + Pin(650 2350 60 28 "25" 0x01) + Pin(650 2250 60 28 "26" 0x01) + Pin(650 2150 60 28 "27" 0x01) + Pin(650 2050 60 28 "28" 0x01) + Pin(650 1950 60 28 "29" 0x01) + Pin(650 1850 60 28 "30" 0x01) + Pin(650 1750 60 28 "31" 0x01) + Pin(650 1650 60 28 "32" 0x01) + Pin(650 1550 60 28 "33" 0x01) + Pin(650 1450 60 28 "34" 0x01) + Pin(650 1350 60 28 "35" 0x01) + Pin(650 1250 60 28 "36" 0x01) + Pin(650 1150 60 28 "37" 0x01) + Pin(650 1050 60 28 "38" 0x01) + Pin(650 950 60 28 "39" 0x01) + Pin(650 850 60 28 "40" 0x01) + Pin(650 750 60 28 "41" 0x01) + Pin(650 650 60 28 "42" 0x01) + Pin(650 550 60 28 "43" 0x01) + Pin(650 450 60 28 "44" 0x01) + Pin(650 350 60 28 "45" 0x01) + Pin(650 250 60 28 "46" 0x01) + Pin(650 150 60 28 "47" 0x01) + Pin(650 50 60 28 "48" 0x01) + ElementLine(0 0 0 2400 10) + ElementLine(0 2400 700 2400 10) + ElementLine(700 2400 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP6.fp (revision 1033) @@ -0,0 +1,18 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP6" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(350 250 60 28 "4" 0x01) + Pin(350 150 60 28 "5" 0x01) + Pin(350 50 60 28 "6" 0x01) + ElementLine(0 0 0 300 10) + ElementLine(0 300 400 300 10) + ElementLine(400 300 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP64.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP64.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP64.fp (revision 1033) @@ -0,0 +1,76 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, wide (900 mil)" "" "DIP64" 520 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(50 2050 60 28 "21" 0x01) + Pin(50 2150 60 28 "22" 0x01) + Pin(50 2250 60 28 "23" 0x01) + Pin(50 2350 60 28 "24" 0x01) + Pin(50 2450 60 28 "25" 0x01) + Pin(50 2550 60 28 "26" 0x01) + Pin(50 2650 60 28 "27" 0x01) + Pin(50 2750 60 28 "28" 0x01) + Pin(50 2850 60 28 "29" 0x01) + Pin(50 2950 60 28 "30" 0x01) + Pin(50 3050 60 28 "31" 0x01) + Pin(50 3150 60 28 "32" 0x01) + Pin(950 3150 60 28 "33" 0x01) + Pin(950 3050 60 28 "34" 0x01) + Pin(950 2950 60 28 "35" 0x01) + Pin(950 2850 60 28 "36" 0x01) + Pin(950 2750 60 28 "37" 0x01) + Pin(950 2650 60 28 "38" 0x01) + Pin(950 2550 60 28 "39" 0x01) + Pin(950 2450 60 28 "40" 0x01) + Pin(950 2350 60 28 "41" 0x01) + Pin(950 2250 60 28 "42" 0x01) + Pin(950 2150 60 28 "43" 0x01) + Pin(950 2050 60 28 "44" 0x01) + Pin(950 1950 60 28 "45" 0x01) + Pin(950 1850 60 28 "46" 0x01) + Pin(950 1750 60 28 "47" 0x01) + Pin(950 1650 60 28 "48" 0x01) + Pin(950 1550 60 28 "49" 0x01) + Pin(950 1450 60 28 "50" 0x01) + Pin(950 1350 60 28 "51" 0x01) + Pin(950 1250 60 28 "52" 0x01) + Pin(950 1150 60 28 "53" 0x01) + Pin(950 1050 60 28 "54" 0x01) + Pin(950 950 60 28 "55" 0x01) + Pin(950 850 60 28 "56" 0x01) + Pin(950 750 60 28 "57" 0x01) + Pin(950 650 60 28 "58" 0x01) + Pin(950 550 60 28 "59" 0x01) + Pin(950 450 60 28 "60" 0x01) + Pin(950 350 60 28 "61" 0x01) + Pin(950 250 60 28 "62" 0x01) + Pin(950 150 60 28 "63" 0x01) + Pin(950 50 60 28 "64" 0x01) + ElementLine(0 0 0 3200 10) + ElementLine(0 3200 1000 3200 10) + ElementLine(1000 3200 1000 0 10) + ElementLine(0 0 450 0 10) + ElementLine(550 0 1000 0 10) + ElementArc(500 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP8.fp (revision 1033) @@ -0,0 +1,20 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, narrow (300 mil)" "" "DIP8" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(350 350 60 28 "5" 0x01) + Pin(350 250 60 28 "6" 0x01) + Pin(350 150 60 28 "7" 0x01) + Pin(350 50 60 28 "8" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 400 400 10) + ElementLine(400 400 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/DIP8M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/DIP8M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/DIP8M.fp (revision 1033) @@ -0,0 +1,20 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "Dual in-line package, medium wide (400 mil)" "" "DIP8M" 270 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(450 350 60 28 "5" 0x01) + Pin(450 250 60 28 "6" 0x01) + Pin(450 150 60 28 "7" 0x01) + Pin(450 50 60 28 "8" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 500 400 10) + ElementLine(500 400 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/EIA3216.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EIA3216.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EIA3216.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Tantalum SMT capacitor (pin 1 is +)" "" "EIA3216" 0 0 106 0 3 100 0x00) +( + ElementLine(-96 -43 -96 43 20) + ElementLine(-96 43 -72 55 10) + ElementLine(-72 55 86 55 10) + ElementLine(86 55 86 -55 10) + ElementLine(86 -55 -72 -55 10) + ElementLine(-72 -55 -96 -43 10) + Pad(-50 -18 + -50 18 + 49 20 55 "1" "1" 0x00000100) + Pad(50 -18 + 50 18 + 49 20 55 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/EIA3528.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EIA3528.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EIA3528.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Tantalum SMT capacitor (pin 1 is +)" "" "EIA3528" 0 0 132 0 3 100 0x00) +( + ElementLine(-122 -77 -122 77 20) + ElementLine(-122 77 -87 99 10) + ElementLine(-87 99 112 99 10) + ElementLine(112 99 112 -99 10) + ElementLine(112 -99 -87 -99 10) + ElementLine(-87 -99 -122 -77 10) + Pad(-55 -41 + -55 41 + 71 20 77 "1" "1" 0x00000100) + Pad(55 -41 + 55 41 + 71 20 77 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/EIA6032.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EIA6032.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EIA6032.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Tantalum SMT capacitor (pin 1 is +)" "" "EIA6032" 0 0 188 0 3 100 0x00) +( + ElementLine(-178 -87 -178 87 20) + ElementLine(-178 87 -130 112 10) + ElementLine(-130 112 168 112 10) + ElementLine(168 112 168 -112 10) + ElementLine(168 -112 -130 -112 10) + ElementLine(-130 -112 -178 -87 10) + Pad(-94 -39 + -94 39 + 97 20 103 "1" "1" 0x00000100) + Pad(94 -39 + 94 39 + 97 20 103 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/EIA7343.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EIA7343.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EIA7343.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Tantalum SMT capacitor (pin 1 is +)" "" "EIA7343" 0 0 229 0 3 100 0x00) +( + ElementLine(-219 -117 -219 117 20) + ElementLine(-219 117 -158 150 10) + ElementLine(-158 150 209 150 10) + ElementLine(209 150 209 -150 10) + ElementLine(209 -150 -158 -150 10) + ElementLine(-158 -150 -219 -117 10) + Pad(-115 -56 + -115 56 + 123 20 129 "1" "1" 0x00000100) + Pad(115 -56 + 115 56 + 123 20 129 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/EMI0603.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EMI0603.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EMI0603.fp (revision 1033) @@ -0,0 +1,24 @@ + # silk screen width (mils) + # silk screen bounding box +Element(0x00 "3-Pin SMT EMI Filter based on standard SMT sizes" "" "EMI0603" -38 58 0 100 0x00) +( + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(-31 0 + -31 0 24 "1" 0x100) + Pad(31 0 + 31 0 24 "3" 0x100) + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(0 -15 + 0 15 16 "2" 0x100) + # silk screen + # ends + ElementLine(-58 -38 -58 38 10) + ElementLine(58 38 58 -38 10) + # sides +ElementLine(-58 -38 58 -38 10) + ElementLine(58 38 -58 38 10) + # Mark the common centroid of the part + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/EMI0805.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EMI0805.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EMI0805.fp (revision 1033) @@ -0,0 +1,24 @@ + # silk screen width (mils) + # silk screen bounding box +Element(0x00 "3-Pin SMT EMI Filter based on standard SMT sizes" "" "EMI0805" -46 72 0 100 0x00) +( + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(-39 -4 + -39 4 24 "1" 0x100) + Pad(39 -4 + 39 4 24 "3" 0x100) + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(0 -25 + 0 25 24 "2" 0x100) + # silk screen + # ends + ElementLine(-66 -52 -66 52 10) + ElementLine(66 52 66 -52 10) + # sides +ElementLine(-66 -52 66 -52 10) + ElementLine(66 52 -66 52 10) + # Mark the common centroid of the part + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/EMI1206.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EMI1206.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EMI1206.fp (revision 1033) @@ -0,0 +1,24 @@ + # silk screen width (mils) + # silk screen bounding box +Element(0x00 "3-Pin SMT EMI Filter based on standard SMT sizes" "" "EMI1206" -72 74 0 100 0x00) +( + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(-63 -2 + -63 2 28 "1" 0x100) + Pad(63 -2 + 63 2 28 "3" 0x100) + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(0 -20 + 0 20 39 "2" 0x100) + # silk screen + # ends + ElementLine(-92 -54 -92 54 10) + ElementLine(92 54 92 -54 10) + # sides +ElementLine(-92 -54 92 -54 10) + ElementLine(92 54 -92 54 10) + # Mark the common centroid of the part + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/EMI1806.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/EMI1806.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/EMI1806.fp (revision 1033) @@ -0,0 +1,24 @@ + # silk screen width (mils) + # silk screen bounding box +Element(0x00 "3-Pin SMT EMI Filter based on standard SMT sizes" "" "EMI1806" -102 86 0 100 0x00) +( + # Pads which have the perpendicular pad dimension less + # than or equal to the parallel pad dimension + Pad(-88 0 + -88 0 39 "1" 0x100) + Pad(88 0 + 88 0 39 "3" 0x100) + # Pads which have the perpendicular pad dimension greater + # than or equal to the parallel pad dimension + Pad(0 -21 + 0 21 59 "2" 0x100) + # silk screen + # ends + ElementLine(-122 -66 -122 66 10) + ElementLine(122 66 122 -66 10) + # sides +ElementLine(-122 -66 122 -66 10) + ElementLine(122 66 -122 66 10) + # Mark the common centroid of the part + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER10_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER10_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER10_1.fp (revision 1033) @@ -0,0 +1,20 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER10_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(150 450 60 38 "6" 0x01) + Pin(150 350 60 38 "7" 0x01) + Pin(150 250 60 38 "8" 0x01) + Pin(150 150 60 38 "9" 0x01) + Pin(150 50 60 38 "10" 0x01) + ElementLine(0 0 0 500 10) + ElementLine(0 500 200 500 10) + ElementLine(200 500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER10_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER10_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER10_2.fp (revision 1033) @@ -0,0 +1,20 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER10_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + ElementLine(0 0 0 500 10) + ElementLine(0 500 200 500 10) + ElementLine(200 500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER12_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER12_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER12_1.fp (revision 1033) @@ -0,0 +1,22 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER12_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(150 550 60 38 "7" 0x01) + Pin(150 450 60 38 "8" 0x01) + Pin(150 350 60 38 "9" 0x01) + Pin(150 250 60 38 "10" 0x01) + Pin(150 150 60 38 "11" 0x01) + Pin(150 50 60 38 "12" 0x01) + ElementLine(0 0 0 600 10) + ElementLine(0 600 200 600 10) + ElementLine(200 600 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER12_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER12_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER12_2.fp (revision 1033) @@ -0,0 +1,22 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER12_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + ElementLine(0 0 0 600 10) + ElementLine(0 600 200 600 10) + ElementLine(200 600 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER14_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER14_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER14_1.fp (revision 1033) @@ -0,0 +1,24 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER14_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(150 650 60 38 "8" 0x01) + Pin(150 550 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(150 350 60 38 "11" 0x01) + Pin(150 250 60 38 "12" 0x01) + Pin(150 150 60 38 "13" 0x01) + Pin(150 50 60 38 "14" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 200 700 10) + ElementLine(200 700 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER14_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER14_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER14_2.fp (revision 1033) @@ -0,0 +1,24 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER14_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 200 700 10) + ElementLine(200 700 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER16_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER16_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER16_1.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER16_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(150 750 60 38 "9" 0x01) + Pin(150 650 60 38 "10" 0x01) + Pin(150 550 60 38 "11" 0x01) + Pin(150 450 60 38 "12" 0x01) + Pin(150 350 60 38 "13" 0x01) + Pin(150 250 60 38 "14" 0x01) + Pin(150 150 60 38 "15" 0x01) + Pin(150 50 60 38 "16" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 200 800 10) + ElementLine(200 800 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER16_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER16_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER16_2.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER16_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 200 800 10) + ElementLine(200 800 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER18_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER18_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER18_1.fp (revision 1033) @@ -0,0 +1,28 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER18_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(150 850 60 38 "10" 0x01) + Pin(150 750 60 38 "11" 0x01) + Pin(150 650 60 38 "12" 0x01) + Pin(150 550 60 38 "13" 0x01) + Pin(150 450 60 38 "14" 0x01) + Pin(150 350 60 38 "15" 0x01) + Pin(150 250 60 38 "16" 0x01) + Pin(150 150 60 38 "17" 0x01) + Pin(150 50 60 38 "18" 0x01) + ElementLine(0 0 0 900 10) + ElementLine(0 900 200 900 10) + ElementLine(200 900 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER18_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER18_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER18_2.fp (revision 1033) @@ -0,0 +1,28 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER18_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + ElementLine(0 0 0 900 10) + ElementLine(0 900 200 900 10) + ElementLine(200 900 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER20_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER20_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER20_1.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER20_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(150 950 60 38 "11" 0x01) + Pin(150 850 60 38 "12" 0x01) + Pin(150 750 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(150 550 60 38 "15" 0x01) + Pin(150 450 60 38 "16" 0x01) + Pin(150 350 60 38 "17" 0x01) + Pin(150 250 60 38 "18" 0x01) + Pin(150 150 60 38 "19" 0x01) + Pin(150 50 60 38 "20" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 200 1000 10) + ElementLine(200 1000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER20_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER20_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER20_2.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER20_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 200 1000 10) + ElementLine(200 1000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER22_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER22_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER22_1.fp (revision 1033) @@ -0,0 +1,32 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER22_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(150 1050 60 38 "12" 0x01) + Pin(150 950 60 38 "13" 0x01) + Pin(150 850 60 38 "14" 0x01) + Pin(150 750 60 38 "15" 0x01) + Pin(150 650 60 38 "16" 0x01) + Pin(150 550 60 38 "17" 0x01) + Pin(150 450 60 38 "18" 0x01) + Pin(150 350 60 38 "19" 0x01) + Pin(150 250 60 38 "20" 0x01) + Pin(150 150 60 38 "21" 0x01) + Pin(150 50 60 38 "22" 0x01) + ElementLine(0 0 0 1100 10) + ElementLine(0 1100 200 1100 10) + ElementLine(200 1100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER22_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER22_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER22_2.fp (revision 1033) @@ -0,0 +1,32 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER22_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + ElementLine(0 0 0 1100 10) + ElementLine(0 1100 200 1100 10) + ElementLine(200 1100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER24_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER24_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER24_1.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER24_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(150 1150 60 38 "13" 0x01) + Pin(150 1050 60 38 "14" 0x01) + Pin(150 950 60 38 "15" 0x01) + Pin(150 850 60 38 "16" 0x01) + Pin(150 750 60 38 "17" 0x01) + Pin(150 650 60 38 "18" 0x01) + Pin(150 550 60 38 "19" 0x01) + Pin(150 450 60 38 "20" 0x01) + Pin(150 350 60 38 "21" 0x01) + Pin(150 250 60 38 "22" 0x01) + Pin(150 150 60 38 "23" 0x01) + Pin(150 50 60 38 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 200 1200 10) + ElementLine(200 1200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER24_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER24_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER24_2.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER24_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 200 1200 10) + ElementLine(200 1200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER26_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER26_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER26_1.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER26_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(150 1250 60 38 "14" 0x01) + Pin(150 1150 60 38 "15" 0x01) + Pin(150 1050 60 38 "16" 0x01) + Pin(150 950 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(150 750 60 38 "19" 0x01) + Pin(150 650 60 38 "20" 0x01) + Pin(150 550 60 38 "21" 0x01) + Pin(150 450 60 38 "22" 0x01) + Pin(150 350 60 38 "23" 0x01) + Pin(150 250 60 38 "24" 0x01) + Pin(150 150 60 38 "25" 0x01) + Pin(150 50 60 38 "26" 0x01) + ElementLine(0 0 0 1300 10) + ElementLine(0 1300 200 1300 10) + ElementLine(200 1300 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER26_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER26_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER26_2.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER26_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + ElementLine(0 0 0 1300 10) + ElementLine(0 1300 200 1300 10) + ElementLine(200 1300 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER28_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER28_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER28_1.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER28_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(150 1350 60 38 "15" 0x01) + Pin(150 1250 60 38 "16" 0x01) + Pin(150 1150 60 38 "17" 0x01) + Pin(150 1050 60 38 "18" 0x01) + Pin(150 950 60 38 "19" 0x01) + Pin(150 850 60 38 "20" 0x01) + Pin(150 750 60 38 "21" 0x01) + Pin(150 650 60 38 "22" 0x01) + Pin(150 550 60 38 "23" 0x01) + Pin(150 450 60 38 "24" 0x01) + Pin(150 350 60 38 "25" 0x01) + Pin(150 250 60 38 "26" 0x01) + Pin(150 150 60 38 "27" 0x01) + Pin(150 50 60 38 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 200 1400 10) + ElementLine(200 1400 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER28_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER28_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER28_2.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER28_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 200 1400 10) + ElementLine(200 1400 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER2_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER2_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER2_1.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER2_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + ElementLine(0 0 0 100 10) + ElementLine(0 100 200 100 10) + ElementLine(200 100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER2_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER2_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER2_2.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER2_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + ElementLine(0 0 0 100 10) + ElementLine(0 100 200 100 10) + ElementLine(200 100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER30_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER30_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER30_1.fp (revision 1033) @@ -0,0 +1,40 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER30_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(150 1450 60 38 "16" 0x01) + Pin(150 1350 60 38 "17" 0x01) + Pin(150 1250 60 38 "18" 0x01) + Pin(150 1150 60 38 "19" 0x01) + Pin(150 1050 60 38 "20" 0x01) + Pin(150 950 60 38 "21" 0x01) + Pin(150 850 60 38 "22" 0x01) + Pin(150 750 60 38 "23" 0x01) + Pin(150 650 60 38 "24" 0x01) + Pin(150 550 60 38 "25" 0x01) + Pin(150 450 60 38 "26" 0x01) + Pin(150 350 60 38 "27" 0x01) + Pin(150 250 60 38 "28" 0x01) + Pin(150 150 60 38 "29" 0x01) + Pin(150 50 60 38 "30" 0x01) + ElementLine(0 0 0 1500 10) + ElementLine(0 1500 200 1500 10) + ElementLine(200 1500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER30_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER30_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER30_2.fp (revision 1033) @@ -0,0 +1,40 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER30_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + ElementLine(0 0 0 1500 10) + ElementLine(0 1500 200 1500 10) + ElementLine(200 1500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER32_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER32_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER32_1.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER32_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(150 1550 60 38 "17" 0x01) + Pin(150 1450 60 38 "18" 0x01) + Pin(150 1350 60 38 "19" 0x01) + Pin(150 1250 60 38 "20" 0x01) + Pin(150 1150 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(150 950 60 38 "23" 0x01) + Pin(150 850 60 38 "24" 0x01) + Pin(150 750 60 38 "25" 0x01) + Pin(150 650 60 38 "26" 0x01) + Pin(150 550 60 38 "27" 0x01) + Pin(150 450 60 38 "28" 0x01) + Pin(150 350 60 38 "29" 0x01) + Pin(150 250 60 38 "30" 0x01) + Pin(150 150 60 38 "31" 0x01) + Pin(150 50 60 38 "32" 0x01) + ElementLine(0 0 0 1600 10) + ElementLine(0 1600 200 1600 10) + ElementLine(200 1600 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER32_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER32_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER32_2.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER32_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + ElementLine(0 0 0 1600 10) + ElementLine(0 1600 200 1600 10) + ElementLine(200 1600 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER34_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER34_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER34_1.fp (revision 1033) @@ -0,0 +1,44 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER34_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(150 1650 60 38 "18" 0x01) + Pin(150 1550 60 38 "19" 0x01) + Pin(150 1450 60 38 "20" 0x01) + Pin(150 1350 60 38 "21" 0x01) + Pin(150 1250 60 38 "22" 0x01) + Pin(150 1150 60 38 "23" 0x01) + Pin(150 1050 60 38 "24" 0x01) + Pin(150 950 60 38 "25" 0x01) + Pin(150 850 60 38 "26" 0x01) + Pin(150 750 60 38 "27" 0x01) + Pin(150 650 60 38 "28" 0x01) + Pin(150 550 60 38 "29" 0x01) + Pin(150 450 60 38 "30" 0x01) + Pin(150 350 60 38 "31" 0x01) + Pin(150 250 60 38 "32" 0x01) + Pin(150 150 60 38 "33" 0x01) + Pin(150 50 60 38 "34" 0x01) + ElementLine(0 0 0 1700 10) + ElementLine(0 1700 200 1700 10) + ElementLine(200 1700 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER34_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER34_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER34_2.fp (revision 1033) @@ -0,0 +1,44 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER34_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + ElementLine(0 0 0 1700 10) + ElementLine(0 1700 200 1700 10) + ElementLine(200 1700 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER36_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER36_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER36_1.fp (revision 1033) @@ -0,0 +1,46 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER36_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(150 1750 60 38 "19" 0x01) + Pin(150 1650 60 38 "20" 0x01) + Pin(150 1550 60 38 "21" 0x01) + Pin(150 1450 60 38 "22" 0x01) + Pin(150 1350 60 38 "23" 0x01) + Pin(150 1250 60 38 "24" 0x01) + Pin(150 1150 60 38 "25" 0x01) + Pin(150 1050 60 38 "26" 0x01) + Pin(150 950 60 38 "27" 0x01) + Pin(150 850 60 38 "28" 0x01) + Pin(150 750 60 38 "29" 0x01) + Pin(150 650 60 38 "30" 0x01) + Pin(150 550 60 38 "31" 0x01) + Pin(150 450 60 38 "32" 0x01) + Pin(150 350 60 38 "33" 0x01) + Pin(150 250 60 38 "34" 0x01) + Pin(150 150 60 38 "35" 0x01) + Pin(150 50 60 38 "36" 0x01) + ElementLine(0 0 0 1800 10) + ElementLine(0 1800 200 1800 10) + ElementLine(200 1800 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER36_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER36_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER36_2.fp (revision 1033) @@ -0,0 +1,46 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER36_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + ElementLine(0 0 0 1800 10) + ElementLine(0 1800 200 1800 10) + ElementLine(200 1800 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER38_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER38_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER38_1.fp (revision 1033) @@ -0,0 +1,48 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER38_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(150 1850 60 38 "20" 0x01) + Pin(150 1750 60 38 "21" 0x01) + Pin(150 1650 60 38 "22" 0x01) + Pin(150 1550 60 38 "23" 0x01) + Pin(150 1450 60 38 "24" 0x01) + Pin(150 1350 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(150 1150 60 38 "27" 0x01) + Pin(150 1050 60 38 "28" 0x01) + Pin(150 950 60 38 "29" 0x01) + Pin(150 850 60 38 "30" 0x01) + Pin(150 750 60 38 "31" 0x01) + Pin(150 650 60 38 "32" 0x01) + Pin(150 550 60 38 "33" 0x01) + Pin(150 450 60 38 "34" 0x01) + Pin(150 350 60 38 "35" 0x01) + Pin(150 250 60 38 "36" 0x01) + Pin(150 150 60 38 "37" 0x01) + Pin(150 50 60 38 "38" 0x01) + ElementLine(0 0 0 1900 10) + ElementLine(0 1900 200 1900 10) + ElementLine(200 1900 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER38_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER38_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER38_2.fp (revision 1033) @@ -0,0 +1,48 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER38_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + ElementLine(0 0 0 1900 10) + ElementLine(0 1900 200 1900 10) + ElementLine(200 1900 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER40_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER40_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER40_1.fp (revision 1033) @@ -0,0 +1,50 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER40_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(150 1950 60 38 "21" 0x01) + Pin(150 1850 60 38 "22" 0x01) + Pin(150 1750 60 38 "23" 0x01) + Pin(150 1650 60 38 "24" 0x01) + Pin(150 1550 60 38 "25" 0x01) + Pin(150 1450 60 38 "26" 0x01) + Pin(150 1350 60 38 "27" 0x01) + Pin(150 1250 60 38 "28" 0x01) + Pin(150 1150 60 38 "29" 0x01) + Pin(150 1050 60 38 "30" 0x01) + Pin(150 950 60 38 "31" 0x01) + Pin(150 850 60 38 "32" 0x01) + Pin(150 750 60 38 "33" 0x01) + Pin(150 650 60 38 "34" 0x01) + Pin(150 550 60 38 "35" 0x01) + Pin(150 450 60 38 "36" 0x01) + Pin(150 350 60 38 "37" 0x01) + Pin(150 250 60 38 "38" 0x01) + Pin(150 150 60 38 "39" 0x01) + Pin(150 50 60 38 "40" 0x01) + ElementLine(0 0 0 2000 10) + ElementLine(0 2000 200 2000 10) + ElementLine(200 2000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER40_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER40_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER40_2.fp (revision 1033) @@ -0,0 +1,50 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER40_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + ElementLine(0 0 0 2000 10) + ElementLine(0 2000 200 2000 10) + ElementLine(200 2000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER42_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER42_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER42_1.fp (revision 1033) @@ -0,0 +1,52 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER42_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(150 2050 60 38 "22" 0x01) + Pin(150 1950 60 38 "23" 0x01) + Pin(150 1850 60 38 "24" 0x01) + Pin(150 1750 60 38 "25" 0x01) + Pin(150 1650 60 38 "26" 0x01) + Pin(150 1550 60 38 "27" 0x01) + Pin(150 1450 60 38 "28" 0x01) + Pin(150 1350 60 38 "29" 0x01) + Pin(150 1250 60 38 "30" 0x01) + Pin(150 1150 60 38 "31" 0x01) + Pin(150 1050 60 38 "32" 0x01) + Pin(150 950 60 38 "33" 0x01) + Pin(150 850 60 38 "34" 0x01) + Pin(150 750 60 38 "35" 0x01) + Pin(150 650 60 38 "36" 0x01) + Pin(150 550 60 38 "37" 0x01) + Pin(150 450 60 38 "38" 0x01) + Pin(150 350 60 38 "39" 0x01) + Pin(150 250 60 38 "40" 0x01) + Pin(150 150 60 38 "41" 0x01) + Pin(150 50 60 38 "42" 0x01) + ElementLine(0 0 0 2100 10) + ElementLine(0 2100 200 2100 10) + ElementLine(200 2100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER42_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER42_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER42_2.fp (revision 1033) @@ -0,0 +1,52 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER42_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + ElementLine(0 0 0 2100 10) + ElementLine(0 2100 200 2100 10) + ElementLine(200 2100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER44_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER44_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER44_1.fp (revision 1033) @@ -0,0 +1,54 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER44_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(150 2150 60 38 "23" 0x01) + Pin(150 2050 60 38 "24" 0x01) + Pin(150 1950 60 38 "25" 0x01) + Pin(150 1850 60 38 "26" 0x01) + Pin(150 1750 60 38 "27" 0x01) + Pin(150 1650 60 38 "28" 0x01) + Pin(150 1550 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(150 1350 60 38 "31" 0x01) + Pin(150 1250 60 38 "32" 0x01) + Pin(150 1150 60 38 "33" 0x01) + Pin(150 1050 60 38 "34" 0x01) + Pin(150 950 60 38 "35" 0x01) + Pin(150 850 60 38 "36" 0x01) + Pin(150 750 60 38 "37" 0x01) + Pin(150 650 60 38 "38" 0x01) + Pin(150 550 60 38 "39" 0x01) + Pin(150 450 60 38 "40" 0x01) + Pin(150 350 60 38 "41" 0x01) + Pin(150 250 60 38 "42" 0x01) + Pin(150 150 60 38 "43" 0x01) + Pin(150 50 60 38 "44" 0x01) + ElementLine(0 0 0 2200 10) + ElementLine(0 2200 200 2200 10) + ElementLine(200 2200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER44_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER44_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER44_2.fp (revision 1033) @@ -0,0 +1,54 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER44_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + ElementLine(0 0 0 2200 10) + ElementLine(0 2200 200 2200 10) + ElementLine(200 2200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER46_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER46_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER46_1.fp (revision 1033) @@ -0,0 +1,56 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER46_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(150 2250 60 38 "24" 0x01) + Pin(150 2150 60 38 "25" 0x01) + Pin(150 2050 60 38 "26" 0x01) + Pin(150 1950 60 38 "27" 0x01) + Pin(150 1850 60 38 "28" 0x01) + Pin(150 1750 60 38 "29" 0x01) + Pin(150 1650 60 38 "30" 0x01) + Pin(150 1550 60 38 "31" 0x01) + Pin(150 1450 60 38 "32" 0x01) + Pin(150 1350 60 38 "33" 0x01) + Pin(150 1250 60 38 "34" 0x01) + Pin(150 1150 60 38 "35" 0x01) + Pin(150 1050 60 38 "36" 0x01) + Pin(150 950 60 38 "37" 0x01) + Pin(150 850 60 38 "38" 0x01) + Pin(150 750 60 38 "39" 0x01) + Pin(150 650 60 38 "40" 0x01) + Pin(150 550 60 38 "41" 0x01) + Pin(150 450 60 38 "42" 0x01) + Pin(150 350 60 38 "43" 0x01) + Pin(150 250 60 38 "44" 0x01) + Pin(150 150 60 38 "45" 0x01) + Pin(150 50 60 38 "46" 0x01) + ElementLine(0 0 0 2300 10) + ElementLine(0 2300 200 2300 10) + ElementLine(200 2300 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER46_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER46_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER46_2.fp (revision 1033) @@ -0,0 +1,56 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER46_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + ElementLine(0 0 0 2300 10) + ElementLine(0 2300 200 2300 10) + ElementLine(200 2300 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER48_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER48_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER48_1.fp (revision 1033) @@ -0,0 +1,58 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER48_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(150 2350 60 38 "25" 0x01) + Pin(150 2250 60 38 "26" 0x01) + Pin(150 2150 60 38 "27" 0x01) + Pin(150 2050 60 38 "28" 0x01) + Pin(150 1950 60 38 "29" 0x01) + Pin(150 1850 60 38 "30" 0x01) + Pin(150 1750 60 38 "31" 0x01) + Pin(150 1650 60 38 "32" 0x01) + Pin(150 1550 60 38 "33" 0x01) + Pin(150 1450 60 38 "34" 0x01) + Pin(150 1350 60 38 "35" 0x01) + Pin(150 1250 60 38 "36" 0x01) + Pin(150 1150 60 38 "37" 0x01) + Pin(150 1050 60 38 "38" 0x01) + Pin(150 950 60 38 "39" 0x01) + Pin(150 850 60 38 "40" 0x01) + Pin(150 750 60 38 "41" 0x01) + Pin(150 650 60 38 "42" 0x01) + Pin(150 550 60 38 "43" 0x01) + Pin(150 450 60 38 "44" 0x01) + Pin(150 350 60 38 "45" 0x01) + Pin(150 250 60 38 "46" 0x01) + Pin(150 150 60 38 "47" 0x01) + Pin(150 50 60 38 "48" 0x01) + ElementLine(0 0 0 2400 10) + ElementLine(0 2400 200 2400 10) + ElementLine(200 2400 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER48_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER48_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER48_2.fp (revision 1033) @@ -0,0 +1,58 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER48_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + ElementLine(0 0 0 2400 10) + ElementLine(0 2400 200 2400 10) + ElementLine(200 2400 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER4_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER4_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER4_1.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER4_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(150 150 60 38 "3" 0x01) + Pin(150 50 60 38 "4" 0x01) + ElementLine(0 0 0 200 10) + ElementLine(0 200 200 200 10) + ElementLine(200 200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER4_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER4_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER4_2.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER4_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + ElementLine(0 0 0 200 10) + ElementLine(0 200 200 200 10) + ElementLine(200 200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER50_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER50_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER50_1.fp (revision 1033) @@ -0,0 +1,60 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER50_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(150 2450 60 38 "26" 0x01) + Pin(150 2350 60 38 "27" 0x01) + Pin(150 2250 60 38 "28" 0x01) + Pin(150 2150 60 38 "29" 0x01) + Pin(150 2050 60 38 "30" 0x01) + Pin(150 1950 60 38 "31" 0x01) + Pin(150 1850 60 38 "32" 0x01) + Pin(150 1750 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(150 1550 60 38 "35" 0x01) + Pin(150 1450 60 38 "36" 0x01) + Pin(150 1350 60 38 "37" 0x01) + Pin(150 1250 60 38 "38" 0x01) + Pin(150 1150 60 38 "39" 0x01) + Pin(150 1050 60 38 "40" 0x01) + Pin(150 950 60 38 "41" 0x01) + Pin(150 850 60 38 "42" 0x01) + Pin(150 750 60 38 "43" 0x01) + Pin(150 650 60 38 "44" 0x01) + Pin(150 550 60 38 "45" 0x01) + Pin(150 450 60 38 "46" 0x01) + Pin(150 350 60 38 "47" 0x01) + Pin(150 250 60 38 "48" 0x01) + Pin(150 150 60 38 "49" 0x01) + Pin(150 50 60 38 "50" 0x01) + ElementLine(0 0 0 2500 10) + ElementLine(0 2500 200 2500 10) + ElementLine(200 2500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER50_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER50_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER50_2.fp (revision 1033) @@ -0,0 +1,60 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER50_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + ElementLine(0 0 0 2500 10) + ElementLine(0 2500 200 2500 10) + ElementLine(200 2500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER52_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER52_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER52_1.fp (revision 1033) @@ -0,0 +1,62 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER52_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(150 2550 60 38 "27" 0x01) + Pin(150 2450 60 38 "28" 0x01) + Pin(150 2350 60 38 "29" 0x01) + Pin(150 2250 60 38 "30" 0x01) + Pin(150 2150 60 38 "31" 0x01) + Pin(150 2050 60 38 "32" 0x01) + Pin(150 1950 60 38 "33" 0x01) + Pin(150 1850 60 38 "34" 0x01) + Pin(150 1750 60 38 "35" 0x01) + Pin(150 1650 60 38 "36" 0x01) + Pin(150 1550 60 38 "37" 0x01) + Pin(150 1450 60 38 "38" 0x01) + Pin(150 1350 60 38 "39" 0x01) + Pin(150 1250 60 38 "40" 0x01) + Pin(150 1150 60 38 "41" 0x01) + Pin(150 1050 60 38 "42" 0x01) + Pin(150 950 60 38 "43" 0x01) + Pin(150 850 60 38 "44" 0x01) + Pin(150 750 60 38 "45" 0x01) + Pin(150 650 60 38 "46" 0x01) + Pin(150 550 60 38 "47" 0x01) + Pin(150 450 60 38 "48" 0x01) + Pin(150 350 60 38 "49" 0x01) + Pin(150 250 60 38 "50" 0x01) + Pin(150 150 60 38 "51" 0x01) + Pin(150 50 60 38 "52" 0x01) + ElementLine(0 0 0 2600 10) + ElementLine(0 2600 200 2600 10) + ElementLine(200 2600 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER52_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER52_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER52_2.fp (revision 1033) @@ -0,0 +1,62 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER52_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + ElementLine(0 0 0 2600 10) + ElementLine(0 2600 200 2600 10) + ElementLine(200 2600 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER54_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER54_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER54_1.fp (revision 1033) @@ -0,0 +1,64 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER54_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(50 2650 60 38 "27" 0x01) + Pin(150 2650 60 38 "28" 0x01) + Pin(150 2550 60 38 "29" 0x01) + Pin(150 2450 60 38 "30" 0x01) + Pin(150 2350 60 38 "31" 0x01) + Pin(150 2250 60 38 "32" 0x01) + Pin(150 2150 60 38 "33" 0x01) + Pin(150 2050 60 38 "34" 0x01) + Pin(150 1950 60 38 "35" 0x01) + Pin(150 1850 60 38 "36" 0x01) + Pin(150 1750 60 38 "37" 0x01) + Pin(150 1650 60 38 "38" 0x01) + Pin(150 1550 60 38 "39" 0x01) + Pin(150 1450 60 38 "40" 0x01) + Pin(150 1350 60 38 "41" 0x01) + Pin(150 1250 60 38 "42" 0x01) + Pin(150 1150 60 38 "43" 0x01) + Pin(150 1050 60 38 "44" 0x01) + Pin(150 950 60 38 "45" 0x01) + Pin(150 850 60 38 "46" 0x01) + Pin(150 750 60 38 "47" 0x01) + Pin(150 650 60 38 "48" 0x01) + Pin(150 550 60 38 "49" 0x01) + Pin(150 450 60 38 "50" 0x01) + Pin(150 350 60 38 "51" 0x01) + Pin(150 250 60 38 "52" 0x01) + Pin(150 150 60 38 "53" 0x01) + Pin(150 50 60 38 "54" 0x01) + ElementLine(0 0 0 2700 10) + ElementLine(0 2700 200 2700 10) + ElementLine(200 2700 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER54_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER54_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER54_2.fp (revision 1033) @@ -0,0 +1,64 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER54_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + Pin(50 2650 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + ElementLine(0 0 0 2700 10) + ElementLine(0 2700 200 2700 10) + ElementLine(200 2700 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER56_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER56_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER56_1.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER56_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(50 2650 60 38 "27" 0x01) + Pin(50 2750 60 38 "28" 0x01) + Pin(150 2750 60 38 "29" 0x01) + Pin(150 2650 60 38 "30" 0x01) + Pin(150 2550 60 38 "31" 0x01) + Pin(150 2450 60 38 "32" 0x01) + Pin(150 2350 60 38 "33" 0x01) + Pin(150 2250 60 38 "34" 0x01) + Pin(150 2150 60 38 "35" 0x01) + Pin(150 2050 60 38 "36" 0x01) + Pin(150 1950 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(150 1750 60 38 "39" 0x01) + Pin(150 1650 60 38 "40" 0x01) + Pin(150 1550 60 38 "41" 0x01) + Pin(150 1450 60 38 "42" 0x01) + Pin(150 1350 60 38 "43" 0x01) + Pin(150 1250 60 38 "44" 0x01) + Pin(150 1150 60 38 "45" 0x01) + Pin(150 1050 60 38 "46" 0x01) + Pin(150 950 60 38 "47" 0x01) + Pin(150 850 60 38 "48" 0x01) + Pin(150 750 60 38 "49" 0x01) + Pin(150 650 60 38 "50" 0x01) + Pin(150 550 60 38 "51" 0x01) + Pin(150 450 60 38 "52" 0x01) + Pin(150 350 60 38 "53" 0x01) + Pin(150 250 60 38 "54" 0x01) + Pin(150 150 60 38 "55" 0x01) + Pin(150 50 60 38 "56" 0x01) + ElementLine(0 0 0 2800 10) + ElementLine(0 2800 200 2800 10) + ElementLine(200 2800 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER56_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER56_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER56_2.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER56_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + Pin(50 2650 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + Pin(50 2750 60 38 "55" 0x01) + Pin(150 2750 60 38 "56" 0x01) + ElementLine(0 0 0 2800 10) + ElementLine(0 2800 200 2800 10) + ElementLine(200 2800 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER58_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER58_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER58_1.fp (revision 1033) @@ -0,0 +1,68 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER58_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(50 2650 60 38 "27" 0x01) + Pin(50 2750 60 38 "28" 0x01) + Pin(50 2850 60 38 "29" 0x01) + Pin(150 2850 60 38 "30" 0x01) + Pin(150 2750 60 38 "31" 0x01) + Pin(150 2650 60 38 "32" 0x01) + Pin(150 2550 60 38 "33" 0x01) + Pin(150 2450 60 38 "34" 0x01) + Pin(150 2350 60 38 "35" 0x01) + Pin(150 2250 60 38 "36" 0x01) + Pin(150 2150 60 38 "37" 0x01) + Pin(150 2050 60 38 "38" 0x01) + Pin(150 1950 60 38 "39" 0x01) + Pin(150 1850 60 38 "40" 0x01) + Pin(150 1750 60 38 "41" 0x01) + Pin(150 1650 60 38 "42" 0x01) + Pin(150 1550 60 38 "43" 0x01) + Pin(150 1450 60 38 "44" 0x01) + Pin(150 1350 60 38 "45" 0x01) + Pin(150 1250 60 38 "46" 0x01) + Pin(150 1150 60 38 "47" 0x01) + Pin(150 1050 60 38 "48" 0x01) + Pin(150 950 60 38 "49" 0x01) + Pin(150 850 60 38 "50" 0x01) + Pin(150 750 60 38 "51" 0x01) + Pin(150 650 60 38 "52" 0x01) + Pin(150 550 60 38 "53" 0x01) + Pin(150 450 60 38 "54" 0x01) + Pin(150 350 60 38 "55" 0x01) + Pin(150 250 60 38 "56" 0x01) + Pin(150 150 60 38 "57" 0x01) + Pin(150 50 60 38 "58" 0x01) + ElementLine(0 0 0 2900 10) + ElementLine(0 2900 200 2900 10) + ElementLine(200 2900 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER58_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER58_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER58_2.fp (revision 1033) @@ -0,0 +1,68 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER58_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + Pin(50 2650 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + Pin(50 2750 60 38 "55" 0x01) + Pin(150 2750 60 38 "56" 0x01) + Pin(50 2850 60 38 "57" 0x01) + Pin(150 2850 60 38 "58" 0x01) + ElementLine(0 0 0 2900 10) + ElementLine(0 2900 200 2900 10) + ElementLine(200 2900 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER60_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER60_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER60_1.fp (revision 1033) @@ -0,0 +1,70 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER60_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(50 2650 60 38 "27" 0x01) + Pin(50 2750 60 38 "28" 0x01) + Pin(50 2850 60 38 "29" 0x01) + Pin(50 2950 60 38 "30" 0x01) + Pin(150 2950 60 38 "31" 0x01) + Pin(150 2850 60 38 "32" 0x01) + Pin(150 2750 60 38 "33" 0x01) + Pin(150 2650 60 38 "34" 0x01) + Pin(150 2550 60 38 "35" 0x01) + Pin(150 2450 60 38 "36" 0x01) + Pin(150 2350 60 38 "37" 0x01) + Pin(150 2250 60 38 "38" 0x01) + Pin(150 2150 60 38 "39" 0x01) + Pin(150 2050 60 38 "40" 0x01) + Pin(150 1950 60 38 "41" 0x01) + Pin(150 1850 60 38 "42" 0x01) + Pin(150 1750 60 38 "43" 0x01) + Pin(150 1650 60 38 "44" 0x01) + Pin(150 1550 60 38 "45" 0x01) + Pin(150 1450 60 38 "46" 0x01) + Pin(150 1350 60 38 "47" 0x01) + Pin(150 1250 60 38 "48" 0x01) + Pin(150 1150 60 38 "49" 0x01) + Pin(150 1050 60 38 "50" 0x01) + Pin(150 950 60 38 "51" 0x01) + Pin(150 850 60 38 "52" 0x01) + Pin(150 750 60 38 "53" 0x01) + Pin(150 650 60 38 "54" 0x01) + Pin(150 550 60 38 "55" 0x01) + Pin(150 450 60 38 "56" 0x01) + Pin(150 350 60 38 "57" 0x01) + Pin(150 250 60 38 "58" 0x01) + Pin(150 150 60 38 "59" 0x01) + Pin(150 50 60 38 "60" 0x01) + ElementLine(0 0 0 3000 10) + ElementLine(0 3000 200 3000 10) + ElementLine(200 3000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER60_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER60_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER60_2.fp (revision 1033) @@ -0,0 +1,70 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER60_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + Pin(50 2650 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + Pin(50 2750 60 38 "55" 0x01) + Pin(150 2750 60 38 "56" 0x01) + Pin(50 2850 60 38 "57" 0x01) + Pin(150 2850 60 38 "58" 0x01) + Pin(50 2950 60 38 "59" 0x01) + Pin(150 2950 60 38 "60" 0x01) + ElementLine(0 0 0 3000 10) + ElementLine(0 3000 200 3000 10) + ElementLine(200 3000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER62_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER62_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER62_1.fp (revision 1033) @@ -0,0 +1,72 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER62_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(50 2650 60 38 "27" 0x01) + Pin(50 2750 60 38 "28" 0x01) + Pin(50 2850 60 38 "29" 0x01) + Pin(50 2950 60 38 "30" 0x01) + Pin(50 3050 60 38 "31" 0x01) + Pin(150 3050 60 38 "32" 0x01) + Pin(150 2950 60 38 "33" 0x01) + Pin(150 2850 60 38 "34" 0x01) + Pin(150 2750 60 38 "35" 0x01) + Pin(150 2650 60 38 "36" 0x01) + Pin(150 2550 60 38 "37" 0x01) + Pin(150 2450 60 38 "38" 0x01) + Pin(150 2350 60 38 "39" 0x01) + Pin(150 2250 60 38 "40" 0x01) + Pin(150 2150 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(150 1950 60 38 "43" 0x01) + Pin(150 1850 60 38 "44" 0x01) + Pin(150 1750 60 38 "45" 0x01) + Pin(150 1650 60 38 "46" 0x01) + Pin(150 1550 60 38 "47" 0x01) + Pin(150 1450 60 38 "48" 0x01) + Pin(150 1350 60 38 "49" 0x01) + Pin(150 1250 60 38 "50" 0x01) + Pin(150 1150 60 38 "51" 0x01) + Pin(150 1050 60 38 "52" 0x01) + Pin(150 950 60 38 "53" 0x01) + Pin(150 850 60 38 "54" 0x01) + Pin(150 750 60 38 "55" 0x01) + Pin(150 650 60 38 "56" 0x01) + Pin(150 550 60 38 "57" 0x01) + Pin(150 450 60 38 "58" 0x01) + Pin(150 350 60 38 "59" 0x01) + Pin(150 250 60 38 "60" 0x01) + Pin(150 150 60 38 "61" 0x01) + Pin(150 50 60 38 "62" 0x01) + ElementLine(0 0 0 3100 10) + ElementLine(0 3100 200 3100 10) + ElementLine(200 3100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER62_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER62_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER62_2.fp (revision 1033) @@ -0,0 +1,72 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER62_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + Pin(50 2650 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + Pin(50 2750 60 38 "55" 0x01) + Pin(150 2750 60 38 "56" 0x01) + Pin(50 2850 60 38 "57" 0x01) + Pin(150 2850 60 38 "58" 0x01) + Pin(50 2950 60 38 "59" 0x01) + Pin(150 2950 60 38 "60" 0x01) + Pin(50 3050 60 38 "61" 0x01) + Pin(150 3050 60 38 "62" 0x01) + ElementLine(0 0 0 3100 10) + ElementLine(0 3100 200 3100 10) + ElementLine(200 3100 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER64_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER64_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER64_1.fp (revision 1033) @@ -0,0 +1,74 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER64_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(50 2650 60 38 "27" 0x01) + Pin(50 2750 60 38 "28" 0x01) + Pin(50 2850 60 38 "29" 0x01) + Pin(50 2950 60 38 "30" 0x01) + Pin(50 3050 60 38 "31" 0x01) + Pin(50 3150 60 38 "32" 0x01) + Pin(150 3150 60 38 "33" 0x01) + Pin(150 3050 60 38 "34" 0x01) + Pin(150 2950 60 38 "35" 0x01) + Pin(150 2850 60 38 "36" 0x01) + Pin(150 2750 60 38 "37" 0x01) + Pin(150 2650 60 38 "38" 0x01) + Pin(150 2550 60 38 "39" 0x01) + Pin(150 2450 60 38 "40" 0x01) + Pin(150 2350 60 38 "41" 0x01) + Pin(150 2250 60 38 "42" 0x01) + Pin(150 2150 60 38 "43" 0x01) + Pin(150 2050 60 38 "44" 0x01) + Pin(150 1950 60 38 "45" 0x01) + Pin(150 1850 60 38 "46" 0x01) + Pin(150 1750 60 38 "47" 0x01) + Pin(150 1650 60 38 "48" 0x01) + Pin(150 1550 60 38 "49" 0x01) + Pin(150 1450 60 38 "50" 0x01) + Pin(150 1350 60 38 "51" 0x01) + Pin(150 1250 60 38 "52" 0x01) + Pin(150 1150 60 38 "53" 0x01) + Pin(150 1050 60 38 "54" 0x01) + Pin(150 950 60 38 "55" 0x01) + Pin(150 850 60 38 "56" 0x01) + Pin(150 750 60 38 "57" 0x01) + Pin(150 650 60 38 "58" 0x01) + Pin(150 550 60 38 "59" 0x01) + Pin(150 450 60 38 "60" 0x01) + Pin(150 350 60 38 "61" 0x01) + Pin(150 250 60 38 "62" 0x01) + Pin(150 150 60 38 "63" 0x01) + Pin(150 50 60 38 "64" 0x01) + ElementLine(0 0 0 3200 10) + ElementLine(0 3200 200 3200 10) + ElementLine(200 3200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER64_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER64_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER64_2.fp (revision 1033) @@ -0,0 +1,74 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER64_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + Pin(50 2650 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + Pin(50 2750 60 38 "55" 0x01) + Pin(150 2750 60 38 "56" 0x01) + Pin(50 2850 60 38 "57" 0x01) + Pin(150 2850 60 38 "58" 0x01) + Pin(50 2950 60 38 "59" 0x01) + Pin(150 2950 60 38 "60" 0x01) + Pin(50 3050 60 38 "61" 0x01) + Pin(150 3050 60 38 "62" 0x01) + Pin(50 3150 60 38 "63" 0x01) + Pin(150 3150 60 38 "64" 0x01) + ElementLine(0 0 0 3200 10) + ElementLine(0 3200 200 3200 10) + ElementLine(200 3200 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER6_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER6_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER6_1.fp (revision 1033) @@ -0,0 +1,16 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER6_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(150 250 60 38 "4" 0x01) + Pin(150 150 60 38 "5" 0x01) + Pin(150 50 60 38 "6" 0x01) + ElementLine(0 0 0 300 10) + ElementLine(0 300 200 300 10) + ElementLine(200 300 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER6_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER6_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER6_2.fp (revision 1033) @@ -0,0 +1,16 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER6_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + ElementLine(0 0 0 300 10) + ElementLine(0 300 200 300 10) + ElementLine(200 300 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER80_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER80_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER80_1.fp (revision 1033) @@ -0,0 +1,90 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER80_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + Pin(50 2650 60 38 "27" 0x01) + Pin(50 2750 60 38 "28" 0x01) + Pin(50 2850 60 38 "29" 0x01) + Pin(50 2950 60 38 "30" 0x01) + Pin(50 3050 60 38 "31" 0x01) + Pin(50 3150 60 38 "32" 0x01) + Pin(50 3250 60 38 "33" 0x01) + Pin(50 3350 60 38 "34" 0x01) + Pin(50 3450 60 38 "35" 0x01) + Pin(50 3550 60 38 "36" 0x01) + Pin(50 3650 60 38 "37" 0x01) + Pin(50 3750 60 38 "38" 0x01) + Pin(50 3850 60 38 "39" 0x01) + Pin(50 3950 60 38 "40" 0x01) + Pin(150 3950 60 38 "41" 0x01) + Pin(150 3850 60 38 "42" 0x01) + Pin(150 3750 60 38 "43" 0x01) + Pin(150 3650 60 38 "44" 0x01) + Pin(150 3550 60 38 "45" 0x01) + Pin(150 3450 60 38 "46" 0x01) + Pin(150 3350 60 38 "47" 0x01) + Pin(150 3250 60 38 "48" 0x01) + Pin(150 3150 60 38 "49" 0x01) + Pin(150 3050 60 38 "50" 0x01) + Pin(150 2950 60 38 "51" 0x01) + Pin(150 2850 60 38 "52" 0x01) + Pin(150 2750 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + Pin(150 2550 60 38 "55" 0x01) + Pin(150 2450 60 38 "56" 0x01) + Pin(150 2350 60 38 "57" 0x01) + Pin(150 2250 60 38 "58" 0x01) + Pin(150 2150 60 38 "59" 0x01) + Pin(150 2050 60 38 "60" 0x01) + Pin(150 1950 60 38 "61" 0x01) + Pin(150 1850 60 38 "62" 0x01) + Pin(150 1750 60 38 "63" 0x01) + Pin(150 1650 60 38 "64" 0x01) + Pin(150 1550 60 38 "65" 0x01) + Pin(150 1450 60 38 "66" 0x01) + Pin(150 1350 60 38 "67" 0x01) + Pin(150 1250 60 38 "68" 0x01) + Pin(150 1150 60 38 "69" 0x01) + Pin(150 1050 60 38 "70" 0x01) + Pin(150 950 60 38 "71" 0x01) + Pin(150 850 60 38 "72" 0x01) + Pin(150 750 60 38 "73" 0x01) + Pin(150 650 60 38 "74" 0x01) + Pin(150 550 60 38 "75" 0x01) + Pin(150 450 60 38 "76" 0x01) + Pin(150 350 60 38 "77" 0x01) + Pin(150 250 60 38 "78" 0x01) + Pin(150 150 60 38 "79" 0x01) + Pin(150 50 60 38 "80" 0x01) + ElementLine(0 0 0 4000 10) + ElementLine(0 4000 200 4000 10) + ElementLine(200 4000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER80_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER80_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER80_2.fp (revision 1033) @@ -0,0 +1,90 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER80_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + Pin(50 450 60 38 "9" 0x01) + Pin(150 450 60 38 "10" 0x01) + Pin(50 550 60 38 "11" 0x01) + Pin(150 550 60 38 "12" 0x01) + Pin(50 650 60 38 "13" 0x01) + Pin(150 650 60 38 "14" 0x01) + Pin(50 750 60 38 "15" 0x01) + Pin(150 750 60 38 "16" 0x01) + Pin(50 850 60 38 "17" 0x01) + Pin(150 850 60 38 "18" 0x01) + Pin(50 950 60 38 "19" 0x01) + Pin(150 950 60 38 "20" 0x01) + Pin(50 1050 60 38 "21" 0x01) + Pin(150 1050 60 38 "22" 0x01) + Pin(50 1150 60 38 "23" 0x01) + Pin(150 1150 60 38 "24" 0x01) + Pin(50 1250 60 38 "25" 0x01) + Pin(150 1250 60 38 "26" 0x01) + Pin(50 1350 60 38 "27" 0x01) + Pin(150 1350 60 38 "28" 0x01) + Pin(50 1450 60 38 "29" 0x01) + Pin(150 1450 60 38 "30" 0x01) + Pin(50 1550 60 38 "31" 0x01) + Pin(150 1550 60 38 "32" 0x01) + Pin(50 1650 60 38 "33" 0x01) + Pin(150 1650 60 38 "34" 0x01) + Pin(50 1750 60 38 "35" 0x01) + Pin(150 1750 60 38 "36" 0x01) + Pin(50 1850 60 38 "37" 0x01) + Pin(150 1850 60 38 "38" 0x01) + Pin(50 1950 60 38 "39" 0x01) + Pin(150 1950 60 38 "40" 0x01) + Pin(50 2050 60 38 "41" 0x01) + Pin(150 2050 60 38 "42" 0x01) + Pin(50 2150 60 38 "43" 0x01) + Pin(150 2150 60 38 "44" 0x01) + Pin(50 2250 60 38 "45" 0x01) + Pin(150 2250 60 38 "46" 0x01) + Pin(50 2350 60 38 "47" 0x01) + Pin(150 2350 60 38 "48" 0x01) + Pin(50 2450 60 38 "49" 0x01) + Pin(150 2450 60 38 "50" 0x01) + Pin(50 2550 60 38 "51" 0x01) + Pin(150 2550 60 38 "52" 0x01) + Pin(50 2650 60 38 "53" 0x01) + Pin(150 2650 60 38 "54" 0x01) + Pin(50 2750 60 38 "55" 0x01) + Pin(150 2750 60 38 "56" 0x01) + Pin(50 2850 60 38 "57" 0x01) + Pin(150 2850 60 38 "58" 0x01) + Pin(50 2950 60 38 "59" 0x01) + Pin(150 2950 60 38 "60" 0x01) + Pin(50 3050 60 38 "61" 0x01) + Pin(150 3050 60 38 "62" 0x01) + Pin(50 3150 60 38 "63" 0x01) + Pin(150 3150 60 38 "64" 0x01) + Pin(50 3250 60 38 "65" 0x01) + Pin(150 3250 60 38 "66" 0x01) + Pin(50 3350 60 38 "67" 0x01) + Pin(150 3350 60 38 "68" 0x01) + Pin(50 3450 60 38 "69" 0x01) + Pin(150 3450 60 38 "70" 0x01) + Pin(50 3550 60 38 "71" 0x01) + Pin(150 3550 60 38 "72" 0x01) + Pin(50 3650 60 38 "73" 0x01) + Pin(150 3650 60 38 "74" 0x01) + Pin(50 3750 60 38 "75" 0x01) + Pin(150 3750 60 38 "76" 0x01) + Pin(50 3850 60 38 "77" 0x01) + Pin(150 3850 60 38 "78" 0x01) + Pin(50 3950 60 38 "79" 0x01) + Pin(150 3950 60 38 "80" 0x01) + ElementLine(0 0 0 4000 10) + ElementLine(0 4000 200 4000 10) + ElementLine(200 4000 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER8_1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER8_1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER8_1.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "Header connector, DIP pin numbering" "" "HEADER8_1" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(150 350 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(150 150 60 38 "7" 0x01) + Pin(150 50 60 38 "8" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 200 400 10) + ElementLine(200 400 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/HEADER8_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/HEADER8_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/HEADER8_2.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "Header connector, ribbon cable numbering" "" "HEADER8_2" 260 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(150 50 60 38 "2" 0x01) + Pin(50 150 60 38 "3" 0x01) + Pin(150 150 60 38 "4" 0x01) + Pin(50 250 60 38 "5" 0x01) + Pin(150 250 60 38 "6" 0x01) + Pin(50 350 60 38 "7" 0x01) + Pin(150 350 60 38 "8" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 200 400 10) + ElementLine(200 400 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/INDC0402L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC0402L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC0402L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC0402L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-610 -216 + -610 216 + 590 2000 1190 "1" "1" "square"] + Pad[610 -216 + 610 216 + 590 2000 1190 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC0402M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC0402M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC0402M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC0402M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1003 -20 + -1003 20 + 1377 2000 1977 "1" "1" "square"] + Pad[1003 -20 + 1003 20 + 1377 2000 1977 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC0402N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC0402N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC0402N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC0402N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-807 -19 + -807 19 + 984 2000 1584 "1" "1" "square"] + Pad[807 -19 + 807 19 + 984 2000 1584 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC1005L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC1005L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC1005L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC1005L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1574 -197 + -1574 197 + 1968 2000 2568 "1" "1" "square"] + Pad[1574 -197 + 1574 197 + 1968 2000 2568 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC1005M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC1005M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC1005M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC1005M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1968 -197 + -1968 197 + 2755 2000 3355 "1" "1" "square"] + Pad[1968 -197 + 1968 197 + 2755 2000 3355 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC1005N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC1005N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC1005N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC1005N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1574 -393 + -1574 393 + 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 + 1574 393 + 1968 2000 2568 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC1608L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC1608L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC1608L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC1608L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2362 -492 + -2362 492 + 2559 2000 3159 "1" "1" "square"] + Pad[2362 -492 + 2362 492 + 2559 2000 3159 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC1608M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC1608M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC1608M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC1608M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2755 -492 + -2755 492 + 3346 2000 3946 "1" "1" "square"] + Pad[2755 -492 + 2755 492 + 3346 2000 3946 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC1608N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC1608N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC1608N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC1608N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2559 -492 + -2559 492 + 2952 2000 3552 "1" "1" "square"] + Pad[2559 -492 + 2559 492 + 2952 2000 3552 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC2012L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC2012L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC2012L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC2012L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3149 -590 + -3149 590 + 4330 2000 4930 "1" "1" "square"] + Pad[3149 -590 + 3149 590 + 4330 2000 4930 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC2012M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC2012M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC2012M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC2012M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3937 -197 + -3937 197 + 5905 2000 6505 "1" "1" "square"] + Pad[3937 -197 + 3937 197 + 5905 2000 6505 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC2012N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC2012N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC2012N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC2012N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3543 -393 + -3543 393 + 5118 2000 5718 "1" "1" "square"] + Pad[3543 -393 + 3543 393 + 5118 2000 5718 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC2520L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC2520L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC2520L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC2520L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-4724 -2559 + -4724 2559 + 3543 2000 4143 "1" "1" "square"] + Pad[4724 -2559 + 4724 2559 + 3543 2000 4143 "2" "2" "square"] + ElementLine[-1574 -4330 1574 -4330 800] + ElementLine[-1574 4330 1574 4330 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC2520M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC2520M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC2520M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC2520M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5511 -2165 + -5511 2165 + 5118 2000 5718 "1" "1" "square"] + Pad[5511 -2165 + 5511 2165 + 5118 2000 5718 "2" "2" "square"] + ElementLine[-1574 -4724 1574 -4724 800] + ElementLine[-1574 4724 1574 4724 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC2520N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC2520N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC2520N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC2520N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5118 -2362 + -5118 2362 + 4330 2000 4930 "1" "1" "square"] + Pad[5118 -2362 + 5118 2362 + 4330 2000 4930 "2" "2" "square"] + ElementLine[-1377 -4527 1377 -4527 800] + ElementLine[-1377 4527 1377 4527 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC3216L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC3216L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC3216L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC3216L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5905 -1574 + -5905 1574 + 3937 2000 4537 "1" "1" "square"] + Pad[5905 -1574 + 5905 1574 + 3937 2000 4537 "2" "2" "square"] + ElementLine[-2362 -3543 2362 -3543 800] + ElementLine[-2362 3543 2362 3543 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC3216M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC3216M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC3216M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC3216M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-6692 -1181 + -6692 1181 + 5511 2000 6111 "1" "1" "square"] + Pad[6692 -1181 + 6692 1181 + 5511 2000 6111 "2" "2" "square"] + ElementLine[-2559 -3937 2559 -3937 800] + ElementLine[-2559 3937 2559 3937 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC3216N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC3216N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC3216N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC3216N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-6299 -1378 + -6299 1378 + 4724 2000 5324 "1" "1" "square"] + Pad[6299 -1378 + 6299 1378 + 4724 2000 5324 "2" "2" "square"] + ElementLine[-2362 -3740 2362 -3740 800] + ElementLine[-2362 3740 2362 3740 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC3225L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC3225L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC3225L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC3225L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5511 -2953 + -5511 2953 + 4330 2000 4930 "1" "1" "square"] + Pad[5511 -2953 + 5511 2953 + 4330 2000 4930 "2" "2" "square"] + ElementLine[-1771 -5118 1771 -5118 800] + ElementLine[-1771 5118 1771 5118 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC3225M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC3225M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC3225M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC3225M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-6299 -2559 + -6299 2559 + 5905 2000 6505 "1" "1" "square"] + Pad[6299 -2559 + 6299 2559 + 5905 2000 6505 "2" "2" "square"] + ElementLine[-1968 -5511 1968 -5511 800] + ElementLine[-1968 5511 1968 5511 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC3225N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC3225N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC3225N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC3225N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5905 -2755 + -5905 2755 + 5118 2000 5718 "1" "1" "square"] + Pad[5905 -2755 + 5905 2755 + 5118 2000 5718 "2" "2" "square"] + ElementLine[-1968 -5314 1968 -5314 800] + ElementLine[-1968 5314 1968 5314 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC4509L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC4509L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC4509L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC4509L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-8268 0 + -7480 0 + 4330 2000 4930 "1" "1" "square"] + Pad[7480 0 + 8268 0 + 4330 2000 4930 "2" "2" "square"] + ElementLine[-3937 -2165 3937 -2165 800] + ElementLine[-3937 2165 3937 2165 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC4509M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC4509M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC4509M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC4509M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-9448 0 + -7874 0 + 5118 2000 5718 "1" "1" "square"] + Pad[7874 0 + 9448 0 + 5118 2000 5718 "2" "2" "square"] + ElementLine[-3937 -2559 3937 -2559 800] + ElementLine[-3937 2559 3937 2559 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC4509N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC4509N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC4509N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC4509N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-8858 0 + -7677 0 + 4724 2000 5324 "1" "1" "square"] + Pad[7677 0 + 8858 0 + 4724 2000 5324 "2" "2" "square"] + ElementLine[-3740 -2362 3740 -2362 800] + ElementLine[-3740 2362 3740 2362 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC4532L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC4532L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC4532L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC4532L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7480 -3740 + -7480 3740 + 5511 2000 6111 "1" "1" "square"] + Pad[7480 -3740 + 7480 3740 + 5511 2000 6111 "2" "2" "square"] + ElementLine[-3149 -6496 3149 -6496 800] + ElementLine[-3149 6496 3149 6496 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC4532M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC4532M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC4532M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC4532M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-8267 -3346 + -8267 3346 + 7086 2000 7686 "1" "1" "square"] + Pad[8267 -3346 + 8267 3346 + 7086 2000 7686 "2" "2" "square"] + ElementLine[-3149 -6889 3149 -6889 800] + ElementLine[-3149 6889 3149 6889 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/INDC4532N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/INDC4532N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/INDC4532N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "INDC4532N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7874 -3543 + -7874 3543 + 6299 2000 6899 "1" "1" "square"] + Pad[7874 -3543 + 7874 3543 + 6299 2000 6899 "2" "2" "square"] + ElementLine[-3149 -6692 3149 -6692 800] + ElementLine[-3149 6692 3149 6692 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER1.fp (revision 1033) @@ -0,0 +1,11 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER1" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + ElementLine(0 0 0 100 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER10.fp (revision 1033) @@ -0,0 +1,20 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER10" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 100 1000 10) + ElementLine(100 1000 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER11.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER11.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER11.fp (revision 1033) @@ -0,0 +1,21 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER11" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + ElementLine(0 0 0 1100 10) + ElementLine(0 1100 100 1100 10) + ElementLine(100 1100 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER12.fp (revision 1033) @@ -0,0 +1,22 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER12" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 100 1200 10) + ElementLine(100 1200 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER13.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER13.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER13.fp (revision 1033) @@ -0,0 +1,23 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER13" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + ElementLine(0 0 0 1300 10) + ElementLine(0 1300 100 1300 10) + ElementLine(100 1300 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER14.fp (revision 1033) @@ -0,0 +1,24 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER14" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 100 1400 10) + ElementLine(100 1400 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER15.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER15.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER15.fp (revision 1033) @@ -0,0 +1,25 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER15" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + ElementLine(0 0 0 1500 10) + ElementLine(0 1500 100 1500 10) + ElementLine(100 1500 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER16.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER16" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + ElementLine(0 0 0 1600 10) + ElementLine(0 1600 100 1600 10) + ElementLine(100 1600 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER17.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER17.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER17.fp (revision 1033) @@ -0,0 +1,27 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER17" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + ElementLine(0 0 0 1700 10) + ElementLine(0 1700 100 1700 10) + ElementLine(100 1700 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER18.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER18.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER18.fp (revision 1033) @@ -0,0 +1,28 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER18" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + ElementLine(0 0 0 1800 10) + ElementLine(0 1800 100 1800 10) + ElementLine(100 1800 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER19.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER19.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER19.fp (revision 1033) @@ -0,0 +1,29 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER19" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + ElementLine(0 0 0 1900 10) + ElementLine(0 1900 100 1900 10) + ElementLine(100 1900 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER2.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER2" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + ElementLine(0 0 0 200 10) + ElementLine(0 200 100 200 10) + ElementLine(100 200 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER20.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER20" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + ElementLine(0 0 0 2000 10) + ElementLine(0 2000 100 2000 10) + ElementLine(100 2000 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER21.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER21.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER21.fp (revision 1033) @@ -0,0 +1,31 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER21" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + ElementLine(0 0 0 2100 10) + ElementLine(0 2100 100 2100 10) + ElementLine(100 2100 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER22.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER22.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER22.fp (revision 1033) @@ -0,0 +1,32 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER22" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + ElementLine(0 0 0 2200 10) + ElementLine(0 2200 100 2200 10) + ElementLine(100 2200 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER23.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER23.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER23.fp (revision 1033) @@ -0,0 +1,33 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER23" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + ElementLine(0 0 0 2300 10) + ElementLine(0 2300 100 2300 10) + ElementLine(100 2300 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER24.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER24" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + ElementLine(0 0 0 2400 10) + ElementLine(0 2400 100 2400 10) + ElementLine(100 2400 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER25.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER25.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER25.fp (revision 1033) @@ -0,0 +1,35 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER25" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + ElementLine(0 0 0 2500 10) + ElementLine(0 2500 100 2500 10) + ElementLine(100 2500 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER26.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER26.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER26.fp (revision 1033) @@ -0,0 +1,36 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER26" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + Pin(50 950 60 38 "10" 0x01) + Pin(50 1050 60 38 "11" 0x01) + Pin(50 1150 60 38 "12" 0x01) + Pin(50 1250 60 38 "13" 0x01) + Pin(50 1350 60 38 "14" 0x01) + Pin(50 1450 60 38 "15" 0x01) + Pin(50 1550 60 38 "16" 0x01) + Pin(50 1650 60 38 "17" 0x01) + Pin(50 1750 60 38 "18" 0x01) + Pin(50 1850 60 38 "19" 0x01) + Pin(50 1950 60 38 "20" 0x01) + Pin(50 2050 60 38 "21" 0x01) + Pin(50 2150 60 38 "22" 0x01) + Pin(50 2250 60 38 "23" 0x01) + Pin(50 2350 60 38 "24" 0x01) + Pin(50 2450 60 38 "25" 0x01) + Pin(50 2550 60 38 "26" 0x01) + ElementLine(0 0 0 2600 10) + ElementLine(0 2600 100 2600 10) + ElementLine(100 2600 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER3.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER3.fp (revision 1033) @@ -0,0 +1,13 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER3" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + ElementLine(0 0 0 300 10) + ElementLine(0 300 100 300 10) + ElementLine(100 300 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER4.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER4" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 100 400 10) + ElementLine(100 400 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER5.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER5" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + ElementLine(0 0 0 500 10) + ElementLine(0 500 100 500 10) + ElementLine(100 500 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER6.fp (revision 1033) @@ -0,0 +1,16 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER6" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + ElementLine(0 0 0 600 10) + ElementLine(0 600 100 600 10) + ElementLine(100 600 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER7.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER7" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 100 700 10) + ElementLine(100 700 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER8.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER8" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 100 800 10) + ElementLine(100 800 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/JUMPER9.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/JUMPER9.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/JUMPER9.fp (revision 1033) @@ -0,0 +1,19 @@ +Element(0x00 "Jumper, i.e. single row headers" "" "JUMPER9" 160 0 3 100 0x00) +( + Pin(50 50 60 38 "1" 0x101) + Pin(50 150 60 38 "2" 0x01) + Pin(50 250 60 38 "3" 0x01) + Pin(50 350 60 38 "4" 0x01) + Pin(50 450 60 38 "5" 0x01) + Pin(50 550 60 38 "6" 0x01) + Pin(50 650 60 38 "7" 0x01) + Pin(50 750 60 38 "8" 0x01) + Pin(50 850 60 38 "9" 0x01) + ElementLine(0 0 0 900 10) + ElementLine(0 900 100 900 10) + ElementLine(100 900 100 0 10) + ElementLine(100 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/LQFP100_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP100_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP100_10.fp (revision 1033) @@ -0,0 +1,119 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP100_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-24193 -18897 -20677 -18897 984 3000 1284 "1" "1" 0x00000100] + Pad[-24193 -17323 -20677 -17323 984 3000 1284 "2" "2" 0x00000100] + Pad[-24193 -15748 -20677 -15748 984 3000 1284 "3" "3" 0x00000100] + Pad[-24193 -14173 -20677 -14173 984 3000 1284 "4" "4" 0x00000100] + Pad[-24193 -12598 -20677 -12598 984 3000 1284 "5" "5" 0x00000100] + Pad[-24193 -11023 -20677 -11023 984 3000 1284 "6" "6" 0x00000100] + Pad[-24193 -9449 -20677 -9449 984 3000 1284 "7" "7" 0x00000100] + Pad[-24193 -7874 -20677 -7874 984 3000 1284 "8" "8" 0x00000100] + Pad[-24193 -6299 -20677 -6299 984 3000 1284 "9" "9" 0x00000100] + Pad[-24193 -4724 -20677 -4724 984 3000 1284 "10" "10" 0x00000100] + Pad[-24193 -3149 -20677 -3149 984 3000 1284 "11" "11" 0x00000100] + Pad[-24193 -1575 -20677 -1575 984 3000 1284 "12" "12" 0x00000100] + Pad[-24193 0 -20677 0 984 3000 1284 "13" "13" 0x00000100] + Pad[-24193 1575 -20677 1575 984 3000 1284 "14" "14" 0x00000100] + Pad[-24193 3150 -20677 3150 984 3000 1284 "15" "15" 0x00000100] + Pad[-24193 4725 -20677 4725 984 3000 1284 "16" "16" 0x00000100] + Pad[-24193 6299 -20677 6299 984 3000 1284 "17" "17" 0x00000100] + Pad[-24193 7874 -20677 7874 984 3000 1284 "18" "18" 0x00000100] + Pad[-24193 9449 -20677 9449 984 3000 1284 "19" "19" 0x00000100] + Pad[-24193 11024 -20677 11024 984 3000 1284 "20" "20" 0x00000100] + Pad[-24193 12599 -20677 12599 984 3000 1284 "21" "21" 0x00000100] + Pad[-24193 14173 -20677 14173 984 3000 1284 "22" "22" 0x00000100] + Pad[-24193 15748 -20677 15748 984 3000 1284 "23" "23" 0x00000100] + Pad[-24193 17323 -20677 17323 984 3000 1284 "24" "24" 0x00000100] + Pad[-24193 18898 -20677 18898 984 3000 1284 "25" "25" 0x00000100] + # bottom row + Pad[-18897 24193 -18897 20677 984 3000 1284 "26" "26" 0x00000900] + Pad[-17323 24193 -17323 20677 984 3000 1284 "27" "27" 0x00000900] + Pad[-15748 24193 -15748 20677 984 3000 1284 "28" "28" 0x00000900] + Pad[-14173 24193 -14173 20677 984 3000 1284 "29" "29" 0x00000900] + Pad[-12598 24193 -12598 20677 984 3000 1284 "30" "30" 0x00000900] + Pad[-11023 24193 -11023 20677 984 3000 1284 "31" "31" 0x00000900] + Pad[-9449 24193 -9449 20677 984 3000 1284 "32" "32" 0x00000900] + Pad[-7874 24193 -7874 20677 984 3000 1284 "33" "33" 0x00000900] + Pad[-6299 24193 -6299 20677 984 3000 1284 "34" "34" 0x00000900] + Pad[-4724 24193 -4724 20677 984 3000 1284 "35" "35" 0x00000900] + Pad[-3149 24193 -3149 20677 984 3000 1284 "36" "36" 0x00000900] + Pad[-1575 24193 -1575 20677 984 3000 1284 "37" "37" 0x00000900] + Pad[0 24193 0 20677 984 3000 1284 "38" "38" 0x00000900] + Pad[1575 24193 1575 20677 984 3000 1284 "39" "39" 0x00000900] + Pad[3150 24193 3150 20677 984 3000 1284 "40" "40" 0x00000900] + Pad[4725 24193 4725 20677 984 3000 1284 "41" "41" 0x00000900] + Pad[6299 24193 6299 20677 984 3000 1284 "42" "42" 0x00000900] + Pad[7874 24193 7874 20677 984 3000 1284 "43" "43" 0x00000900] + Pad[9449 24193 9449 20677 984 3000 1284 "44" "44" 0x00000900] + Pad[11024 24193 11024 20677 984 3000 1284 "45" "45" 0x00000900] + Pad[12599 24193 12599 20677 984 3000 1284 "46" "46" 0x00000900] + Pad[14173 24193 14173 20677 984 3000 1284 "47" "47" 0x00000900] + Pad[15748 24193 15748 20677 984 3000 1284 "48" "48" 0x00000900] + Pad[17323 24193 17323 20677 984 3000 1284 "49" "49" 0x00000900] + Pad[18898 24193 18898 20677 984 3000 1284 "50" "50" 0x00000900] + # right row + Pad[24193 18897 20677 18897 984 3000 1284 "51" "51" 0x00000100] + Pad[24193 17323 20677 17323 984 3000 1284 "52" "52" 0x00000100] + Pad[24193 15748 20677 15748 984 3000 1284 "53" "53" 0x00000100] + Pad[24193 14173 20677 14173 984 3000 1284 "54" "54" 0x00000100] + Pad[24193 12598 20677 12598 984 3000 1284 "55" "55" 0x00000100] + Pad[24193 11023 20677 11023 984 3000 1284 "56" "56" 0x00000100] + Pad[24193 9449 20677 9449 984 3000 1284 "57" "57" 0x00000100] + Pad[24193 7874 20677 7874 984 3000 1284 "58" "58" 0x00000100] + Pad[24193 6299 20677 6299 984 3000 1284 "59" "59" 0x00000100] + Pad[24193 4724 20677 4724 984 3000 1284 "60" "60" 0x00000100] + Pad[24193 3149 20677 3149 984 3000 1284 "61" "61" 0x00000100] + Pad[24193 1575 20677 1575 984 3000 1284 "62" "62" 0x00000100] + Pad[24193 0 20677 0 984 3000 1284 "63" "63" 0x00000100] + Pad[24193 -1575 20677 -1575 984 3000 1284 "64" "64" 0x00000100] + Pad[24193 -3150 20677 -3150 984 3000 1284 "65" "65" 0x00000100] + Pad[24193 -4725 20677 -4725 984 3000 1284 "66" "66" 0x00000100] + Pad[24193 -6299 20677 -6299 984 3000 1284 "67" "67" 0x00000100] + Pad[24193 -7874 20677 -7874 984 3000 1284 "68" "68" 0x00000100] + Pad[24193 -9449 20677 -9449 984 3000 1284 "69" "69" 0x00000100] + Pad[24193 -11024 20677 -11024 984 3000 1284 "70" "70" 0x00000100] + Pad[24193 -12599 20677 -12599 984 3000 1284 "71" "71" 0x00000100] + Pad[24193 -14173 20677 -14173 984 3000 1284 "72" "72" 0x00000100] + Pad[24193 -15748 20677 -15748 984 3000 1284 "73" "73" 0x00000100] + Pad[24193 -17323 20677 -17323 984 3000 1284 "74" "74" 0x00000100] + Pad[24193 -18898 20677 -18898 984 3000 1284 "75" "75" 0x00000100] + # top row + Pad[18897 -24193 18897 -20677 984 3000 1284 "76" "76" 0x00000900] + Pad[17323 -24193 17323 -20677 984 3000 1284 "77" "77" 0x00000900] + Pad[15748 -24193 15748 -20677 984 3000 1284 "78" "78" 0x00000900] + Pad[14173 -24193 14173 -20677 984 3000 1284 "79" "79" 0x00000900] + Pad[12598 -24193 12598 -20677 984 3000 1284 "80" "80" 0x00000900] + Pad[11023 -24193 11023 -20677 984 3000 1284 "81" "81" 0x00000900] + Pad[9449 -24193 9449 -20677 984 3000 1284 "82" "82" 0x00000900] + Pad[7874 -24193 7874 -20677 984 3000 1284 "83" "83" 0x00000900] + Pad[6299 -24193 6299 -20677 984 3000 1284 "84" "84" 0x00000900] + Pad[4724 -24193 4724 -20677 984 3000 1284 "85" "85" 0x00000900] + Pad[3149 -24193 3149 -20677 984 3000 1284 "86" "86" 0x00000900] + Pad[1575 -24193 1575 -20677 984 3000 1284 "87" "87" 0x00000900] + Pad[0 -24193 0 -20677 984 3000 1284 "88" "88" 0x00000900] + Pad[-1575 -24193 -1575 -20677 984 3000 1284 "89" "89" 0x00000900] + Pad[-3150 -24193 -3150 -20677 984 3000 1284 "90" "90" 0x00000900] + Pad[-4725 -24193 -4725 -20677 984 3000 1284 "91" "91" 0x00000900] + Pad[-6299 -24193 -6299 -20677 984 3000 1284 "92" "92" 0x00000900] + Pad[-7874 -24193 -7874 -20677 984 3000 1284 "93" "93" 0x00000900] + Pad[-9449 -24193 -9449 -20677 984 3000 1284 "94" "94" 0x00000900] + Pad[-11024 -24193 -11024 -20677 984 3000 1284 "95" "95" 0x00000900] + Pad[-12599 -24193 -12599 -20677 984 3000 1284 "96" "96" 0x00000900] + Pad[-14173 -24193 -14173 -20677 984 3000 1284 "97" "97" 0x00000900] + Pad[-15748 -24193 -15748 -20677 984 3000 1284 "98" "98" 0x00000900] + Pad[-17323 -24193 -17323 -20677 984 3000 1284 "99" "99" 0x00000900] + Pad[-18898 -24193 -18898 -20677 984 3000 1284 "100" "100" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP100_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP100_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP100_14.fp (revision 1033) @@ -0,0 +1,119 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP100_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-32008 -23622 -28610 -23622 1102 3000 1402 "1" "1" 0x00000100] + Pad[-32008 -21654 -28610 -21654 1102 3000 1402 "2" "2" 0x00000100] + Pad[-32008 -19685 -28610 -19685 1102 3000 1402 "3" "3" 0x00000100] + Pad[-32008 -17717 -28610 -17717 1102 3000 1402 "4" "4" 0x00000100] + Pad[-32008 -15748 -28610 -15748 1102 3000 1402 "5" "5" 0x00000100] + Pad[-32008 -13780 -28610 -13780 1102 3000 1402 "6" "6" 0x00000100] + Pad[-32008 -11811 -28610 -11811 1102 3000 1402 "7" "7" 0x00000100] + Pad[-32008 -9843 -28610 -9843 1102 3000 1402 "8" "8" 0x00000100] + Pad[-32008 -7874 -28610 -7874 1102 3000 1402 "9" "9" 0x00000100] + Pad[-32008 -5906 -28610 -5906 1102 3000 1402 "10" "10" 0x00000100] + Pad[-32008 -3937 -28610 -3937 1102 3000 1402 "11" "11" 0x00000100] + Pad[-32008 -1969 -28610 -1969 1102 3000 1402 "12" "12" 0x00000100] + Pad[-32008 0 -28610 0 1102 3000 1402 "13" "13" 0x00000100] + Pad[-32008 1968 -28610 1968 1102 3000 1402 "14" "14" 0x00000100] + Pad[-32008 3937 -28610 3937 1102 3000 1402 "15" "15" 0x00000100] + Pad[-32008 5905 -28610 5905 1102 3000 1402 "16" "16" 0x00000100] + Pad[-32008 7874 -28610 7874 1102 3000 1402 "17" "17" 0x00000100] + Pad[-32008 9842 -28610 9842 1102 3000 1402 "18" "18" 0x00000100] + Pad[-32008 11811 -28610 11811 1102 3000 1402 "19" "19" 0x00000100] + Pad[-32008 13779 -28610 13779 1102 3000 1402 "20" "20" 0x00000100] + Pad[-32008 15748 -28610 15748 1102 3000 1402 "21" "21" 0x00000100] + Pad[-32008 17716 -28610 17716 1102 3000 1402 "22" "22" 0x00000100] + Pad[-32008 19685 -28610 19685 1102 3000 1402 "23" "23" 0x00000100] + Pad[-32008 21653 -28610 21653 1102 3000 1402 "24" "24" 0x00000100] + Pad[-32008 23622 -28610 23622 1102 3000 1402 "25" "25" 0x00000100] + # bottom row + Pad[-23622 32008 -23622 28610 1102 3000 1402 "26" "26" 0x00000900] + Pad[-21654 32008 -21654 28610 1102 3000 1402 "27" "27" 0x00000900] + Pad[-19685 32008 -19685 28610 1102 3000 1402 "28" "28" 0x00000900] + Pad[-17717 32008 -17717 28610 1102 3000 1402 "29" "29" 0x00000900] + Pad[-15748 32008 -15748 28610 1102 3000 1402 "30" "30" 0x00000900] + Pad[-13780 32008 -13780 28610 1102 3000 1402 "31" "31" 0x00000900] + Pad[-11811 32008 -11811 28610 1102 3000 1402 "32" "32" 0x00000900] + Pad[-9843 32008 -9843 28610 1102 3000 1402 "33" "33" 0x00000900] + Pad[-7874 32008 -7874 28610 1102 3000 1402 "34" "34" 0x00000900] + Pad[-5906 32008 -5906 28610 1102 3000 1402 "35" "35" 0x00000900] + Pad[-3937 32008 -3937 28610 1102 3000 1402 "36" "36" 0x00000900] + Pad[-1969 32008 -1969 28610 1102 3000 1402 "37" "37" 0x00000900] + Pad[0 32008 0 28610 1102 3000 1402 "38" "38" 0x00000900] + Pad[1968 32008 1968 28610 1102 3000 1402 "39" "39" 0x00000900] + Pad[3937 32008 3937 28610 1102 3000 1402 "40" "40" 0x00000900] + Pad[5905 32008 5905 28610 1102 3000 1402 "41" "41" 0x00000900] + Pad[7874 32008 7874 28610 1102 3000 1402 "42" "42" 0x00000900] + Pad[9842 32008 9842 28610 1102 3000 1402 "43" "43" 0x00000900] + Pad[11811 32008 11811 28610 1102 3000 1402 "44" "44" 0x00000900] + Pad[13779 32008 13779 28610 1102 3000 1402 "45" "45" 0x00000900] + Pad[15748 32008 15748 28610 1102 3000 1402 "46" "46" 0x00000900] + Pad[17716 32008 17716 28610 1102 3000 1402 "47" "47" 0x00000900] + Pad[19685 32008 19685 28610 1102 3000 1402 "48" "48" 0x00000900] + Pad[21653 32008 21653 28610 1102 3000 1402 "49" "49" 0x00000900] + Pad[23622 32008 23622 28610 1102 3000 1402 "50" "50" 0x00000900] + # right row + Pad[32008 23622 28610 23622 1102 3000 1402 "51" "51" 0x00000100] + Pad[32008 21654 28610 21654 1102 3000 1402 "52" "52" 0x00000100] + Pad[32008 19685 28610 19685 1102 3000 1402 "53" "53" 0x00000100] + Pad[32008 17717 28610 17717 1102 3000 1402 "54" "54" 0x00000100] + Pad[32008 15748 28610 15748 1102 3000 1402 "55" "55" 0x00000100] + Pad[32008 13780 28610 13780 1102 3000 1402 "56" "56" 0x00000100] + Pad[32008 11811 28610 11811 1102 3000 1402 "57" "57" 0x00000100] + Pad[32008 9843 28610 9843 1102 3000 1402 "58" "58" 0x00000100] + Pad[32008 7874 28610 7874 1102 3000 1402 "59" "59" 0x00000100] + Pad[32008 5906 28610 5906 1102 3000 1402 "60" "60" 0x00000100] + Pad[32008 3937 28610 3937 1102 3000 1402 "61" "61" 0x00000100] + Pad[32008 1969 28610 1969 1102 3000 1402 "62" "62" 0x00000100] + Pad[32008 0 28610 0 1102 3000 1402 "63" "63" 0x00000100] + Pad[32008 -1968 28610 -1968 1102 3000 1402 "64" "64" 0x00000100] + Pad[32008 -3937 28610 -3937 1102 3000 1402 "65" "65" 0x00000100] + Pad[32008 -5905 28610 -5905 1102 3000 1402 "66" "66" 0x00000100] + Pad[32008 -7874 28610 -7874 1102 3000 1402 "67" "67" 0x00000100] + Pad[32008 -9842 28610 -9842 1102 3000 1402 "68" "68" 0x00000100] + Pad[32008 -11811 28610 -11811 1102 3000 1402 "69" "69" 0x00000100] + Pad[32008 -13779 28610 -13779 1102 3000 1402 "70" "70" 0x00000100] + Pad[32008 -15748 28610 -15748 1102 3000 1402 "71" "71" 0x00000100] + Pad[32008 -17716 28610 -17716 1102 3000 1402 "72" "72" 0x00000100] + Pad[32008 -19685 28610 -19685 1102 3000 1402 "73" "73" 0x00000100] + Pad[32008 -21653 28610 -21653 1102 3000 1402 "74" "74" 0x00000100] + Pad[32008 -23622 28610 -23622 1102 3000 1402 "75" "75" 0x00000100] + # top row + Pad[23622 -32008 23622 -28610 1102 3000 1402 "76" "76" 0x00000900] + Pad[21654 -32008 21654 -28610 1102 3000 1402 "77" "77" 0x00000900] + Pad[19685 -32008 19685 -28610 1102 3000 1402 "78" "78" 0x00000900] + Pad[17717 -32008 17717 -28610 1102 3000 1402 "79" "79" 0x00000900] + Pad[15748 -32008 15748 -28610 1102 3000 1402 "80" "80" 0x00000900] + Pad[13780 -32008 13780 -28610 1102 3000 1402 "81" "81" 0x00000900] + Pad[11811 -32008 11811 -28610 1102 3000 1402 "82" "82" 0x00000900] + Pad[9843 -32008 9843 -28610 1102 3000 1402 "83" "83" 0x00000900] + Pad[7874 -32008 7874 -28610 1102 3000 1402 "84" "84" 0x00000900] + Pad[5906 -32008 5906 -28610 1102 3000 1402 "85" "85" 0x00000900] + Pad[3937 -32008 3937 -28610 1102 3000 1402 "86" "86" 0x00000900] + Pad[1969 -32008 1969 -28610 1102 3000 1402 "87" "87" 0x00000900] + Pad[0 -32008 0 -28610 1102 3000 1402 "88" "88" 0x00000900] + Pad[-1968 -32008 -1968 -28610 1102 3000 1402 "89" "89" 0x00000900] + Pad[-3937 -32008 -3937 -28610 1102 3000 1402 "90" "90" 0x00000900] + Pad[-5905 -32008 -5905 -28610 1102 3000 1402 "91" "91" 0x00000900] + Pad[-7874 -32008 -7874 -28610 1102 3000 1402 "92" "92" 0x00000900] + Pad[-9842 -32008 -9842 -28610 1102 3000 1402 "93" "93" 0x00000900] + Pad[-11811 -32008 -11811 -28610 1102 3000 1402 "94" "94" 0x00000900] + Pad[-13779 -32008 -13779 -28610 1102 3000 1402 "95" "95" 0x00000900] + Pad[-15748 -32008 -15748 -28610 1102 3000 1402 "96" "96" 0x00000900] + Pad[-17716 -32008 -17716 -28610 1102 3000 1402 "97" "97" 0x00000900] + Pad[-19685 -32008 -19685 -28610 1102 3000 1402 "98" "98" 0x00000900] + Pad[-21653 -32008 -21653 -28610 1102 3000 1402 "99" "99" 0x00000900] + Pad[-23622 -32008 -23622 -28610 1102 3000 1402 "100" "100" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP112_20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP112_20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP112_20.fp (revision 1033) @@ -0,0 +1,131 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP112_20" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-43583 -34546 -40657 -34546 1574 3000 1874 "1" "1" 0x00000100] + Pad[-43583 -31987 -40657 -31987 1574 3000 1874 "2" "2" 0x00000100] + Pad[-43583 -29428 -40657 -29428 1574 3000 1874 "3" "3" 0x00000100] + Pad[-43583 -26869 -40657 -26869 1574 3000 1874 "4" "4" 0x00000100] + Pad[-43583 -24310 -40657 -24310 1574 3000 1874 "5" "5" 0x00000100] + Pad[-43583 -21751 -40657 -21751 1574 3000 1874 "6" "6" 0x00000100] + Pad[-43583 -19192 -40657 -19192 1574 3000 1874 "7" "7" 0x00000100] + Pad[-43583 -16633 -40657 -16633 1574 3000 1874 "8" "8" 0x00000100] + Pad[-43583 -14074 -40657 -14074 1574 3000 1874 "9" "9" 0x00000100] + Pad[-43583 -11515 -40657 -11515 1574 3000 1874 "10" "10" 0x00000100] + Pad[-43583 -8956 -40657 -8956 1574 3000 1874 "11" "11" 0x00000100] + Pad[-43583 -6397 -40657 -6397 1574 3000 1874 "12" "12" 0x00000100] + Pad[-43583 -3838 -40657 -3838 1574 3000 1874 "13" "13" 0x00000100] + Pad[-43583 -1279 -40657 -1279 1574 3000 1874 "14" "14" 0x00000100] + Pad[-43583 1280 -40657 1280 1574 3000 1874 "15" "15" 0x00000100] + Pad[-43583 3839 -40657 3839 1574 3000 1874 "16" "16" 0x00000100] + Pad[-43583 6398 -40657 6398 1574 3000 1874 "17" "17" 0x00000100] + Pad[-43583 8957 -40657 8957 1574 3000 1874 "18" "18" 0x00000100] + Pad[-43583 11516 -40657 11516 1574 3000 1874 "19" "19" 0x00000100] + Pad[-43583 14075 -40657 14075 1574 3000 1874 "20" "20" 0x00000100] + Pad[-43583 16634 -40657 16634 1574 3000 1874 "21" "21" 0x00000100] + Pad[-43583 19193 -40657 19193 1574 3000 1874 "22" "22" 0x00000100] + Pad[-43583 21752 -40657 21752 1574 3000 1874 "23" "23" 0x00000100] + Pad[-43583 24311 -40657 24311 1574 3000 1874 "24" "24" 0x00000100] + Pad[-43583 26870 -40657 26870 1574 3000 1874 "25" "25" 0x00000100] + Pad[-43583 29429 -40657 29429 1574 3000 1874 "26" "26" 0x00000100] + Pad[-43583 31988 -40657 31988 1574 3000 1874 "27" "27" 0x00000100] + Pad[-43583 34547 -40657 34547 1574 3000 1874 "28" "28" 0x00000100] + # bottom row + Pad[-34546 43583 -34546 40657 1574 3000 1874 "29" "29" 0x00000900] + Pad[-31987 43583 -31987 40657 1574 3000 1874 "30" "30" 0x00000900] + Pad[-29428 43583 -29428 40657 1574 3000 1874 "31" "31" 0x00000900] + Pad[-26869 43583 -26869 40657 1574 3000 1874 "32" "32" 0x00000900] + Pad[-24310 43583 -24310 40657 1574 3000 1874 "33" "33" 0x00000900] + Pad[-21751 43583 -21751 40657 1574 3000 1874 "34" "34" 0x00000900] + Pad[-19192 43583 -19192 40657 1574 3000 1874 "35" "35" 0x00000900] + Pad[-16633 43583 -16633 40657 1574 3000 1874 "36" "36" 0x00000900] + Pad[-14074 43583 -14074 40657 1574 3000 1874 "37" "37" 0x00000900] + Pad[-11515 43583 -11515 40657 1574 3000 1874 "38" "38" 0x00000900] + Pad[-8956 43583 -8956 40657 1574 3000 1874 "39" "39" 0x00000900] + Pad[-6397 43583 -6397 40657 1574 3000 1874 "40" "40" 0x00000900] + Pad[-3838 43583 -3838 40657 1574 3000 1874 "41" "41" 0x00000900] + Pad[-1279 43583 -1279 40657 1574 3000 1874 "42" "42" 0x00000900] + Pad[1280 43583 1280 40657 1574 3000 1874 "43" "43" 0x00000900] + Pad[3839 43583 3839 40657 1574 3000 1874 "44" "44" 0x00000900] + Pad[6398 43583 6398 40657 1574 3000 1874 "45" "45" 0x00000900] + Pad[8957 43583 8957 40657 1574 3000 1874 "46" "46" 0x00000900] + Pad[11516 43583 11516 40657 1574 3000 1874 "47" "47" 0x00000900] + Pad[14075 43583 14075 40657 1574 3000 1874 "48" "48" 0x00000900] + Pad[16634 43583 16634 40657 1574 3000 1874 "49" "49" 0x00000900] + Pad[19193 43583 19193 40657 1574 3000 1874 "50" "50" 0x00000900] + Pad[21752 43583 21752 40657 1574 3000 1874 "51" "51" 0x00000900] + Pad[24311 43583 24311 40657 1574 3000 1874 "52" "52" 0x00000900] + Pad[26870 43583 26870 40657 1574 3000 1874 "53" "53" 0x00000900] + Pad[29429 43583 29429 40657 1574 3000 1874 "54" "54" 0x00000900] + Pad[31988 43583 31988 40657 1574 3000 1874 "55" "55" 0x00000900] + Pad[34547 43583 34547 40657 1574 3000 1874 "56" "56" 0x00000900] + # right row + Pad[43583 34546 40657 34546 1574 3000 1874 "57" "57" 0x00000100] + Pad[43583 31987 40657 31987 1574 3000 1874 "58" "58" 0x00000100] + Pad[43583 29428 40657 29428 1574 3000 1874 "59" "59" 0x00000100] + Pad[43583 26869 40657 26869 1574 3000 1874 "60" "60" 0x00000100] + Pad[43583 24310 40657 24310 1574 3000 1874 "61" "61" 0x00000100] + Pad[43583 21751 40657 21751 1574 3000 1874 "62" "62" 0x00000100] + Pad[43583 19192 40657 19192 1574 3000 1874 "63" "63" 0x00000100] + Pad[43583 16633 40657 16633 1574 3000 1874 "64" "64" 0x00000100] + Pad[43583 14074 40657 14074 1574 3000 1874 "65" "65" 0x00000100] + Pad[43583 11515 40657 11515 1574 3000 1874 "66" "66" 0x00000100] + Pad[43583 8956 40657 8956 1574 3000 1874 "67" "67" 0x00000100] + Pad[43583 6397 40657 6397 1574 3000 1874 "68" "68" 0x00000100] + Pad[43583 3838 40657 3838 1574 3000 1874 "69" "69" 0x00000100] + Pad[43583 1279 40657 1279 1574 3000 1874 "70" "70" 0x00000100] + Pad[43583 -1280 40657 -1280 1574 3000 1874 "71" "71" 0x00000100] + Pad[43583 -3839 40657 -3839 1574 3000 1874 "72" "72" 0x00000100] + Pad[43583 -6398 40657 -6398 1574 3000 1874 "73" "73" 0x00000100] + Pad[43583 -8957 40657 -8957 1574 3000 1874 "74" "74" 0x00000100] + Pad[43583 -11516 40657 -11516 1574 3000 1874 "75" "75" 0x00000100] + Pad[43583 -14075 40657 -14075 1574 3000 1874 "76" "76" 0x00000100] + Pad[43583 -16634 40657 -16634 1574 3000 1874 "77" "77" 0x00000100] + Pad[43583 -19193 40657 -19193 1574 3000 1874 "78" "78" 0x00000100] + Pad[43583 -21752 40657 -21752 1574 3000 1874 "79" "79" 0x00000100] + Pad[43583 -24311 40657 -24311 1574 3000 1874 "80" "80" 0x00000100] + Pad[43583 -26870 40657 -26870 1574 3000 1874 "81" "81" 0x00000100] + Pad[43583 -29429 40657 -29429 1574 3000 1874 "82" "82" 0x00000100] + Pad[43583 -31988 40657 -31988 1574 3000 1874 "83" "83" 0x00000100] + Pad[43583 -34547 40657 -34547 1574 3000 1874 "84" "84" 0x00000100] + # top row + Pad[34546 -43583 34546 -40657 1574 3000 1874 "85" "85" 0x00000900] + Pad[31987 -43583 31987 -40657 1574 3000 1874 "86" "86" 0x00000900] + Pad[29428 -43583 29428 -40657 1574 3000 1874 "87" "87" 0x00000900] + Pad[26869 -43583 26869 -40657 1574 3000 1874 "88" "88" 0x00000900] + Pad[24310 -43583 24310 -40657 1574 3000 1874 "89" "89" 0x00000900] + Pad[21751 -43583 21751 -40657 1574 3000 1874 "90" "90" 0x00000900] + Pad[19192 -43583 19192 -40657 1574 3000 1874 "91" "91" 0x00000900] + Pad[16633 -43583 16633 -40657 1574 3000 1874 "92" "92" 0x00000900] + Pad[14074 -43583 14074 -40657 1574 3000 1874 "93" "93" 0x00000900] + Pad[11515 -43583 11515 -40657 1574 3000 1874 "94" "94" 0x00000900] + Pad[8956 -43583 8956 -40657 1574 3000 1874 "95" "95" 0x00000900] + Pad[6397 -43583 6397 -40657 1574 3000 1874 "96" "96" 0x00000900] + Pad[3838 -43583 3838 -40657 1574 3000 1874 "97" "97" 0x00000900] + Pad[1279 -43583 1279 -40657 1574 3000 1874 "98" "98" 0x00000900] + Pad[-1280 -43583 -1280 -40657 1574 3000 1874 "99" "99" 0x00000900] + Pad[-3839 -43583 -3839 -40657 1574 3000 1874 "100" "100" 0x00000900] + Pad[-6398 -43583 -6398 -40657 1574 3000 1874 "101" "101" 0x00000900] + Pad[-8957 -43583 -8957 -40657 1574 3000 1874 "102" "102" 0x00000900] + Pad[-11516 -43583 -11516 -40657 1574 3000 1874 "103" "103" 0x00000900] + Pad[-14075 -43583 -14075 -40657 1574 3000 1874 "104" "104" 0x00000900] + Pad[-16634 -43583 -16634 -40657 1574 3000 1874 "105" "105" 0x00000900] + Pad[-19193 -43583 -19193 -40657 1574 3000 1874 "106" "106" 0x00000900] + Pad[-21752 -43583 -21752 -40657 1574 3000 1874 "107" "107" 0x00000900] + Pad[-24311 -43583 -24311 -40657 1574 3000 1874 "108" "108" 0x00000900] + Pad[-26870 -43583 -26870 -40657 1574 3000 1874 "109" "109" 0x00000900] + Pad[-29429 -43583 -29429 -40657 1574 3000 1874 "110" "110" 0x00000900] + Pad[-31988 -43583 -31988 -40657 1574 3000 1874 "111" "111" 0x00000900] + Pad[-34547 -43583 -34547 -40657 1574 3000 1874 "112" "112" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-36070 -38970 38970 -38970 800] + # right + ElementLine[38970 -38970 38970 38970 800] + # bottom + ElementLine[38970 38970 -38970 38970 800] + # left + ElementLine[-38970 38970 -38970 -36070 800] + # angled corner + ElementLine[-38970 -36070 -36070 -38970 800] + ElementArc[-36070 -36070 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP120_16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP120_16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP120_16.fp (revision 1033) @@ -0,0 +1,139 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP120_16" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-35945 -28543 -32547 -28543 1102 3000 1402 "1" "1" 0x00000100] + Pad[-35945 -26575 -32547 -26575 1102 3000 1402 "2" "2" 0x00000100] + Pad[-35945 -24606 -32547 -24606 1102 3000 1402 "3" "3" 0x00000100] + Pad[-35945 -22638 -32547 -22638 1102 3000 1402 "4" "4" 0x00000100] + Pad[-35945 -20669 -32547 -20669 1102 3000 1402 "5" "5" 0x00000100] + Pad[-35945 -18701 -32547 -18701 1102 3000 1402 "6" "6" 0x00000100] + Pad[-35945 -16732 -32547 -16732 1102 3000 1402 "7" "7" 0x00000100] + Pad[-35945 -14764 -32547 -14764 1102 3000 1402 "8" "8" 0x00000100] + Pad[-35945 -12795 -32547 -12795 1102 3000 1402 "9" "9" 0x00000100] + Pad[-35945 -10827 -32547 -10827 1102 3000 1402 "10" "10" 0x00000100] + Pad[-35945 -8858 -32547 -8858 1102 3000 1402 "11" "11" 0x00000100] + Pad[-35945 -6890 -32547 -6890 1102 3000 1402 "12" "12" 0x00000100] + Pad[-35945 -4921 -32547 -4921 1102 3000 1402 "13" "13" 0x00000100] + Pad[-35945 -2953 -32547 -2953 1102 3000 1402 "14" "14" 0x00000100] + Pad[-35945 -984 -32547 -984 1102 3000 1402 "15" "15" 0x00000100] + Pad[-35945 984 -32547 984 1102 3000 1402 "16" "16" 0x00000100] + Pad[-35945 2953 -32547 2953 1102 3000 1402 "17" "17" 0x00000100] + Pad[-35945 4921 -32547 4921 1102 3000 1402 "18" "18" 0x00000100] + Pad[-35945 6890 -32547 6890 1102 3000 1402 "19" "19" 0x00000100] + Pad[-35945 8858 -32547 8858 1102 3000 1402 "20" "20" 0x00000100] + Pad[-35945 10827 -32547 10827 1102 3000 1402 "21" "21" 0x00000100] + Pad[-35945 12795 -32547 12795 1102 3000 1402 "22" "22" 0x00000100] + Pad[-35945 14764 -32547 14764 1102 3000 1402 "23" "23" 0x00000100] + Pad[-35945 16732 -32547 16732 1102 3000 1402 "24" "24" 0x00000100] + Pad[-35945 18701 -32547 18701 1102 3000 1402 "25" "25" 0x00000100] + Pad[-35945 20669 -32547 20669 1102 3000 1402 "26" "26" 0x00000100] + Pad[-35945 22638 -32547 22638 1102 3000 1402 "27" "27" 0x00000100] + Pad[-35945 24606 -32547 24606 1102 3000 1402 "28" "28" 0x00000100] + Pad[-35945 26575 -32547 26575 1102 3000 1402 "29" "29" 0x00000100] + Pad[-35945 28543 -32547 28543 1102 3000 1402 "30" "30" 0x00000100] + # bottom row + Pad[-28543 35945 -28543 32547 1102 3000 1402 "31" "31" 0x00000900] + Pad[-26575 35945 -26575 32547 1102 3000 1402 "32" "32" 0x00000900] + Pad[-24606 35945 -24606 32547 1102 3000 1402 "33" "33" 0x00000900] + Pad[-22638 35945 -22638 32547 1102 3000 1402 "34" "34" 0x00000900] + Pad[-20669 35945 -20669 32547 1102 3000 1402 "35" "35" 0x00000900] + Pad[-18701 35945 -18701 32547 1102 3000 1402 "36" "36" 0x00000900] + Pad[-16732 35945 -16732 32547 1102 3000 1402 "37" "37" 0x00000900] + Pad[-14764 35945 -14764 32547 1102 3000 1402 "38" "38" 0x00000900] + Pad[-12795 35945 -12795 32547 1102 3000 1402 "39" "39" 0x00000900] + Pad[-10827 35945 -10827 32547 1102 3000 1402 "40" "40" 0x00000900] + Pad[-8858 35945 -8858 32547 1102 3000 1402 "41" "41" 0x00000900] + Pad[-6890 35945 -6890 32547 1102 3000 1402 "42" "42" 0x00000900] + Pad[-4921 35945 -4921 32547 1102 3000 1402 "43" "43" 0x00000900] + Pad[-2953 35945 -2953 32547 1102 3000 1402 "44" "44" 0x00000900] + Pad[-984 35945 -984 32547 1102 3000 1402 "45" "45" 0x00000900] + Pad[984 35945 984 32547 1102 3000 1402 "46" "46" 0x00000900] + Pad[2953 35945 2953 32547 1102 3000 1402 "47" "47" 0x00000900] + Pad[4921 35945 4921 32547 1102 3000 1402 "48" "48" 0x00000900] + Pad[6890 35945 6890 32547 1102 3000 1402 "49" "49" 0x00000900] + Pad[8858 35945 8858 32547 1102 3000 1402 "50" "50" 0x00000900] + Pad[10827 35945 10827 32547 1102 3000 1402 "51" "51" 0x00000900] + Pad[12795 35945 12795 32547 1102 3000 1402 "52" "52" 0x00000900] + Pad[14764 35945 14764 32547 1102 3000 1402 "53" "53" 0x00000900] + Pad[16732 35945 16732 32547 1102 3000 1402 "54" "54" 0x00000900] + Pad[18701 35945 18701 32547 1102 3000 1402 "55" "55" 0x00000900] + Pad[20669 35945 20669 32547 1102 3000 1402 "56" "56" 0x00000900] + Pad[22638 35945 22638 32547 1102 3000 1402 "57" "57" 0x00000900] + Pad[24606 35945 24606 32547 1102 3000 1402 "58" "58" 0x00000900] + Pad[26575 35945 26575 32547 1102 3000 1402 "59" "59" 0x00000900] + Pad[28543 35945 28543 32547 1102 3000 1402 "60" "60" 0x00000900] + # right row + Pad[35945 28543 32547 28543 1102 3000 1402 "61" "61" 0x00000100] + Pad[35945 26575 32547 26575 1102 3000 1402 "62" "62" 0x00000100] + Pad[35945 24606 32547 24606 1102 3000 1402 "63" "63" 0x00000100] + Pad[35945 22638 32547 22638 1102 3000 1402 "64" "64" 0x00000100] + Pad[35945 20669 32547 20669 1102 3000 1402 "65" "65" 0x00000100] + Pad[35945 18701 32547 18701 1102 3000 1402 "66" "66" 0x00000100] + Pad[35945 16732 32547 16732 1102 3000 1402 "67" "67" 0x00000100] + Pad[35945 14764 32547 14764 1102 3000 1402 "68" "68" 0x00000100] + Pad[35945 12795 32547 12795 1102 3000 1402 "69" "69" 0x00000100] + Pad[35945 10827 32547 10827 1102 3000 1402 "70" "70" 0x00000100] + Pad[35945 8858 32547 8858 1102 3000 1402 "71" "71" 0x00000100] + Pad[35945 6890 32547 6890 1102 3000 1402 "72" "72" 0x00000100] + Pad[35945 4921 32547 4921 1102 3000 1402 "73" "73" 0x00000100] + Pad[35945 2953 32547 2953 1102 3000 1402 "74" "74" 0x00000100] + Pad[35945 984 32547 984 1102 3000 1402 "75" "75" 0x00000100] + Pad[35945 -984 32547 -984 1102 3000 1402 "76" "76" 0x00000100] + Pad[35945 -2953 32547 -2953 1102 3000 1402 "77" "77" 0x00000100] + Pad[35945 -4921 32547 -4921 1102 3000 1402 "78" "78" 0x00000100] + Pad[35945 -6890 32547 -6890 1102 3000 1402 "79" "79" 0x00000100] + Pad[35945 -8858 32547 -8858 1102 3000 1402 "80" "80" 0x00000100] + Pad[35945 -10827 32547 -10827 1102 3000 1402 "81" "81" 0x00000100] + Pad[35945 -12795 32547 -12795 1102 3000 1402 "82" "82" 0x00000100] + Pad[35945 -14764 32547 -14764 1102 3000 1402 "83" "83" 0x00000100] + Pad[35945 -16732 32547 -16732 1102 3000 1402 "84" "84" 0x00000100] + Pad[35945 -18701 32547 -18701 1102 3000 1402 "85" "85" 0x00000100] + Pad[35945 -20669 32547 -20669 1102 3000 1402 "86" "86" 0x00000100] + Pad[35945 -22638 32547 -22638 1102 3000 1402 "87" "87" 0x00000100] + Pad[35945 -24606 32547 -24606 1102 3000 1402 "88" "88" 0x00000100] + Pad[35945 -26575 32547 -26575 1102 3000 1402 "89" "89" 0x00000100] + Pad[35945 -28543 32547 -28543 1102 3000 1402 "90" "90" 0x00000100] + # top row + Pad[28543 -35945 28543 -32547 1102 3000 1402 "91" "91" 0x00000900] + Pad[26575 -35945 26575 -32547 1102 3000 1402 "92" "92" 0x00000900] + Pad[24606 -35945 24606 -32547 1102 3000 1402 "93" "93" 0x00000900] + Pad[22638 -35945 22638 -32547 1102 3000 1402 "94" "94" 0x00000900] + Pad[20669 -35945 20669 -32547 1102 3000 1402 "95" "95" 0x00000900] + Pad[18701 -35945 18701 -32547 1102 3000 1402 "96" "96" 0x00000900] + Pad[16732 -35945 16732 -32547 1102 3000 1402 "97" "97" 0x00000900] + Pad[14764 -35945 14764 -32547 1102 3000 1402 "98" "98" 0x00000900] + Pad[12795 -35945 12795 -32547 1102 3000 1402 "99" "99" 0x00000900] + Pad[10827 -35945 10827 -32547 1102 3000 1402 "100" "100" 0x00000900] + Pad[8858 -35945 8858 -32547 1102 3000 1402 "101" "101" 0x00000900] + Pad[6890 -35945 6890 -32547 1102 3000 1402 "102" "102" 0x00000900] + Pad[4921 -35945 4921 -32547 1102 3000 1402 "103" "103" 0x00000900] + Pad[2953 -35945 2953 -32547 1102 3000 1402 "104" "104" 0x00000900] + Pad[984 -35945 984 -32547 1102 3000 1402 "105" "105" 0x00000900] + Pad[-984 -35945 -984 -32547 1102 3000 1402 "106" "106" 0x00000900] + Pad[-2953 -35945 -2953 -32547 1102 3000 1402 "107" "107" 0x00000900] + Pad[-4921 -35945 -4921 -32547 1102 3000 1402 "108" "108" 0x00000900] + Pad[-6890 -35945 -6890 -32547 1102 3000 1402 "109" "109" 0x00000900] + Pad[-8858 -35945 -8858 -32547 1102 3000 1402 "110" "110" 0x00000900] + Pad[-10827 -35945 -10827 -32547 1102 3000 1402 "111" "111" 0x00000900] + Pad[-12795 -35945 -12795 -32547 1102 3000 1402 "112" "112" 0x00000900] + Pad[-14764 -35945 -14764 -32547 1102 3000 1402 "113" "113" 0x00000900] + Pad[-16732 -35945 -16732 -32547 1102 3000 1402 "114" "114" 0x00000900] + Pad[-18701 -35945 -18701 -32547 1102 3000 1402 "115" "115" 0x00000900] + Pad[-20669 -35945 -20669 -32547 1102 3000 1402 "116" "116" 0x00000900] + Pad[-22638 -35945 -22638 -32547 1102 3000 1402 "117" "117" 0x00000900] + Pad[-24606 -35945 -24606 -32547 1102 3000 1402 "118" "118" 0x00000900] + Pad[-26575 -35945 -26575 -32547 1102 3000 1402 "119" "119" 0x00000900] + Pad[-28543 -35945 -28543 -32547 1102 3000 1402 "120" "120" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-28196 -31096 31096 -31096 800] + # right + ElementLine[31096 -31096 31096 31096 800] + # bottom + ElementLine[31096 31096 -31096 31096 800] + # left + ElementLine[-31096 31096 -31096 -28196 800] + # angled corner + ElementLine[-31096 -28196 -28196 -31096 800] + ElementArc[-28196 -28196 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP128_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP128_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP128_14.fp (revision 1033) @@ -0,0 +1,147 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP128_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-32067 -24409 -28551 -24409 984 3000 1284 "1" "1" 0x00000100] + Pad[-32067 -22835 -28551 -22835 984 3000 1284 "2" "2" 0x00000100] + Pad[-32067 -21260 -28551 -21260 984 3000 1284 "3" "3" 0x00000100] + Pad[-32067 -19685 -28551 -19685 984 3000 1284 "4" "4" 0x00000100] + Pad[-32067 -18110 -28551 -18110 984 3000 1284 "5" "5" 0x00000100] + Pad[-32067 -16535 -28551 -16535 984 3000 1284 "6" "6" 0x00000100] + Pad[-32067 -14961 -28551 -14961 984 3000 1284 "7" "7" 0x00000100] + Pad[-32067 -13386 -28551 -13386 984 3000 1284 "8" "8" 0x00000100] + Pad[-32067 -11811 -28551 -11811 984 3000 1284 "9" "9" 0x00000100] + Pad[-32067 -10236 -28551 -10236 984 3000 1284 "10" "10" 0x00000100] + Pad[-32067 -8661 -28551 -8661 984 3000 1284 "11" "11" 0x00000100] + Pad[-32067 -7087 -28551 -7087 984 3000 1284 "12" "12" 0x00000100] + Pad[-32067 -5512 -28551 -5512 984 3000 1284 "13" "13" 0x00000100] + Pad[-32067 -3937 -28551 -3937 984 3000 1284 "14" "14" 0x00000100] + Pad[-32067 -2362 -28551 -2362 984 3000 1284 "15" "15" 0x00000100] + Pad[-32067 -787 -28551 -787 984 3000 1284 "16" "16" 0x00000100] + Pad[-32067 787 -28551 787 984 3000 1284 "17" "17" 0x00000100] + Pad[-32067 2362 -28551 2362 984 3000 1284 "18" "18" 0x00000100] + Pad[-32067 3937 -28551 3937 984 3000 1284 "19" "19" 0x00000100] + Pad[-32067 5512 -28551 5512 984 3000 1284 "20" "20" 0x00000100] + Pad[-32067 7087 -28551 7087 984 3000 1284 "21" "21" 0x00000100] + Pad[-32067 8661 -28551 8661 984 3000 1284 "22" "22" 0x00000100] + Pad[-32067 10236 -28551 10236 984 3000 1284 "23" "23" 0x00000100] + Pad[-32067 11811 -28551 11811 984 3000 1284 "24" "24" 0x00000100] + Pad[-32067 13386 -28551 13386 984 3000 1284 "25" "25" 0x00000100] + Pad[-32067 14961 -28551 14961 984 3000 1284 "26" "26" 0x00000100] + Pad[-32067 16535 -28551 16535 984 3000 1284 "27" "27" 0x00000100] + Pad[-32067 18110 -28551 18110 984 3000 1284 "28" "28" 0x00000100] + Pad[-32067 19685 -28551 19685 984 3000 1284 "29" "29" 0x00000100] + Pad[-32067 21260 -28551 21260 984 3000 1284 "30" "30" 0x00000100] + Pad[-32067 22835 -28551 22835 984 3000 1284 "31" "31" 0x00000100] + Pad[-32067 24409 -28551 24409 984 3000 1284 "32" "32" 0x00000100] + # bottom row + Pad[-24409 32067 -24409 28551 984 3000 1284 "33" "33" 0x00000900] + Pad[-22835 32067 -22835 28551 984 3000 1284 "34" "34" 0x00000900] + Pad[-21260 32067 -21260 28551 984 3000 1284 "35" "35" 0x00000900] + Pad[-19685 32067 -19685 28551 984 3000 1284 "36" "36" 0x00000900] + Pad[-18110 32067 -18110 28551 984 3000 1284 "37" "37" 0x00000900] + Pad[-16535 32067 -16535 28551 984 3000 1284 "38" "38" 0x00000900] + Pad[-14961 32067 -14961 28551 984 3000 1284 "39" "39" 0x00000900] + Pad[-13386 32067 -13386 28551 984 3000 1284 "40" "40" 0x00000900] + Pad[-11811 32067 -11811 28551 984 3000 1284 "41" "41" 0x00000900] + Pad[-10236 32067 -10236 28551 984 3000 1284 "42" "42" 0x00000900] + Pad[-8661 32067 -8661 28551 984 3000 1284 "43" "43" 0x00000900] + Pad[-7087 32067 -7087 28551 984 3000 1284 "44" "44" 0x00000900] + Pad[-5512 32067 -5512 28551 984 3000 1284 "45" "45" 0x00000900] + Pad[-3937 32067 -3937 28551 984 3000 1284 "46" "46" 0x00000900] + Pad[-2362 32067 -2362 28551 984 3000 1284 "47" "47" 0x00000900] + Pad[-787 32067 -787 28551 984 3000 1284 "48" "48" 0x00000900] + Pad[787 32067 787 28551 984 3000 1284 "49" "49" 0x00000900] + Pad[2362 32067 2362 28551 984 3000 1284 "50" "50" 0x00000900] + Pad[3937 32067 3937 28551 984 3000 1284 "51" "51" 0x00000900] + Pad[5512 32067 5512 28551 984 3000 1284 "52" "52" 0x00000900] + Pad[7087 32067 7087 28551 984 3000 1284 "53" "53" 0x00000900] + Pad[8661 32067 8661 28551 984 3000 1284 "54" "54" 0x00000900] + Pad[10236 32067 10236 28551 984 3000 1284 "55" "55" 0x00000900] + Pad[11811 32067 11811 28551 984 3000 1284 "56" "56" 0x00000900] + Pad[13386 32067 13386 28551 984 3000 1284 "57" "57" 0x00000900] + Pad[14961 32067 14961 28551 984 3000 1284 "58" "58" 0x00000900] + Pad[16535 32067 16535 28551 984 3000 1284 "59" "59" 0x00000900] + Pad[18110 32067 18110 28551 984 3000 1284 "60" "60" 0x00000900] + Pad[19685 32067 19685 28551 984 3000 1284 "61" "61" 0x00000900] + Pad[21260 32067 21260 28551 984 3000 1284 "62" "62" 0x00000900] + Pad[22835 32067 22835 28551 984 3000 1284 "63" "63" 0x00000900] + Pad[24409 32067 24409 28551 984 3000 1284 "64" "64" 0x00000900] + # right row + Pad[32067 24409 28551 24409 984 3000 1284 "65" "65" 0x00000100] + Pad[32067 22835 28551 22835 984 3000 1284 "66" "66" 0x00000100] + Pad[32067 21260 28551 21260 984 3000 1284 "67" "67" 0x00000100] + Pad[32067 19685 28551 19685 984 3000 1284 "68" "68" 0x00000100] + Pad[32067 18110 28551 18110 984 3000 1284 "69" "69" 0x00000100] + Pad[32067 16535 28551 16535 984 3000 1284 "70" "70" 0x00000100] + Pad[32067 14961 28551 14961 984 3000 1284 "71" "71" 0x00000100] + Pad[32067 13386 28551 13386 984 3000 1284 "72" "72" 0x00000100] + Pad[32067 11811 28551 11811 984 3000 1284 "73" "73" 0x00000100] + Pad[32067 10236 28551 10236 984 3000 1284 "74" "74" 0x00000100] + Pad[32067 8661 28551 8661 984 3000 1284 "75" "75" 0x00000100] + Pad[32067 7087 28551 7087 984 3000 1284 "76" "76" 0x00000100] + Pad[32067 5512 28551 5512 984 3000 1284 "77" "77" 0x00000100] + Pad[32067 3937 28551 3937 984 3000 1284 "78" "78" 0x00000100] + Pad[32067 2362 28551 2362 984 3000 1284 "79" "79" 0x00000100] + Pad[32067 787 28551 787 984 3000 1284 "80" "80" 0x00000100] + Pad[32067 -787 28551 -787 984 3000 1284 "81" "81" 0x00000100] + Pad[32067 -2362 28551 -2362 984 3000 1284 "82" "82" 0x00000100] + Pad[32067 -3937 28551 -3937 984 3000 1284 "83" "83" 0x00000100] + Pad[32067 -5512 28551 -5512 984 3000 1284 "84" "84" 0x00000100] + Pad[32067 -7087 28551 -7087 984 3000 1284 "85" "85" 0x00000100] + Pad[32067 -8661 28551 -8661 984 3000 1284 "86" "86" 0x00000100] + Pad[32067 -10236 28551 -10236 984 3000 1284 "87" "87" 0x00000100] + Pad[32067 -11811 28551 -11811 984 3000 1284 "88" "88" 0x00000100] + Pad[32067 -13386 28551 -13386 984 3000 1284 "89" "89" 0x00000100] + Pad[32067 -14961 28551 -14961 984 3000 1284 "90" "90" 0x00000100] + Pad[32067 -16535 28551 -16535 984 3000 1284 "91" "91" 0x00000100] + Pad[32067 -18110 28551 -18110 984 3000 1284 "92" "92" 0x00000100] + Pad[32067 -19685 28551 -19685 984 3000 1284 "93" "93" 0x00000100] + Pad[32067 -21260 28551 -21260 984 3000 1284 "94" "94" 0x00000100] + Pad[32067 -22835 28551 -22835 984 3000 1284 "95" "95" 0x00000100] + Pad[32067 -24409 28551 -24409 984 3000 1284 "96" "96" 0x00000100] + # top row + Pad[24409 -32067 24409 -28551 984 3000 1284 "97" "97" 0x00000900] + Pad[22835 -32067 22835 -28551 984 3000 1284 "98" "98" 0x00000900] + Pad[21260 -32067 21260 -28551 984 3000 1284 "99" "99" 0x00000900] + Pad[19685 -32067 19685 -28551 984 3000 1284 "100" "100" 0x00000900] + Pad[18110 -32067 18110 -28551 984 3000 1284 "101" "101" 0x00000900] + Pad[16535 -32067 16535 -28551 984 3000 1284 "102" "102" 0x00000900] + Pad[14961 -32067 14961 -28551 984 3000 1284 "103" "103" 0x00000900] + Pad[13386 -32067 13386 -28551 984 3000 1284 "104" "104" 0x00000900] + Pad[11811 -32067 11811 -28551 984 3000 1284 "105" "105" 0x00000900] + Pad[10236 -32067 10236 -28551 984 3000 1284 "106" "106" 0x00000900] + Pad[8661 -32067 8661 -28551 984 3000 1284 "107" "107" 0x00000900] + Pad[7087 -32067 7087 -28551 984 3000 1284 "108" "108" 0x00000900] + Pad[5512 -32067 5512 -28551 984 3000 1284 "109" "109" 0x00000900] + Pad[3937 -32067 3937 -28551 984 3000 1284 "110" "110" 0x00000900] + Pad[2362 -32067 2362 -28551 984 3000 1284 "111" "111" 0x00000900] + Pad[787 -32067 787 -28551 984 3000 1284 "112" "112" 0x00000900] + Pad[-787 -32067 -787 -28551 984 3000 1284 "113" "113" 0x00000900] + Pad[-2362 -32067 -2362 -28551 984 3000 1284 "114" "114" 0x00000900] + Pad[-3937 -32067 -3937 -28551 984 3000 1284 "115" "115" 0x00000900] + Pad[-5512 -32067 -5512 -28551 984 3000 1284 "116" "116" 0x00000900] + Pad[-7087 -32067 -7087 -28551 984 3000 1284 "117" "117" 0x00000900] + Pad[-8661 -32067 -8661 -28551 984 3000 1284 "118" "118" 0x00000900] + Pad[-10236 -32067 -10236 -28551 984 3000 1284 "119" "119" 0x00000900] + Pad[-11811 -32067 -11811 -28551 984 3000 1284 "120" "120" 0x00000900] + Pad[-13386 -32067 -13386 -28551 984 3000 1284 "121" "121" 0x00000900] + Pad[-14961 -32067 -14961 -28551 984 3000 1284 "122" "122" 0x00000900] + Pad[-16535 -32067 -16535 -28551 984 3000 1284 "123" "123" 0x00000900] + Pad[-18110 -32067 -18110 -28551 984 3000 1284 "124" "124" 0x00000900] + Pad[-19685 -32067 -19685 -28551 984 3000 1284 "125" "125" 0x00000900] + Pad[-21260 -32067 -21260 -28551 984 3000 1284 "126" "126" 0x00000900] + Pad[-22835 -32067 -22835 -28551 984 3000 1284 "127" "127" 0x00000900] + Pad[-24409 -32067 -24409 -28551 984 3000 1284 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP128_14_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP128_14_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP128_14_EP.fp (revision 1033) @@ -0,0 +1,148 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP128_14_EP" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-32067 -24409 -28551 -24409 984 3000 1284 "1" "1" 0x00000100] + Pad[-32067 -22835 -28551 -22835 984 3000 1284 "2" "2" 0x00000100] + Pad[-32067 -21260 -28551 -21260 984 3000 1284 "3" "3" 0x00000100] + Pad[-32067 -19685 -28551 -19685 984 3000 1284 "4" "4" 0x00000100] + Pad[-32067 -18110 -28551 -18110 984 3000 1284 "5" "5" 0x00000100] + Pad[-32067 -16535 -28551 -16535 984 3000 1284 "6" "6" 0x00000100] + Pad[-32067 -14961 -28551 -14961 984 3000 1284 "7" "7" 0x00000100] + Pad[-32067 -13386 -28551 -13386 984 3000 1284 "8" "8" 0x00000100] + Pad[-32067 -11811 -28551 -11811 984 3000 1284 "9" "9" 0x00000100] + Pad[-32067 -10236 -28551 -10236 984 3000 1284 "10" "10" 0x00000100] + Pad[-32067 -8661 -28551 -8661 984 3000 1284 "11" "11" 0x00000100] + Pad[-32067 -7087 -28551 -7087 984 3000 1284 "12" "12" 0x00000100] + Pad[-32067 -5512 -28551 -5512 984 3000 1284 "13" "13" 0x00000100] + Pad[-32067 -3937 -28551 -3937 984 3000 1284 "14" "14" 0x00000100] + Pad[-32067 -2362 -28551 -2362 984 3000 1284 "15" "15" 0x00000100] + Pad[-32067 -787 -28551 -787 984 3000 1284 "16" "16" 0x00000100] + Pad[-32067 787 -28551 787 984 3000 1284 "17" "17" 0x00000100] + Pad[-32067 2362 -28551 2362 984 3000 1284 "18" "18" 0x00000100] + Pad[-32067 3937 -28551 3937 984 3000 1284 "19" "19" 0x00000100] + Pad[-32067 5512 -28551 5512 984 3000 1284 "20" "20" 0x00000100] + Pad[-32067 7087 -28551 7087 984 3000 1284 "21" "21" 0x00000100] + Pad[-32067 8661 -28551 8661 984 3000 1284 "22" "22" 0x00000100] + Pad[-32067 10236 -28551 10236 984 3000 1284 "23" "23" 0x00000100] + Pad[-32067 11811 -28551 11811 984 3000 1284 "24" "24" 0x00000100] + Pad[-32067 13386 -28551 13386 984 3000 1284 "25" "25" 0x00000100] + Pad[-32067 14961 -28551 14961 984 3000 1284 "26" "26" 0x00000100] + Pad[-32067 16535 -28551 16535 984 3000 1284 "27" "27" 0x00000100] + Pad[-32067 18110 -28551 18110 984 3000 1284 "28" "28" 0x00000100] + Pad[-32067 19685 -28551 19685 984 3000 1284 "29" "29" 0x00000100] + Pad[-32067 21260 -28551 21260 984 3000 1284 "30" "30" 0x00000100] + Pad[-32067 22835 -28551 22835 984 3000 1284 "31" "31" 0x00000100] + Pad[-32067 24409 -28551 24409 984 3000 1284 "32" "32" 0x00000100] + # bottom row + Pad[-24409 32067 -24409 28551 984 3000 1284 "33" "33" 0x00000900] + Pad[-22835 32067 -22835 28551 984 3000 1284 "34" "34" 0x00000900] + Pad[-21260 32067 -21260 28551 984 3000 1284 "35" "35" 0x00000900] + Pad[-19685 32067 -19685 28551 984 3000 1284 "36" "36" 0x00000900] + Pad[-18110 32067 -18110 28551 984 3000 1284 "37" "37" 0x00000900] + Pad[-16535 32067 -16535 28551 984 3000 1284 "38" "38" 0x00000900] + Pad[-14961 32067 -14961 28551 984 3000 1284 "39" "39" 0x00000900] + Pad[-13386 32067 -13386 28551 984 3000 1284 "40" "40" 0x00000900] + Pad[-11811 32067 -11811 28551 984 3000 1284 "41" "41" 0x00000900] + Pad[-10236 32067 -10236 28551 984 3000 1284 "42" "42" 0x00000900] + Pad[-8661 32067 -8661 28551 984 3000 1284 "43" "43" 0x00000900] + Pad[-7087 32067 -7087 28551 984 3000 1284 "44" "44" 0x00000900] + Pad[-5512 32067 -5512 28551 984 3000 1284 "45" "45" 0x00000900] + Pad[-3937 32067 -3937 28551 984 3000 1284 "46" "46" 0x00000900] + Pad[-2362 32067 -2362 28551 984 3000 1284 "47" "47" 0x00000900] + Pad[-787 32067 -787 28551 984 3000 1284 "48" "48" 0x00000900] + Pad[787 32067 787 28551 984 3000 1284 "49" "49" 0x00000900] + Pad[2362 32067 2362 28551 984 3000 1284 "50" "50" 0x00000900] + Pad[3937 32067 3937 28551 984 3000 1284 "51" "51" 0x00000900] + Pad[5512 32067 5512 28551 984 3000 1284 "52" "52" 0x00000900] + Pad[7087 32067 7087 28551 984 3000 1284 "53" "53" 0x00000900] + Pad[8661 32067 8661 28551 984 3000 1284 "54" "54" 0x00000900] + Pad[10236 32067 10236 28551 984 3000 1284 "55" "55" 0x00000900] + Pad[11811 32067 11811 28551 984 3000 1284 "56" "56" 0x00000900] + Pad[13386 32067 13386 28551 984 3000 1284 "57" "57" 0x00000900] + Pad[14961 32067 14961 28551 984 3000 1284 "58" "58" 0x00000900] + Pad[16535 32067 16535 28551 984 3000 1284 "59" "59" 0x00000900] + Pad[18110 32067 18110 28551 984 3000 1284 "60" "60" 0x00000900] + Pad[19685 32067 19685 28551 984 3000 1284 "61" "61" 0x00000900] + Pad[21260 32067 21260 28551 984 3000 1284 "62" "62" 0x00000900] + Pad[22835 32067 22835 28551 984 3000 1284 "63" "63" 0x00000900] + Pad[24409 32067 24409 28551 984 3000 1284 "64" "64" 0x00000900] + # right row + Pad[32067 24409 28551 24409 984 3000 1284 "65" "65" 0x00000100] + Pad[32067 22835 28551 22835 984 3000 1284 "66" "66" 0x00000100] + Pad[32067 21260 28551 21260 984 3000 1284 "67" "67" 0x00000100] + Pad[32067 19685 28551 19685 984 3000 1284 "68" "68" 0x00000100] + Pad[32067 18110 28551 18110 984 3000 1284 "69" "69" 0x00000100] + Pad[32067 16535 28551 16535 984 3000 1284 "70" "70" 0x00000100] + Pad[32067 14961 28551 14961 984 3000 1284 "71" "71" 0x00000100] + Pad[32067 13386 28551 13386 984 3000 1284 "72" "72" 0x00000100] + Pad[32067 11811 28551 11811 984 3000 1284 "73" "73" 0x00000100] + Pad[32067 10236 28551 10236 984 3000 1284 "74" "74" 0x00000100] + Pad[32067 8661 28551 8661 984 3000 1284 "75" "75" 0x00000100] + Pad[32067 7087 28551 7087 984 3000 1284 "76" "76" 0x00000100] + Pad[32067 5512 28551 5512 984 3000 1284 "77" "77" 0x00000100] + Pad[32067 3937 28551 3937 984 3000 1284 "78" "78" 0x00000100] + Pad[32067 2362 28551 2362 984 3000 1284 "79" "79" 0x00000100] + Pad[32067 787 28551 787 984 3000 1284 "80" "80" 0x00000100] + Pad[32067 -787 28551 -787 984 3000 1284 "81" "81" 0x00000100] + Pad[32067 -2362 28551 -2362 984 3000 1284 "82" "82" 0x00000100] + Pad[32067 -3937 28551 -3937 984 3000 1284 "83" "83" 0x00000100] + Pad[32067 -5512 28551 -5512 984 3000 1284 "84" "84" 0x00000100] + Pad[32067 -7087 28551 -7087 984 3000 1284 "85" "85" 0x00000100] + Pad[32067 -8661 28551 -8661 984 3000 1284 "86" "86" 0x00000100] + Pad[32067 -10236 28551 -10236 984 3000 1284 "87" "87" 0x00000100] + Pad[32067 -11811 28551 -11811 984 3000 1284 "88" "88" 0x00000100] + Pad[32067 -13386 28551 -13386 984 3000 1284 "89" "89" 0x00000100] + Pad[32067 -14961 28551 -14961 984 3000 1284 "90" "90" 0x00000100] + Pad[32067 -16535 28551 -16535 984 3000 1284 "91" "91" 0x00000100] + Pad[32067 -18110 28551 -18110 984 3000 1284 "92" "92" 0x00000100] + Pad[32067 -19685 28551 -19685 984 3000 1284 "93" "93" 0x00000100] + Pad[32067 -21260 28551 -21260 984 3000 1284 "94" "94" 0x00000100] + Pad[32067 -22835 28551 -22835 984 3000 1284 "95" "95" 0x00000100] + Pad[32067 -24409 28551 -24409 984 3000 1284 "96" "96" 0x00000100] + # top row + Pad[24409 -32067 24409 -28551 984 3000 1284 "97" "97" 0x00000900] + Pad[22835 -32067 22835 -28551 984 3000 1284 "98" "98" 0x00000900] + Pad[21260 -32067 21260 -28551 984 3000 1284 "99" "99" 0x00000900] + Pad[19685 -32067 19685 -28551 984 3000 1284 "100" "100" 0x00000900] + Pad[18110 -32067 18110 -28551 984 3000 1284 "101" "101" 0x00000900] + Pad[16535 -32067 16535 -28551 984 3000 1284 "102" "102" 0x00000900] + Pad[14961 -32067 14961 -28551 984 3000 1284 "103" "103" 0x00000900] + Pad[13386 -32067 13386 -28551 984 3000 1284 "104" "104" 0x00000900] + Pad[11811 -32067 11811 -28551 984 3000 1284 "105" "105" 0x00000900] + Pad[10236 -32067 10236 -28551 984 3000 1284 "106" "106" 0x00000900] + Pad[8661 -32067 8661 -28551 984 3000 1284 "107" "107" 0x00000900] + Pad[7087 -32067 7087 -28551 984 3000 1284 "108" "108" 0x00000900] + Pad[5512 -32067 5512 -28551 984 3000 1284 "109" "109" 0x00000900] + Pad[3937 -32067 3937 -28551 984 3000 1284 "110" "110" 0x00000900] + Pad[2362 -32067 2362 -28551 984 3000 1284 "111" "111" 0x00000900] + Pad[787 -32067 787 -28551 984 3000 1284 "112" "112" 0x00000900] + Pad[-787 -32067 -787 -28551 984 3000 1284 "113" "113" 0x00000900] + Pad[-2362 -32067 -2362 -28551 984 3000 1284 "114" "114" 0x00000900] + Pad[-3937 -32067 -3937 -28551 984 3000 1284 "115" "115" 0x00000900] + Pad[-5512 -32067 -5512 -28551 984 3000 1284 "116" "116" 0x00000900] + Pad[-7087 -32067 -7087 -28551 984 3000 1284 "117" "117" 0x00000900] + Pad[-8661 -32067 -8661 -28551 984 3000 1284 "118" "118" 0x00000900] + Pad[-10236 -32067 -10236 -28551 984 3000 1284 "119" "119" 0x00000900] + Pad[-11811 -32067 -11811 -28551 984 3000 1284 "120" "120" 0x00000900] + Pad[-13386 -32067 -13386 -28551 984 3000 1284 "121" "121" 0x00000900] + Pad[-14961 -32067 -14961 -28551 984 3000 1284 "122" "122" 0x00000900] + Pad[-16535 -32067 -16535 -28551 984 3000 1284 "123" "123" 0x00000900] + Pad[-18110 -32067 -18110 -28551 984 3000 1284 "124" "124" 0x00000900] + Pad[-19685 -32067 -19685 -28551 984 3000 1284 "125" "125" 0x00000900] + Pad[-21260 -32067 -21260 -28551 984 3000 1284 "126" "126" 0x00000900] + Pad[-22835 -32067 -22835 -28551 984 3000 1284 "127" "127" 0x00000900] + Pad[-24409 -32067 -24409 -28551 984 3000 1284 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) +Pad[0 0 0 0 38188 500 38588 "1" "1" 0x00000100] + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP128_R.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP128_R.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP128_R.fp (revision 1033) @@ -0,0 +1,147 @@ +Element[0x00000000 "Rectangular Quad-side flat pack" "" "LQFP128_R" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-32008 -36417 -28610 -36417 1102 3000 1402 "1" "1" 0x00000100] + Pad[-32008 -34449 -28610 -34449 1102 3000 1402 "2" "2" 0x00000100] + Pad[-32008 -32480 -28610 -32480 1102 3000 1402 "3" "3" 0x00000100] + Pad[-32008 -30512 -28610 -30512 1102 3000 1402 "4" "4" 0x00000100] + Pad[-32008 -28543 -28610 -28543 1102 3000 1402 "5" "5" 0x00000100] + Pad[-32008 -26575 -28610 -26575 1102 3000 1402 "6" "6" 0x00000100] + Pad[-32008 -24606 -28610 -24606 1102 3000 1402 "7" "7" 0x00000100] + Pad[-32008 -22638 -28610 -22638 1102 3000 1402 "8" "8" 0x00000100] + Pad[-32008 -20669 -28610 -20669 1102 3000 1402 "9" "9" 0x00000100] + Pad[-32008 -18701 -28610 -18701 1102 3000 1402 "10" "10" 0x00000100] + Pad[-32008 -16732 -28610 -16732 1102 3000 1402 "11" "11" 0x00000100] + Pad[-32008 -14764 -28610 -14764 1102 3000 1402 "12" "12" 0x00000100] + Pad[-32008 -12795 -28610 -12795 1102 3000 1402 "13" "13" 0x00000100] + Pad[-32008 -10827 -28610 -10827 1102 3000 1402 "14" "14" 0x00000100] + Pad[-32008 -8858 -28610 -8858 1102 3000 1402 "15" "15" 0x00000100] + Pad[-32008 -6890 -28610 -6890 1102 3000 1402 "16" "16" 0x00000100] + Pad[-32008 -4921 -28610 -4921 1102 3000 1402 "17" "17" 0x00000100] + Pad[-32008 -2953 -28610 -2953 1102 3000 1402 "18" "18" 0x00000100] + Pad[-32008 -984 -28610 -984 1102 3000 1402 "19" "19" 0x00000100] + Pad[-32008 984 -28610 984 1102 3000 1402 "20" "20" 0x00000100] + Pad[-32008 2953 -28610 2953 1102 3000 1402 "21" "21" 0x00000100] + Pad[-32008 4921 -28610 4921 1102 3000 1402 "22" "22" 0x00000100] + Pad[-32008 6890 -28610 6890 1102 3000 1402 "23" "23" 0x00000100] + Pad[-32008 8858 -28610 8858 1102 3000 1402 "24" "24" 0x00000100] + Pad[-32008 10827 -28610 10827 1102 3000 1402 "25" "25" 0x00000100] + Pad[-32008 12795 -28610 12795 1102 3000 1402 "26" "26" 0x00000100] + Pad[-32008 14764 -28610 14764 1102 3000 1402 "27" "27" 0x00000100] + Pad[-32008 16732 -28610 16732 1102 3000 1402 "28" "28" 0x00000100] + Pad[-32008 18701 -28610 18701 1102 3000 1402 "29" "29" 0x00000100] + Pad[-32008 20669 -28610 20669 1102 3000 1402 "30" "30" 0x00000100] + Pad[-32008 22638 -28610 22638 1102 3000 1402 "31" "31" 0x00000100] + Pad[-32008 24606 -28610 24606 1102 3000 1402 "32" "32" 0x00000100] + Pad[-32008 26575 -28610 26575 1102 3000 1402 "33" "33" 0x00000100] + Pad[-32008 28543 -28610 28543 1102 3000 1402 "34" "34" 0x00000100] + Pad[-32008 30512 -28610 30512 1102 3000 1402 "35" "35" 0x00000100] + Pad[-32008 32480 -28610 32480 1102 3000 1402 "36" "36" 0x00000100] + Pad[-32008 34449 -28610 34449 1102 3000 1402 "37" "37" 0x00000100] + Pad[-32008 36417 -28610 36417 1102 3000 1402 "38" "38" 0x00000100] + # bottom row + Pad[-24606 43819 -24606 40421 1102 3000 1402 "39" "39" 0x00000900] + Pad[-22638 43819 -22638 40421 1102 3000 1402 "40" "40" 0x00000900] + Pad[-20669 43819 -20669 40421 1102 3000 1402 "41" "41" 0x00000900] + Pad[-18701 43819 -18701 40421 1102 3000 1402 "42" "42" 0x00000900] + Pad[-16732 43819 -16732 40421 1102 3000 1402 "43" "43" 0x00000900] + Pad[-14764 43819 -14764 40421 1102 3000 1402 "44" "44" 0x00000900] + Pad[-12795 43819 -12795 40421 1102 3000 1402 "45" "45" 0x00000900] + Pad[-10827 43819 -10827 40421 1102 3000 1402 "46" "46" 0x00000900] + Pad[-8858 43819 -8858 40421 1102 3000 1402 "47" "47" 0x00000900] + Pad[-6890 43819 -6890 40421 1102 3000 1402 "48" "48" 0x00000900] + Pad[-4921 43819 -4921 40421 1102 3000 1402 "49" "49" 0x00000900] + Pad[-2953 43819 -2953 40421 1102 3000 1402 "50" "50" 0x00000900] + Pad[-984 43819 -984 40421 1102 3000 1402 "51" "51" 0x00000900] + Pad[984 43819 984 40421 1102 3000 1402 "52" "52" 0x00000900] + Pad[2953 43819 2953 40421 1102 3000 1402 "53" "53" 0x00000900] + Pad[4921 43819 4921 40421 1102 3000 1402 "54" "54" 0x00000900] + Pad[6890 43819 6890 40421 1102 3000 1402 "55" "55" 0x00000900] + Pad[8858 43819 8858 40421 1102 3000 1402 "56" "56" 0x00000900] + Pad[10827 43819 10827 40421 1102 3000 1402 "57" "57" 0x00000900] + Pad[12795 43819 12795 40421 1102 3000 1402 "58" "58" 0x00000900] + Pad[14764 43819 14764 40421 1102 3000 1402 "59" "59" 0x00000900] + Pad[16732 43819 16732 40421 1102 3000 1402 "60" "60" 0x00000900] + Pad[18701 43819 18701 40421 1102 3000 1402 "61" "61" 0x00000900] + Pad[20669 43819 20669 40421 1102 3000 1402 "62" "62" 0x00000900] + Pad[22638 43819 22638 40421 1102 3000 1402 "63" "63" 0x00000900] + Pad[24606 43819 24606 40421 1102 3000 1402 "64" "64" 0x00000900] + # right row + Pad[32008 36417 28610 36417 1102 3000 1402 "65" "65" 0x00000100] + Pad[32008 34449 28610 34449 1102 3000 1402 "66" "66" 0x00000100] + Pad[32008 32480 28610 32480 1102 3000 1402 "67" "67" 0x00000100] + Pad[32008 30512 28610 30512 1102 3000 1402 "68" "68" 0x00000100] + Pad[32008 28543 28610 28543 1102 3000 1402 "69" "69" 0x00000100] + Pad[32008 26575 28610 26575 1102 3000 1402 "70" "70" 0x00000100] + Pad[32008 24606 28610 24606 1102 3000 1402 "71" "71" 0x00000100] + Pad[32008 22638 28610 22638 1102 3000 1402 "72" "72" 0x00000100] + Pad[32008 20669 28610 20669 1102 3000 1402 "73" "73" 0x00000100] + Pad[32008 18701 28610 18701 1102 3000 1402 "74" "74" 0x00000100] + Pad[32008 16732 28610 16732 1102 3000 1402 "75" "75" 0x00000100] + Pad[32008 14764 28610 14764 1102 3000 1402 "76" "76" 0x00000100] + Pad[32008 12795 28610 12795 1102 3000 1402 "77" "77" 0x00000100] + Pad[32008 10827 28610 10827 1102 3000 1402 "78" "78" 0x00000100] + Pad[32008 8858 28610 8858 1102 3000 1402 "79" "79" 0x00000100] + Pad[32008 6890 28610 6890 1102 3000 1402 "80" "80" 0x00000100] + Pad[32008 4921 28610 4921 1102 3000 1402 "81" "81" 0x00000100] + Pad[32008 2953 28610 2953 1102 3000 1402 "82" "82" 0x00000100] + Pad[32008 984 28610 984 1102 3000 1402 "83" "83" 0x00000100] + Pad[32008 -984 28610 -984 1102 3000 1402 "84" "84" 0x00000100] + Pad[32008 -2953 28610 -2953 1102 3000 1402 "85" "85" 0x00000100] + Pad[32008 -4921 28610 -4921 1102 3000 1402 "86" "86" 0x00000100] + Pad[32008 -6890 28610 -6890 1102 3000 1402 "87" "87" 0x00000100] + Pad[32008 -8858 28610 -8858 1102 3000 1402 "88" "88" 0x00000100] + Pad[32008 -10827 28610 -10827 1102 3000 1402 "89" "89" 0x00000100] + Pad[32008 -12795 28610 -12795 1102 3000 1402 "90" "90" 0x00000100] + Pad[32008 -14764 28610 -14764 1102 3000 1402 "91" "91" 0x00000100] + Pad[32008 -16732 28610 -16732 1102 3000 1402 "92" "92" 0x00000100] + Pad[32008 -18701 28610 -18701 1102 3000 1402 "93" "93" 0x00000100] + Pad[32008 -20669 28610 -20669 1102 3000 1402 "94" "94" 0x00000100] + Pad[32008 -22638 28610 -22638 1102 3000 1402 "95" "95" 0x00000100] + Pad[32008 -24606 28610 -24606 1102 3000 1402 "96" "96" 0x00000100] + Pad[32008 -26575 28610 -26575 1102 3000 1402 "97" "97" 0x00000100] + Pad[32008 -28543 28610 -28543 1102 3000 1402 "98" "98" 0x00000100] + Pad[32008 -30512 28610 -30512 1102 3000 1402 "99" "99" 0x00000100] + Pad[32008 -32480 28610 -32480 1102 3000 1402 "100" "100" 0x00000100] + Pad[32008 -34449 28610 -34449 1102 3000 1402 "101" "101" 0x00000100] + Pad[32008 -36417 28610 -36417 1102 3000 1402 "102" "102" 0x00000100] + # top row + Pad[24606 -43819 24606 -40421 1102 3000 1402 "103" "103" 0x00000900] + Pad[22638 -43819 22638 -40421 1102 3000 1402 "104" "104" 0x00000900] + Pad[20669 -43819 20669 -40421 1102 3000 1402 "105" "105" 0x00000900] + Pad[18701 -43819 18701 -40421 1102 3000 1402 "106" "106" 0x00000900] + Pad[16732 -43819 16732 -40421 1102 3000 1402 "107" "107" 0x00000900] + Pad[14764 -43819 14764 -40421 1102 3000 1402 "108" "108" 0x00000900] + Pad[12795 -43819 12795 -40421 1102 3000 1402 "109" "109" 0x00000900] + Pad[10827 -43819 10827 -40421 1102 3000 1402 "110" "110" 0x00000900] + Pad[8858 -43819 8858 -40421 1102 3000 1402 "111" "111" 0x00000900] + Pad[6890 -43819 6890 -40421 1102 3000 1402 "112" "112" 0x00000900] + Pad[4921 -43819 4921 -40421 1102 3000 1402 "113" "113" 0x00000900] + Pad[2953 -43819 2953 -40421 1102 3000 1402 "114" "114" 0x00000900] + Pad[984 -43819 984 -40421 1102 3000 1402 "115" "115" 0x00000900] + Pad[-984 -43819 -984 -40421 1102 3000 1402 "116" "116" 0x00000900] + Pad[-2953 -43819 -2953 -40421 1102 3000 1402 "117" "117" 0x00000900] + Pad[-4921 -43819 -4921 -40421 1102 3000 1402 "118" "118" 0x00000900] + Pad[-6890 -43819 -6890 -40421 1102 3000 1402 "119" "119" 0x00000900] + Pad[-8858 -43819 -8858 -40421 1102 3000 1402 "120" "120" 0x00000900] + Pad[-10827 -43819 -10827 -40421 1102 3000 1402 "121" "121" 0x00000900] + Pad[-12795 -43819 -12795 -40421 1102 3000 1402 "122" "122" 0x00000900] + Pad[-14764 -43819 -14764 -40421 1102 3000 1402 "123" "123" 0x00000900] + Pad[-16732 -43819 -16732 -40421 1102 3000 1402 "124" "124" 0x00000900] + Pad[-18701 -43819 -18701 -40421 1102 3000 1402 "125" "125" 0x00000900] + Pad[-20669 -43819 -20669 -40421 1102 3000 1402 "126" "126" 0x00000900] + Pad[-22638 -43819 -22638 -40421 1102 3000 1402 "127" "127" 0x00000900] + Pad[-24606 -43819 -24606 -40421 1102 3000 1402 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -38970 27159 -38970 800] + # right + ElementLine[27159 -38970 27159 38970 800] + # bottom + ElementLine[27159 38970 -27159 38970 800] + # left + ElementLine[-27159 38970 -27159 -36070 800] + # angled corner + ElementLine[-27159 -36070 -24259 -38970 800] + ElementArc[-24259 -36070 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP144_20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP144_20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP144_20.fp (revision 1033) @@ -0,0 +1,163 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP144_20" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-43819 -34448 -40421 -34448 1102 3000 1402 "1" "1" 0x00000100] + Pad[-43819 -32480 -40421 -32480 1102 3000 1402 "2" "2" 0x00000100] + Pad[-43819 -30511 -40421 -30511 1102 3000 1402 "3" "3" 0x00000100] + Pad[-43819 -28543 -40421 -28543 1102 3000 1402 "4" "4" 0x00000100] + Pad[-43819 -26574 -40421 -26574 1102 3000 1402 "5" "5" 0x00000100] + Pad[-43819 -24606 -40421 -24606 1102 3000 1402 "6" "6" 0x00000100] + Pad[-43819 -22637 -40421 -22637 1102 3000 1402 "7" "7" 0x00000100] + Pad[-43819 -20669 -40421 -20669 1102 3000 1402 "8" "8" 0x00000100] + Pad[-43819 -18700 -40421 -18700 1102 3000 1402 "9" "9" 0x00000100] + Pad[-43819 -16732 -40421 -16732 1102 3000 1402 "10" "10" 0x00000100] + Pad[-43819 -14763 -40421 -14763 1102 3000 1402 "11" "11" 0x00000100] + Pad[-43819 -12795 -40421 -12795 1102 3000 1402 "12" "12" 0x00000100] + Pad[-43819 -10826 -40421 -10826 1102 3000 1402 "13" "13" 0x00000100] + Pad[-43819 -8858 -40421 -8858 1102 3000 1402 "14" "14" 0x00000100] + Pad[-43819 -6889 -40421 -6889 1102 3000 1402 "15" "15" 0x00000100] + Pad[-43819 -4921 -40421 -4921 1102 3000 1402 "16" "16" 0x00000100] + Pad[-43819 -2952 -40421 -2952 1102 3000 1402 "17" "17" 0x00000100] + Pad[-43819 -984 -40421 -984 1102 3000 1402 "18" "18" 0x00000100] + Pad[-43819 985 -40421 985 1102 3000 1402 "19" "19" 0x00000100] + Pad[-43819 2953 -40421 2953 1102 3000 1402 "20" "20" 0x00000100] + Pad[-43819 4922 -40421 4922 1102 3000 1402 "21" "21" 0x00000100] + Pad[-43819 6890 -40421 6890 1102 3000 1402 "22" "22" 0x00000100] + Pad[-43819 8859 -40421 8859 1102 3000 1402 "23" "23" 0x00000100] + Pad[-43819 10827 -40421 10827 1102 3000 1402 "24" "24" 0x00000100] + Pad[-43819 12796 -40421 12796 1102 3000 1402 "25" "25" 0x00000100] + Pad[-43819 14764 -40421 14764 1102 3000 1402 "26" "26" 0x00000100] + Pad[-43819 16733 -40421 16733 1102 3000 1402 "27" "27" 0x00000100] + Pad[-43819 18701 -40421 18701 1102 3000 1402 "28" "28" 0x00000100] + Pad[-43819 20670 -40421 20670 1102 3000 1402 "29" "29" 0x00000100] + Pad[-43819 22638 -40421 22638 1102 3000 1402 "30" "30" 0x00000100] + Pad[-43819 24607 -40421 24607 1102 3000 1402 "31" "31" 0x00000100] + Pad[-43819 26575 -40421 26575 1102 3000 1402 "32" "32" 0x00000100] + Pad[-43819 28544 -40421 28544 1102 3000 1402 "33" "33" 0x00000100] + Pad[-43819 30512 -40421 30512 1102 3000 1402 "34" "34" 0x00000100] + Pad[-43819 32481 -40421 32481 1102 3000 1402 "35" "35" 0x00000100] + Pad[-43819 34449 -40421 34449 1102 3000 1402 "36" "36" 0x00000100] + # bottom row + Pad[-34448 43819 -34448 40421 1102 3000 1402 "37" "37" 0x00000900] + Pad[-32480 43819 -32480 40421 1102 3000 1402 "38" "38" 0x00000900] + Pad[-30511 43819 -30511 40421 1102 3000 1402 "39" "39" 0x00000900] + Pad[-28543 43819 -28543 40421 1102 3000 1402 "40" "40" 0x00000900] + Pad[-26574 43819 -26574 40421 1102 3000 1402 "41" "41" 0x00000900] + Pad[-24606 43819 -24606 40421 1102 3000 1402 "42" "42" 0x00000900] + Pad[-22637 43819 -22637 40421 1102 3000 1402 "43" "43" 0x00000900] + Pad[-20669 43819 -20669 40421 1102 3000 1402 "44" "44" 0x00000900] + Pad[-18700 43819 -18700 40421 1102 3000 1402 "45" "45" 0x00000900] + Pad[-16732 43819 -16732 40421 1102 3000 1402 "46" "46" 0x00000900] + Pad[-14763 43819 -14763 40421 1102 3000 1402 "47" "47" 0x00000900] + Pad[-12795 43819 -12795 40421 1102 3000 1402 "48" "48" 0x00000900] + Pad[-10826 43819 -10826 40421 1102 3000 1402 "49" "49" 0x00000900] + Pad[-8858 43819 -8858 40421 1102 3000 1402 "50" "50" 0x00000900] + Pad[-6889 43819 -6889 40421 1102 3000 1402 "51" "51" 0x00000900] + Pad[-4921 43819 -4921 40421 1102 3000 1402 "52" "52" 0x00000900] + Pad[-2952 43819 -2952 40421 1102 3000 1402 "53" "53" 0x00000900] + Pad[-984 43819 -984 40421 1102 3000 1402 "54" "54" 0x00000900] + Pad[985 43819 985 40421 1102 3000 1402 "55" "55" 0x00000900] + Pad[2953 43819 2953 40421 1102 3000 1402 "56" "56" 0x00000900] + Pad[4922 43819 4922 40421 1102 3000 1402 "57" "57" 0x00000900] + Pad[6890 43819 6890 40421 1102 3000 1402 "58" "58" 0x00000900] + Pad[8859 43819 8859 40421 1102 3000 1402 "59" "59" 0x00000900] + Pad[10827 43819 10827 40421 1102 3000 1402 "60" "60" 0x00000900] + Pad[12796 43819 12796 40421 1102 3000 1402 "61" "61" 0x00000900] + Pad[14764 43819 14764 40421 1102 3000 1402 "62" "62" 0x00000900] + Pad[16733 43819 16733 40421 1102 3000 1402 "63" "63" 0x00000900] + Pad[18701 43819 18701 40421 1102 3000 1402 "64" "64" 0x00000900] + Pad[20670 43819 20670 40421 1102 3000 1402 "65" "65" 0x00000900] + Pad[22638 43819 22638 40421 1102 3000 1402 "66" "66" 0x00000900] + Pad[24607 43819 24607 40421 1102 3000 1402 "67" "67" 0x00000900] + Pad[26575 43819 26575 40421 1102 3000 1402 "68" "68" 0x00000900] + Pad[28544 43819 28544 40421 1102 3000 1402 "69" "69" 0x00000900] + Pad[30512 43819 30512 40421 1102 3000 1402 "70" "70" 0x00000900] + Pad[32481 43819 32481 40421 1102 3000 1402 "71" "71" 0x00000900] + Pad[34449 43819 34449 40421 1102 3000 1402 "72" "72" 0x00000900] + # right row + Pad[43819 34448 40421 34448 1102 3000 1402 "73" "73" 0x00000100] + Pad[43819 32480 40421 32480 1102 3000 1402 "74" "74" 0x00000100] + Pad[43819 30511 40421 30511 1102 3000 1402 "75" "75" 0x00000100] + Pad[43819 28543 40421 28543 1102 3000 1402 "76" "76" 0x00000100] + Pad[43819 26574 40421 26574 1102 3000 1402 "77" "77" 0x00000100] + Pad[43819 24606 40421 24606 1102 3000 1402 "78" "78" 0x00000100] + Pad[43819 22637 40421 22637 1102 3000 1402 "79" "79" 0x00000100] + Pad[43819 20669 40421 20669 1102 3000 1402 "80" "80" 0x00000100] + Pad[43819 18700 40421 18700 1102 3000 1402 "81" "81" 0x00000100] + Pad[43819 16732 40421 16732 1102 3000 1402 "82" "82" 0x00000100] + Pad[43819 14763 40421 14763 1102 3000 1402 "83" "83" 0x00000100] + Pad[43819 12795 40421 12795 1102 3000 1402 "84" "84" 0x00000100] + Pad[43819 10826 40421 10826 1102 3000 1402 "85" "85" 0x00000100] + Pad[43819 8858 40421 8858 1102 3000 1402 "86" "86" 0x00000100] + Pad[43819 6889 40421 6889 1102 3000 1402 "87" "87" 0x00000100] + Pad[43819 4921 40421 4921 1102 3000 1402 "88" "88" 0x00000100] + Pad[43819 2952 40421 2952 1102 3000 1402 "89" "89" 0x00000100] + Pad[43819 984 40421 984 1102 3000 1402 "90" "90" 0x00000100] + Pad[43819 -985 40421 -985 1102 3000 1402 "91" "91" 0x00000100] + Pad[43819 -2953 40421 -2953 1102 3000 1402 "92" "92" 0x00000100] + Pad[43819 -4922 40421 -4922 1102 3000 1402 "93" "93" 0x00000100] + Pad[43819 -6890 40421 -6890 1102 3000 1402 "94" "94" 0x00000100] + Pad[43819 -8859 40421 -8859 1102 3000 1402 "95" "95" 0x00000100] + Pad[43819 -10827 40421 -10827 1102 3000 1402 "96" "96" 0x00000100] + Pad[43819 -12796 40421 -12796 1102 3000 1402 "97" "97" 0x00000100] + Pad[43819 -14764 40421 -14764 1102 3000 1402 "98" "98" 0x00000100] + Pad[43819 -16733 40421 -16733 1102 3000 1402 "99" "99" 0x00000100] + Pad[43819 -18701 40421 -18701 1102 3000 1402 "100" "100" 0x00000100] + Pad[43819 -20670 40421 -20670 1102 3000 1402 "101" "101" 0x00000100] + Pad[43819 -22638 40421 -22638 1102 3000 1402 "102" "102" 0x00000100] + Pad[43819 -24607 40421 -24607 1102 3000 1402 "103" "103" 0x00000100] + Pad[43819 -26575 40421 -26575 1102 3000 1402 "104" "104" 0x00000100] + Pad[43819 -28544 40421 -28544 1102 3000 1402 "105" "105" 0x00000100] + Pad[43819 -30512 40421 -30512 1102 3000 1402 "106" "106" 0x00000100] + Pad[43819 -32481 40421 -32481 1102 3000 1402 "107" "107" 0x00000100] + Pad[43819 -34449 40421 -34449 1102 3000 1402 "108" "108" 0x00000100] + # top row + Pad[34448 -43819 34448 -40421 1102 3000 1402 "109" "109" 0x00000900] + Pad[32480 -43819 32480 -40421 1102 3000 1402 "110" "110" 0x00000900] + Pad[30511 -43819 30511 -40421 1102 3000 1402 "111" "111" 0x00000900] + Pad[28543 -43819 28543 -40421 1102 3000 1402 "112" "112" 0x00000900] + Pad[26574 -43819 26574 -40421 1102 3000 1402 "113" "113" 0x00000900] + Pad[24606 -43819 24606 -40421 1102 3000 1402 "114" "114" 0x00000900] + Pad[22637 -43819 22637 -40421 1102 3000 1402 "115" "115" 0x00000900] + Pad[20669 -43819 20669 -40421 1102 3000 1402 "116" "116" 0x00000900] + Pad[18700 -43819 18700 -40421 1102 3000 1402 "117" "117" 0x00000900] + Pad[16732 -43819 16732 -40421 1102 3000 1402 "118" "118" 0x00000900] + Pad[14763 -43819 14763 -40421 1102 3000 1402 "119" "119" 0x00000900] + Pad[12795 -43819 12795 -40421 1102 3000 1402 "120" "120" 0x00000900] + Pad[10826 -43819 10826 -40421 1102 3000 1402 "121" "121" 0x00000900] + Pad[8858 -43819 8858 -40421 1102 3000 1402 "122" "122" 0x00000900] + Pad[6889 -43819 6889 -40421 1102 3000 1402 "123" "123" 0x00000900] + Pad[4921 -43819 4921 -40421 1102 3000 1402 "124" "124" 0x00000900] + Pad[2952 -43819 2952 -40421 1102 3000 1402 "125" "125" 0x00000900] + Pad[984 -43819 984 -40421 1102 3000 1402 "126" "126" 0x00000900] + Pad[-985 -43819 -985 -40421 1102 3000 1402 "127" "127" 0x00000900] + Pad[-2953 -43819 -2953 -40421 1102 3000 1402 "128" "128" 0x00000900] + Pad[-4922 -43819 -4922 -40421 1102 3000 1402 "129" "129" 0x00000900] + Pad[-6890 -43819 -6890 -40421 1102 3000 1402 "130" "130" 0x00000900] + Pad[-8859 -43819 -8859 -40421 1102 3000 1402 "131" "131" 0x00000900] + Pad[-10827 -43819 -10827 -40421 1102 3000 1402 "132" "132" 0x00000900] + Pad[-12796 -43819 -12796 -40421 1102 3000 1402 "133" "133" 0x00000900] + Pad[-14764 -43819 -14764 -40421 1102 3000 1402 "134" "134" 0x00000900] + Pad[-16733 -43819 -16733 -40421 1102 3000 1402 "135" "135" 0x00000900] + Pad[-18701 -43819 -18701 -40421 1102 3000 1402 "136" "136" 0x00000900] + Pad[-20670 -43819 -20670 -40421 1102 3000 1402 "137" "137" 0x00000900] + Pad[-22638 -43819 -22638 -40421 1102 3000 1402 "138" "138" 0x00000900] + Pad[-24607 -43819 -24607 -40421 1102 3000 1402 "139" "139" 0x00000900] + Pad[-26575 -43819 -26575 -40421 1102 3000 1402 "140" "140" 0x00000900] + Pad[-28544 -43819 -28544 -40421 1102 3000 1402 "141" "141" 0x00000900] + Pad[-30512 -43819 -30512 -40421 1102 3000 1402 "142" "142" 0x00000900] + Pad[-32481 -43819 -32481 -40421 1102 3000 1402 "143" "143" 0x00000900] + Pad[-34449 -43819 -34449 -40421 1102 3000 1402 "144" "144" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-36070 -38970 38970 -38970 800] + # right + ElementLine[38970 -38970 38970 38970 800] + # bottom + ElementLine[38970 38970 -38970 38970 800] + # left + ElementLine[-38970 38970 -38970 -36070 800] + # angled corner + ElementLine[-38970 -36070 -36070 -38970 800] + ElementArc[-36070 -36070 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP160_24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP160_24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP160_24.fp (revision 1033) @@ -0,0 +1,179 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP160_24" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-51693 -38385 -48295 -38385 1102 3000 1402 "1" "1" 0x00000100] + Pad[-51693 -36417 -48295 -36417 1102 3000 1402 "2" "2" 0x00000100] + Pad[-51693 -34448 -48295 -34448 1102 3000 1402 "3" "3" 0x00000100] + Pad[-51693 -32480 -48295 -32480 1102 3000 1402 "4" "4" 0x00000100] + Pad[-51693 -30511 -48295 -30511 1102 3000 1402 "5" "5" 0x00000100] + Pad[-51693 -28543 -48295 -28543 1102 3000 1402 "6" "6" 0x00000100] + Pad[-51693 -26574 -48295 -26574 1102 3000 1402 "7" "7" 0x00000100] + Pad[-51693 -24606 -48295 -24606 1102 3000 1402 "8" "8" 0x00000100] + Pad[-51693 -22637 -48295 -22637 1102 3000 1402 "9" "9" 0x00000100] + Pad[-51693 -20669 -48295 -20669 1102 3000 1402 "10" "10" 0x00000100] + Pad[-51693 -18700 -48295 -18700 1102 3000 1402 "11" "11" 0x00000100] + Pad[-51693 -16732 -48295 -16732 1102 3000 1402 "12" "12" 0x00000100] + Pad[-51693 -14763 -48295 -14763 1102 3000 1402 "13" "13" 0x00000100] + Pad[-51693 -12795 -48295 -12795 1102 3000 1402 "14" "14" 0x00000100] + Pad[-51693 -10826 -48295 -10826 1102 3000 1402 "15" "15" 0x00000100] + Pad[-51693 -8858 -48295 -8858 1102 3000 1402 "16" "16" 0x00000100] + Pad[-51693 -6889 -48295 -6889 1102 3000 1402 "17" "17" 0x00000100] + Pad[-51693 -4921 -48295 -4921 1102 3000 1402 "18" "18" 0x00000100] + Pad[-51693 -2952 -48295 -2952 1102 3000 1402 "19" "19" 0x00000100] + Pad[-51693 -984 -48295 -984 1102 3000 1402 "20" "20" 0x00000100] + Pad[-51693 985 -48295 985 1102 3000 1402 "21" "21" 0x00000100] + Pad[-51693 2953 -48295 2953 1102 3000 1402 "22" "22" 0x00000100] + Pad[-51693 4922 -48295 4922 1102 3000 1402 "23" "23" 0x00000100] + Pad[-51693 6890 -48295 6890 1102 3000 1402 "24" "24" 0x00000100] + Pad[-51693 8859 -48295 8859 1102 3000 1402 "25" "25" 0x00000100] + Pad[-51693 10827 -48295 10827 1102 3000 1402 "26" "26" 0x00000100] + Pad[-51693 12796 -48295 12796 1102 3000 1402 "27" "27" 0x00000100] + Pad[-51693 14764 -48295 14764 1102 3000 1402 "28" "28" 0x00000100] + Pad[-51693 16733 -48295 16733 1102 3000 1402 "29" "29" 0x00000100] + Pad[-51693 18701 -48295 18701 1102 3000 1402 "30" "30" 0x00000100] + Pad[-51693 20670 -48295 20670 1102 3000 1402 "31" "31" 0x00000100] + Pad[-51693 22638 -48295 22638 1102 3000 1402 "32" "32" 0x00000100] + Pad[-51693 24607 -48295 24607 1102 3000 1402 "33" "33" 0x00000100] + Pad[-51693 26575 -48295 26575 1102 3000 1402 "34" "34" 0x00000100] + Pad[-51693 28544 -48295 28544 1102 3000 1402 "35" "35" 0x00000100] + Pad[-51693 30512 -48295 30512 1102 3000 1402 "36" "36" 0x00000100] + Pad[-51693 32481 -48295 32481 1102 3000 1402 "37" "37" 0x00000100] + Pad[-51693 34449 -48295 34449 1102 3000 1402 "38" "38" 0x00000100] + Pad[-51693 36418 -48295 36418 1102 3000 1402 "39" "39" 0x00000100] + Pad[-51693 38386 -48295 38386 1102 3000 1402 "40" "40" 0x00000100] + # bottom row + Pad[-38385 51693 -38385 48295 1102 3000 1402 "41" "41" 0x00000900] + Pad[-36417 51693 -36417 48295 1102 3000 1402 "42" "42" 0x00000900] + Pad[-34448 51693 -34448 48295 1102 3000 1402 "43" "43" 0x00000900] + Pad[-32480 51693 -32480 48295 1102 3000 1402 "44" "44" 0x00000900] + Pad[-30511 51693 -30511 48295 1102 3000 1402 "45" "45" 0x00000900] + Pad[-28543 51693 -28543 48295 1102 3000 1402 "46" "46" 0x00000900] + Pad[-26574 51693 -26574 48295 1102 3000 1402 "47" "47" 0x00000900] + Pad[-24606 51693 -24606 48295 1102 3000 1402 "48" "48" 0x00000900] + Pad[-22637 51693 -22637 48295 1102 3000 1402 "49" "49" 0x00000900] + Pad[-20669 51693 -20669 48295 1102 3000 1402 "50" "50" 0x00000900] + Pad[-18700 51693 -18700 48295 1102 3000 1402 "51" "51" 0x00000900] + Pad[-16732 51693 -16732 48295 1102 3000 1402 "52" "52" 0x00000900] + Pad[-14763 51693 -14763 48295 1102 3000 1402 "53" "53" 0x00000900] + Pad[-12795 51693 -12795 48295 1102 3000 1402 "54" "54" 0x00000900] + Pad[-10826 51693 -10826 48295 1102 3000 1402 "55" "55" 0x00000900] + Pad[-8858 51693 -8858 48295 1102 3000 1402 "56" "56" 0x00000900] + Pad[-6889 51693 -6889 48295 1102 3000 1402 "57" "57" 0x00000900] + Pad[-4921 51693 -4921 48295 1102 3000 1402 "58" "58" 0x00000900] + Pad[-2952 51693 -2952 48295 1102 3000 1402 "59" "59" 0x00000900] + Pad[-984 51693 -984 48295 1102 3000 1402 "60" "60" 0x00000900] + Pad[985 51693 985 48295 1102 3000 1402 "61" "61" 0x00000900] + Pad[2953 51693 2953 48295 1102 3000 1402 "62" "62" 0x00000900] + Pad[4922 51693 4922 48295 1102 3000 1402 "63" "63" 0x00000900] + Pad[6890 51693 6890 48295 1102 3000 1402 "64" "64" 0x00000900] + Pad[8859 51693 8859 48295 1102 3000 1402 "65" "65" 0x00000900] + Pad[10827 51693 10827 48295 1102 3000 1402 "66" "66" 0x00000900] + Pad[12796 51693 12796 48295 1102 3000 1402 "67" "67" 0x00000900] + Pad[14764 51693 14764 48295 1102 3000 1402 "68" "68" 0x00000900] + Pad[16733 51693 16733 48295 1102 3000 1402 "69" "69" 0x00000900] + Pad[18701 51693 18701 48295 1102 3000 1402 "70" "70" 0x00000900] + Pad[20670 51693 20670 48295 1102 3000 1402 "71" "71" 0x00000900] + Pad[22638 51693 22638 48295 1102 3000 1402 "72" "72" 0x00000900] + Pad[24607 51693 24607 48295 1102 3000 1402 "73" "73" 0x00000900] + Pad[26575 51693 26575 48295 1102 3000 1402 "74" "74" 0x00000900] + Pad[28544 51693 28544 48295 1102 3000 1402 "75" "75" 0x00000900] + Pad[30512 51693 30512 48295 1102 3000 1402 "76" "76" 0x00000900] + Pad[32481 51693 32481 48295 1102 3000 1402 "77" "77" 0x00000900] + Pad[34449 51693 34449 48295 1102 3000 1402 "78" "78" 0x00000900] + Pad[36418 51693 36418 48295 1102 3000 1402 "79" "79" 0x00000900] + Pad[38386 51693 38386 48295 1102 3000 1402 "80" "80" 0x00000900] + # right row + Pad[51693 38385 48295 38385 1102 3000 1402 "81" "81" 0x00000100] + Pad[51693 36417 48295 36417 1102 3000 1402 "82" "82" 0x00000100] + Pad[51693 34448 48295 34448 1102 3000 1402 "83" "83" 0x00000100] + Pad[51693 32480 48295 32480 1102 3000 1402 "84" "84" 0x00000100] + Pad[51693 30511 48295 30511 1102 3000 1402 "85" "85" 0x00000100] + Pad[51693 28543 48295 28543 1102 3000 1402 "86" "86" 0x00000100] + Pad[51693 26574 48295 26574 1102 3000 1402 "87" "87" 0x00000100] + Pad[51693 24606 48295 24606 1102 3000 1402 "88" "88" 0x00000100] + Pad[51693 22637 48295 22637 1102 3000 1402 "89" "89" 0x00000100] + Pad[51693 20669 48295 20669 1102 3000 1402 "90" "90" 0x00000100] + Pad[51693 18700 48295 18700 1102 3000 1402 "91" "91" 0x00000100] + Pad[51693 16732 48295 16732 1102 3000 1402 "92" "92" 0x00000100] + Pad[51693 14763 48295 14763 1102 3000 1402 "93" "93" 0x00000100] + Pad[51693 12795 48295 12795 1102 3000 1402 "94" "94" 0x00000100] + Pad[51693 10826 48295 10826 1102 3000 1402 "95" "95" 0x00000100] + Pad[51693 8858 48295 8858 1102 3000 1402 "96" "96" 0x00000100] + Pad[51693 6889 48295 6889 1102 3000 1402 "97" "97" 0x00000100] + Pad[51693 4921 48295 4921 1102 3000 1402 "98" "98" 0x00000100] + Pad[51693 2952 48295 2952 1102 3000 1402 "99" "99" 0x00000100] + Pad[51693 984 48295 984 1102 3000 1402 "100" "100" 0x00000100] + Pad[51693 -985 48295 -985 1102 3000 1402 "101" "101" 0x00000100] + Pad[51693 -2953 48295 -2953 1102 3000 1402 "102" "102" 0x00000100] + Pad[51693 -4922 48295 -4922 1102 3000 1402 "103" "103" 0x00000100] + Pad[51693 -6890 48295 -6890 1102 3000 1402 "104" "104" 0x00000100] + Pad[51693 -8859 48295 -8859 1102 3000 1402 "105" "105" 0x00000100] + Pad[51693 -10827 48295 -10827 1102 3000 1402 "106" "106" 0x00000100] + Pad[51693 -12796 48295 -12796 1102 3000 1402 "107" "107" 0x00000100] + Pad[51693 -14764 48295 -14764 1102 3000 1402 "108" "108" 0x00000100] + Pad[51693 -16733 48295 -16733 1102 3000 1402 "109" "109" 0x00000100] + Pad[51693 -18701 48295 -18701 1102 3000 1402 "110" "110" 0x00000100] + Pad[51693 -20670 48295 -20670 1102 3000 1402 "111" "111" 0x00000100] + Pad[51693 -22638 48295 -22638 1102 3000 1402 "112" "112" 0x00000100] + Pad[51693 -24607 48295 -24607 1102 3000 1402 "113" "113" 0x00000100] + Pad[51693 -26575 48295 -26575 1102 3000 1402 "114" "114" 0x00000100] + Pad[51693 -28544 48295 -28544 1102 3000 1402 "115" "115" 0x00000100] + Pad[51693 -30512 48295 -30512 1102 3000 1402 "116" "116" 0x00000100] + Pad[51693 -32481 48295 -32481 1102 3000 1402 "117" "117" 0x00000100] + Pad[51693 -34449 48295 -34449 1102 3000 1402 "118" "118" 0x00000100] + Pad[51693 -36418 48295 -36418 1102 3000 1402 "119" "119" 0x00000100] + Pad[51693 -38386 48295 -38386 1102 3000 1402 "120" "120" 0x00000100] + # top row + Pad[38385 -51693 38385 -48295 1102 3000 1402 "121" "121" 0x00000900] + Pad[36417 -51693 36417 -48295 1102 3000 1402 "122" "122" 0x00000900] + Pad[34448 -51693 34448 -48295 1102 3000 1402 "123" "123" 0x00000900] + Pad[32480 -51693 32480 -48295 1102 3000 1402 "124" "124" 0x00000900] + Pad[30511 -51693 30511 -48295 1102 3000 1402 "125" "125" 0x00000900] + Pad[28543 -51693 28543 -48295 1102 3000 1402 "126" "126" 0x00000900] + Pad[26574 -51693 26574 -48295 1102 3000 1402 "127" "127" 0x00000900] + Pad[24606 -51693 24606 -48295 1102 3000 1402 "128" "128" 0x00000900] + Pad[22637 -51693 22637 -48295 1102 3000 1402 "129" "129" 0x00000900] + Pad[20669 -51693 20669 -48295 1102 3000 1402 "130" "130" 0x00000900] + Pad[18700 -51693 18700 -48295 1102 3000 1402 "131" "131" 0x00000900] + Pad[16732 -51693 16732 -48295 1102 3000 1402 "132" "132" 0x00000900] + Pad[14763 -51693 14763 -48295 1102 3000 1402 "133" "133" 0x00000900] + Pad[12795 -51693 12795 -48295 1102 3000 1402 "134" "134" 0x00000900] + Pad[10826 -51693 10826 -48295 1102 3000 1402 "135" "135" 0x00000900] + Pad[8858 -51693 8858 -48295 1102 3000 1402 "136" "136" 0x00000900] + Pad[6889 -51693 6889 -48295 1102 3000 1402 "137" "137" 0x00000900] + Pad[4921 -51693 4921 -48295 1102 3000 1402 "138" "138" 0x00000900] + Pad[2952 -51693 2952 -48295 1102 3000 1402 "139" "139" 0x00000900] + Pad[984 -51693 984 -48295 1102 3000 1402 "140" "140" 0x00000900] + Pad[-985 -51693 -985 -48295 1102 3000 1402 "141" "141" 0x00000900] + Pad[-2953 -51693 -2953 -48295 1102 3000 1402 "142" "142" 0x00000900] + Pad[-4922 -51693 -4922 -48295 1102 3000 1402 "143" "143" 0x00000900] + Pad[-6890 -51693 -6890 -48295 1102 3000 1402 "144" "144" 0x00000900] + Pad[-8859 -51693 -8859 -48295 1102 3000 1402 "145" "145" 0x00000900] + Pad[-10827 -51693 -10827 -48295 1102 3000 1402 "146" "146" 0x00000900] + Pad[-12796 -51693 -12796 -48295 1102 3000 1402 "147" "147" 0x00000900] + Pad[-14764 -51693 -14764 -48295 1102 3000 1402 "148" "148" 0x00000900] + Pad[-16733 -51693 -16733 -48295 1102 3000 1402 "149" "149" 0x00000900] + Pad[-18701 -51693 -18701 -48295 1102 3000 1402 "150" "150" 0x00000900] + Pad[-20670 -51693 -20670 -48295 1102 3000 1402 "151" "151" 0x00000900] + Pad[-22638 -51693 -22638 -48295 1102 3000 1402 "152" "152" 0x00000900] + Pad[-24607 -51693 -24607 -48295 1102 3000 1402 "153" "153" 0x00000900] + Pad[-26575 -51693 -26575 -48295 1102 3000 1402 "154" "154" 0x00000900] + Pad[-28544 -51693 -28544 -48295 1102 3000 1402 "155" "155" 0x00000900] + Pad[-30512 -51693 -30512 -48295 1102 3000 1402 "156" "156" 0x00000900] + Pad[-32481 -51693 -32481 -48295 1102 3000 1402 "157" "157" 0x00000900] + Pad[-34449 -51693 -34449 -48295 1102 3000 1402 "158" "158" 0x00000900] + Pad[-36418 -51693 -36418 -48295 1102 3000 1402 "159" "159" 0x00000900] + Pad[-38386 -51693 -38386 -48295 1102 3000 1402 "160" "160" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-43944 -46844 46844 -46844 800] + # right + ElementLine[46844 -46844 46844 46844 800] + # bottom + ElementLine[46844 46844 -46844 46844 800] + # left + ElementLine[-46844 46844 -46844 -43944 800] + # angled corner + ElementLine[-46844 -43944 -43944 -46844 800] + ElementArc[-43944 -43944 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP176_24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP176_24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP176_24.fp (revision 1033) @@ -0,0 +1,195 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP176_24" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-51693 -42322 -48295 -42322 1102 3000 1402 "1" "1" 0x00000100] + Pad[-51693 -40354 -48295 -40354 1102 3000 1402 "2" "2" 0x00000100] + Pad[-51693 -38385 -48295 -38385 1102 3000 1402 "3" "3" 0x00000100] + Pad[-51693 -36417 -48295 -36417 1102 3000 1402 "4" "4" 0x00000100] + Pad[-51693 -34448 -48295 -34448 1102 3000 1402 "5" "5" 0x00000100] + Pad[-51693 -32480 -48295 -32480 1102 3000 1402 "6" "6" 0x00000100] + Pad[-51693 -30511 -48295 -30511 1102 3000 1402 "7" "7" 0x00000100] + Pad[-51693 -28543 -48295 -28543 1102 3000 1402 "8" "8" 0x00000100] + Pad[-51693 -26574 -48295 -26574 1102 3000 1402 "9" "9" 0x00000100] + Pad[-51693 -24606 -48295 -24606 1102 3000 1402 "10" "10" 0x00000100] + Pad[-51693 -22637 -48295 -22637 1102 3000 1402 "11" "11" 0x00000100] + Pad[-51693 -20669 -48295 -20669 1102 3000 1402 "12" "12" 0x00000100] + Pad[-51693 -18700 -48295 -18700 1102 3000 1402 "13" "13" 0x00000100] + Pad[-51693 -16732 -48295 -16732 1102 3000 1402 "14" "14" 0x00000100] + Pad[-51693 -14763 -48295 -14763 1102 3000 1402 "15" "15" 0x00000100] + Pad[-51693 -12795 -48295 -12795 1102 3000 1402 "16" "16" 0x00000100] + Pad[-51693 -10826 -48295 -10826 1102 3000 1402 "17" "17" 0x00000100] + Pad[-51693 -8858 -48295 -8858 1102 3000 1402 "18" "18" 0x00000100] + Pad[-51693 -6889 -48295 -6889 1102 3000 1402 "19" "19" 0x00000100] + Pad[-51693 -4921 -48295 -4921 1102 3000 1402 "20" "20" 0x00000100] + Pad[-51693 -2952 -48295 -2952 1102 3000 1402 "21" "21" 0x00000100] + Pad[-51693 -984 -48295 -984 1102 3000 1402 "22" "22" 0x00000100] + Pad[-51693 985 -48295 985 1102 3000 1402 "23" "23" 0x00000100] + Pad[-51693 2953 -48295 2953 1102 3000 1402 "24" "24" 0x00000100] + Pad[-51693 4922 -48295 4922 1102 3000 1402 "25" "25" 0x00000100] + Pad[-51693 6890 -48295 6890 1102 3000 1402 "26" "26" 0x00000100] + Pad[-51693 8859 -48295 8859 1102 3000 1402 "27" "27" 0x00000100] + Pad[-51693 10827 -48295 10827 1102 3000 1402 "28" "28" 0x00000100] + Pad[-51693 12796 -48295 12796 1102 3000 1402 "29" "29" 0x00000100] + Pad[-51693 14764 -48295 14764 1102 3000 1402 "30" "30" 0x00000100] + Pad[-51693 16733 -48295 16733 1102 3000 1402 "31" "31" 0x00000100] + Pad[-51693 18701 -48295 18701 1102 3000 1402 "32" "32" 0x00000100] + Pad[-51693 20670 -48295 20670 1102 3000 1402 "33" "33" 0x00000100] + Pad[-51693 22638 -48295 22638 1102 3000 1402 "34" "34" 0x00000100] + Pad[-51693 24607 -48295 24607 1102 3000 1402 "35" "35" 0x00000100] + Pad[-51693 26575 -48295 26575 1102 3000 1402 "36" "36" 0x00000100] + Pad[-51693 28544 -48295 28544 1102 3000 1402 "37" "37" 0x00000100] + Pad[-51693 30512 -48295 30512 1102 3000 1402 "38" "38" 0x00000100] + Pad[-51693 32481 -48295 32481 1102 3000 1402 "39" "39" 0x00000100] + Pad[-51693 34449 -48295 34449 1102 3000 1402 "40" "40" 0x00000100] + Pad[-51693 36418 -48295 36418 1102 3000 1402 "41" "41" 0x00000100] + Pad[-51693 38386 -48295 38386 1102 3000 1402 "42" "42" 0x00000100] + Pad[-51693 40355 -48295 40355 1102 3000 1402 "43" "43" 0x00000100] + Pad[-51693 42323 -48295 42323 1102 3000 1402 "44" "44" 0x00000100] + # bottom row + Pad[-42322 51693 -42322 48295 1102 3000 1402 "45" "45" 0x00000900] + Pad[-40354 51693 -40354 48295 1102 3000 1402 "46" "46" 0x00000900] + Pad[-38385 51693 -38385 48295 1102 3000 1402 "47" "47" 0x00000900] + Pad[-36417 51693 -36417 48295 1102 3000 1402 "48" "48" 0x00000900] + Pad[-34448 51693 -34448 48295 1102 3000 1402 "49" "49" 0x00000900] + Pad[-32480 51693 -32480 48295 1102 3000 1402 "50" "50" 0x00000900] + Pad[-30511 51693 -30511 48295 1102 3000 1402 "51" "51" 0x00000900] + Pad[-28543 51693 -28543 48295 1102 3000 1402 "52" "52" 0x00000900] + Pad[-26574 51693 -26574 48295 1102 3000 1402 "53" "53" 0x00000900] + Pad[-24606 51693 -24606 48295 1102 3000 1402 "54" "54" 0x00000900] + Pad[-22637 51693 -22637 48295 1102 3000 1402 "55" "55" 0x00000900] + Pad[-20669 51693 -20669 48295 1102 3000 1402 "56" "56" 0x00000900] + Pad[-18700 51693 -18700 48295 1102 3000 1402 "57" "57" 0x00000900] + Pad[-16732 51693 -16732 48295 1102 3000 1402 "58" "58" 0x00000900] + Pad[-14763 51693 -14763 48295 1102 3000 1402 "59" "59" 0x00000900] + Pad[-12795 51693 -12795 48295 1102 3000 1402 "60" "60" 0x00000900] + Pad[-10826 51693 -10826 48295 1102 3000 1402 "61" "61" 0x00000900] + Pad[-8858 51693 -8858 48295 1102 3000 1402 "62" "62" 0x00000900] + Pad[-6889 51693 -6889 48295 1102 3000 1402 "63" "63" 0x00000900] + Pad[-4921 51693 -4921 48295 1102 3000 1402 "64" "64" 0x00000900] + Pad[-2952 51693 -2952 48295 1102 3000 1402 "65" "65" 0x00000900] + Pad[-984 51693 -984 48295 1102 3000 1402 "66" "66" 0x00000900] + Pad[985 51693 985 48295 1102 3000 1402 "67" "67" 0x00000900] + Pad[2953 51693 2953 48295 1102 3000 1402 "68" "68" 0x00000900] + Pad[4922 51693 4922 48295 1102 3000 1402 "69" "69" 0x00000900] + Pad[6890 51693 6890 48295 1102 3000 1402 "70" "70" 0x00000900] + Pad[8859 51693 8859 48295 1102 3000 1402 "71" "71" 0x00000900] + Pad[10827 51693 10827 48295 1102 3000 1402 "72" "72" 0x00000900] + Pad[12796 51693 12796 48295 1102 3000 1402 "73" "73" 0x00000900] + Pad[14764 51693 14764 48295 1102 3000 1402 "74" "74" 0x00000900] + Pad[16733 51693 16733 48295 1102 3000 1402 "75" "75" 0x00000900] + Pad[18701 51693 18701 48295 1102 3000 1402 "76" "76" 0x00000900] + Pad[20670 51693 20670 48295 1102 3000 1402 "77" "77" 0x00000900] + Pad[22638 51693 22638 48295 1102 3000 1402 "78" "78" 0x00000900] + Pad[24607 51693 24607 48295 1102 3000 1402 "79" "79" 0x00000900] + Pad[26575 51693 26575 48295 1102 3000 1402 "80" "80" 0x00000900] + Pad[28544 51693 28544 48295 1102 3000 1402 "81" "81" 0x00000900] + Pad[30512 51693 30512 48295 1102 3000 1402 "82" "82" 0x00000900] + Pad[32481 51693 32481 48295 1102 3000 1402 "83" "83" 0x00000900] + Pad[34449 51693 34449 48295 1102 3000 1402 "84" "84" 0x00000900] + Pad[36418 51693 36418 48295 1102 3000 1402 "85" "85" 0x00000900] + Pad[38386 51693 38386 48295 1102 3000 1402 "86" "86" 0x00000900] + Pad[40355 51693 40355 48295 1102 3000 1402 "87" "87" 0x00000900] + Pad[42323 51693 42323 48295 1102 3000 1402 "88" "88" 0x00000900] + # right row + Pad[51693 42322 48295 42322 1102 3000 1402 "89" "89" 0x00000100] + Pad[51693 40354 48295 40354 1102 3000 1402 "90" "90" 0x00000100] + Pad[51693 38385 48295 38385 1102 3000 1402 "91" "91" 0x00000100] + Pad[51693 36417 48295 36417 1102 3000 1402 "92" "92" 0x00000100] + Pad[51693 34448 48295 34448 1102 3000 1402 "93" "93" 0x00000100] + Pad[51693 32480 48295 32480 1102 3000 1402 "94" "94" 0x00000100] + Pad[51693 30511 48295 30511 1102 3000 1402 "95" "95" 0x00000100] + Pad[51693 28543 48295 28543 1102 3000 1402 "96" "96" 0x00000100] + Pad[51693 26574 48295 26574 1102 3000 1402 "97" "97" 0x00000100] + Pad[51693 24606 48295 24606 1102 3000 1402 "98" "98" 0x00000100] + Pad[51693 22637 48295 22637 1102 3000 1402 "99" "99" 0x00000100] + Pad[51693 20669 48295 20669 1102 3000 1402 "100" "100" 0x00000100] + Pad[51693 18700 48295 18700 1102 3000 1402 "101" "101" 0x00000100] + Pad[51693 16732 48295 16732 1102 3000 1402 "102" "102" 0x00000100] + Pad[51693 14763 48295 14763 1102 3000 1402 "103" "103" 0x00000100] + Pad[51693 12795 48295 12795 1102 3000 1402 "104" "104" 0x00000100] + Pad[51693 10826 48295 10826 1102 3000 1402 "105" "105" 0x00000100] + Pad[51693 8858 48295 8858 1102 3000 1402 "106" "106" 0x00000100] + Pad[51693 6889 48295 6889 1102 3000 1402 "107" "107" 0x00000100] + Pad[51693 4921 48295 4921 1102 3000 1402 "108" "108" 0x00000100] + Pad[51693 2952 48295 2952 1102 3000 1402 "109" "109" 0x00000100] + Pad[51693 984 48295 984 1102 3000 1402 "110" "110" 0x00000100] + Pad[51693 -985 48295 -985 1102 3000 1402 "111" "111" 0x00000100] + Pad[51693 -2953 48295 -2953 1102 3000 1402 "112" "112" 0x00000100] + Pad[51693 -4922 48295 -4922 1102 3000 1402 "113" "113" 0x00000100] + Pad[51693 -6890 48295 -6890 1102 3000 1402 "114" "114" 0x00000100] + Pad[51693 -8859 48295 -8859 1102 3000 1402 "115" "115" 0x00000100] + Pad[51693 -10827 48295 -10827 1102 3000 1402 "116" "116" 0x00000100] + Pad[51693 -12796 48295 -12796 1102 3000 1402 "117" "117" 0x00000100] + Pad[51693 -14764 48295 -14764 1102 3000 1402 "118" "118" 0x00000100] + Pad[51693 -16733 48295 -16733 1102 3000 1402 "119" "119" 0x00000100] + Pad[51693 -18701 48295 -18701 1102 3000 1402 "120" "120" 0x00000100] + Pad[51693 -20670 48295 -20670 1102 3000 1402 "121" "121" 0x00000100] + Pad[51693 -22638 48295 -22638 1102 3000 1402 "122" "122" 0x00000100] + Pad[51693 -24607 48295 -24607 1102 3000 1402 "123" "123" 0x00000100] + Pad[51693 -26575 48295 -26575 1102 3000 1402 "124" "124" 0x00000100] + Pad[51693 -28544 48295 -28544 1102 3000 1402 "125" "125" 0x00000100] + Pad[51693 -30512 48295 -30512 1102 3000 1402 "126" "126" 0x00000100] + Pad[51693 -32481 48295 -32481 1102 3000 1402 "127" "127" 0x00000100] + Pad[51693 -34449 48295 -34449 1102 3000 1402 "128" "128" 0x00000100] + Pad[51693 -36418 48295 -36418 1102 3000 1402 "129" "129" 0x00000100] + Pad[51693 -38386 48295 -38386 1102 3000 1402 "130" "130" 0x00000100] + Pad[51693 -40355 48295 -40355 1102 3000 1402 "131" "131" 0x00000100] + Pad[51693 -42323 48295 -42323 1102 3000 1402 "132" "132" 0x00000100] + # top row + Pad[42322 -51693 42322 -48295 1102 3000 1402 "133" "133" 0x00000900] + Pad[40354 -51693 40354 -48295 1102 3000 1402 "134" "134" 0x00000900] + Pad[38385 -51693 38385 -48295 1102 3000 1402 "135" "135" 0x00000900] + Pad[36417 -51693 36417 -48295 1102 3000 1402 "136" "136" 0x00000900] + Pad[34448 -51693 34448 -48295 1102 3000 1402 "137" "137" 0x00000900] + Pad[32480 -51693 32480 -48295 1102 3000 1402 "138" "138" 0x00000900] + Pad[30511 -51693 30511 -48295 1102 3000 1402 "139" "139" 0x00000900] + Pad[28543 -51693 28543 -48295 1102 3000 1402 "140" "140" 0x00000900] + Pad[26574 -51693 26574 -48295 1102 3000 1402 "141" "141" 0x00000900] + Pad[24606 -51693 24606 -48295 1102 3000 1402 "142" "142" 0x00000900] + Pad[22637 -51693 22637 -48295 1102 3000 1402 "143" "143" 0x00000900] + Pad[20669 -51693 20669 -48295 1102 3000 1402 "144" "144" 0x00000900] + Pad[18700 -51693 18700 -48295 1102 3000 1402 "145" "145" 0x00000900] + Pad[16732 -51693 16732 -48295 1102 3000 1402 "146" "146" 0x00000900] + Pad[14763 -51693 14763 -48295 1102 3000 1402 "147" "147" 0x00000900] + Pad[12795 -51693 12795 -48295 1102 3000 1402 "148" "148" 0x00000900] + Pad[10826 -51693 10826 -48295 1102 3000 1402 "149" "149" 0x00000900] + Pad[8858 -51693 8858 -48295 1102 3000 1402 "150" "150" 0x00000900] + Pad[6889 -51693 6889 -48295 1102 3000 1402 "151" "151" 0x00000900] + Pad[4921 -51693 4921 -48295 1102 3000 1402 "152" "152" 0x00000900] + Pad[2952 -51693 2952 -48295 1102 3000 1402 "153" "153" 0x00000900] + Pad[984 -51693 984 -48295 1102 3000 1402 "154" "154" 0x00000900] + Pad[-985 -51693 -985 -48295 1102 3000 1402 "155" "155" 0x00000900] + Pad[-2953 -51693 -2953 -48295 1102 3000 1402 "156" "156" 0x00000900] + Pad[-4922 -51693 -4922 -48295 1102 3000 1402 "157" "157" 0x00000900] + Pad[-6890 -51693 -6890 -48295 1102 3000 1402 "158" "158" 0x00000900] + Pad[-8859 -51693 -8859 -48295 1102 3000 1402 "159" "159" 0x00000900] + Pad[-10827 -51693 -10827 -48295 1102 3000 1402 "160" "160" 0x00000900] + Pad[-12796 -51693 -12796 -48295 1102 3000 1402 "161" "161" 0x00000900] + Pad[-14764 -51693 -14764 -48295 1102 3000 1402 "162" "162" 0x00000900] + Pad[-16733 -51693 -16733 -48295 1102 3000 1402 "163" "163" 0x00000900] + Pad[-18701 -51693 -18701 -48295 1102 3000 1402 "164" "164" 0x00000900] + Pad[-20670 -51693 -20670 -48295 1102 3000 1402 "165" "165" 0x00000900] + Pad[-22638 -51693 -22638 -48295 1102 3000 1402 "166" "166" 0x00000900] + Pad[-24607 -51693 -24607 -48295 1102 3000 1402 "167" "167" 0x00000900] + Pad[-26575 -51693 -26575 -48295 1102 3000 1402 "168" "168" 0x00000900] + Pad[-28544 -51693 -28544 -48295 1102 3000 1402 "169" "169" 0x00000900] + Pad[-30512 -51693 -30512 -48295 1102 3000 1402 "170" "170" 0x00000900] + Pad[-32481 -51693 -32481 -48295 1102 3000 1402 "171" "171" 0x00000900] + Pad[-34449 -51693 -34449 -48295 1102 3000 1402 "172" "172" 0x00000900] + Pad[-36418 -51693 -36418 -48295 1102 3000 1402 "173" "173" 0x00000900] + Pad[-38386 -51693 -38386 -48295 1102 3000 1402 "174" "174" 0x00000900] + Pad[-40355 -51693 -40355 -48295 1102 3000 1402 "175" "175" 0x00000900] + Pad[-42323 -51693 -42323 -48295 1102 3000 1402 "176" "176" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-43944 -46844 46844 -46844 800] + # right + ElementLine[46844 -46844 46844 46844 800] + # bottom + ElementLine[46844 46844 -46844 46844 800] + # left + ElementLine[-46844 46844 -46844 -43944 800] + # angled corner + ElementLine[-46844 -43944 -43944 -46844 800] + ElementArc[-43944 -43944 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP24_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP24_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP24_4.fp (revision 1033) @@ -0,0 +1,43 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP24_4" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-12323 -4921 -8925 -4921 1102 3000 1402 "1" "1" 0x00000100] + Pad[-12323 -2953 -8925 -2953 1102 3000 1402 "2" "2" 0x00000100] + Pad[-12323 -984 -8925 -984 1102 3000 1402 "3" "3" 0x00000100] + Pad[-12323 984 -8925 984 1102 3000 1402 "4" "4" 0x00000100] + Pad[-12323 2953 -8925 2953 1102 3000 1402 "5" "5" 0x00000100] + Pad[-12323 4921 -8925 4921 1102 3000 1402 "6" "6" 0x00000100] + # bottom row + Pad[-4921 12323 -4921 8925 1102 3000 1402 "7" "7" 0x00000900] + Pad[-2953 12323 -2953 8925 1102 3000 1402 "8" "8" 0x00000900] + Pad[-984 12323 -984 8925 1102 3000 1402 "9" "9" 0x00000900] + Pad[984 12323 984 8925 1102 3000 1402 "10" "10" 0x00000900] + Pad[2953 12323 2953 8925 1102 3000 1402 "11" "11" 0x00000900] + Pad[4921 12323 4921 8925 1102 3000 1402 "12" "12" 0x00000900] + # right row + Pad[12323 4921 8925 4921 1102 3000 1402 "13" "13" 0x00000100] + Pad[12323 2953 8925 2953 1102 3000 1402 "14" "14" 0x00000100] + Pad[12323 984 8925 984 1102 3000 1402 "15" "15" 0x00000100] + Pad[12323 -984 8925 -984 1102 3000 1402 "16" "16" 0x00000100] + Pad[12323 -2953 8925 -2953 1102 3000 1402 "17" "17" 0x00000100] + Pad[12323 -4921 8925 -4921 1102 3000 1402 "18" "18" 0x00000100] + # top row + Pad[4921 -12323 4921 -8925 1102 3000 1402 "19" "19" 0x00000900] + Pad[2953 -12323 2953 -8925 1102 3000 1402 "20" "20" 0x00000900] + Pad[984 -12323 984 -8925 1102 3000 1402 "21" "21" 0x00000900] + Pad[-984 -12323 -984 -8925 1102 3000 1402 "22" "22" 0x00000900] + Pad[-2953 -12323 -2953 -8925 1102 3000 1402 "23" "23" 0x00000900] + Pad[-4921 -12323 -4921 -8925 1102 3000 1402 "24" "24" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-4574 -7474 7474 -7474 800] + # right + ElementLine[7474 -7474 7474 7474 800] + # bottom + ElementLine[7474 7474 -7474 7474 800] + # left + ElementLine[-7474 7474 -7474 -4574 800] + # angled corner + ElementLine[-7474 -4574 -4574 -7474 800] + ElementArc[-4574 -4574 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP32_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP32_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP32_5.fp (revision 1033) @@ -0,0 +1,51 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP32_5" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-14291 -6889 -10893 -6889 1102 3000 1402 "1" "1" 0x00000100] + Pad[-14291 -4921 -10893 -4921 1102 3000 1402 "2" "2" 0x00000100] + Pad[-14291 -2952 -10893 -2952 1102 3000 1402 "3" "3" 0x00000100] + Pad[-14291 -984 -10893 -984 1102 3000 1402 "4" "4" 0x00000100] + Pad[-14291 985 -10893 985 1102 3000 1402 "5" "5" 0x00000100] + Pad[-14291 2953 -10893 2953 1102 3000 1402 "6" "6" 0x00000100] + Pad[-14291 4922 -10893 4922 1102 3000 1402 "7" "7" 0x00000100] + Pad[-14291 6890 -10893 6890 1102 3000 1402 "8" "8" 0x00000100] + # bottom row + Pad[-6889 14291 -6889 10893 1102 3000 1402 "9" "9" 0x00000900] + Pad[-4921 14291 -4921 10893 1102 3000 1402 "10" "10" 0x00000900] + Pad[-2952 14291 -2952 10893 1102 3000 1402 "11" "11" 0x00000900] + Pad[-984 14291 -984 10893 1102 3000 1402 "12" "12" 0x00000900] + Pad[985 14291 985 10893 1102 3000 1402 "13" "13" 0x00000900] + Pad[2953 14291 2953 10893 1102 3000 1402 "14" "14" 0x00000900] + Pad[4922 14291 4922 10893 1102 3000 1402 "15" "15" 0x00000900] + Pad[6890 14291 6890 10893 1102 3000 1402 "16" "16" 0x00000900] + # right row + Pad[14291 6889 10893 6889 1102 3000 1402 "17" "17" 0x00000100] + Pad[14291 4921 10893 4921 1102 3000 1402 "18" "18" 0x00000100] + Pad[14291 2952 10893 2952 1102 3000 1402 "19" "19" 0x00000100] + Pad[14291 984 10893 984 1102 3000 1402 "20" "20" 0x00000100] + Pad[14291 -985 10893 -985 1102 3000 1402 "21" "21" 0x00000100] + Pad[14291 -2953 10893 -2953 1102 3000 1402 "22" "22" 0x00000100] + Pad[14291 -4922 10893 -4922 1102 3000 1402 "23" "23" 0x00000100] + Pad[14291 -6890 10893 -6890 1102 3000 1402 "24" "24" 0x00000100] + # top row + Pad[6889 -14291 6889 -10893 1102 3000 1402 "25" "25" 0x00000900] + Pad[4921 -14291 4921 -10893 1102 3000 1402 "26" "26" 0x00000900] + Pad[2952 -14291 2952 -10893 1102 3000 1402 "27" "27" 0x00000900] + Pad[984 -14291 984 -10893 1102 3000 1402 "28" "28" 0x00000900] + Pad[-985 -14291 -985 -10893 1102 3000 1402 "29" "29" 0x00000900] + Pad[-2953 -14291 -2953 -10893 1102 3000 1402 "30" "30" 0x00000900] + Pad[-4922 -14291 -4922 -10893 1102 3000 1402 "31" "31" 0x00000900] + Pad[-6890 -14291 -6890 -10893 1102 3000 1402 "32" "32" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-6542 -9442 9442 -9442 800] + # right + ElementLine[9442 -9442 9442 9442 800] + # bottom + ElementLine[9442 9442 -9442 9442 800] + # left + ElementLine[-9442 9442 -9442 -6542 800] + # angled corner + ElementLine[-9442 -6542 -6542 -9442 800] + ElementArc[-6542 -6542 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP32_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP32_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP32_7.fp (revision 1033) @@ -0,0 +1,51 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP32_7" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-17992 -11023 -15066 -11023 1574 3000 1874 "1" "1" 0x00000100] + Pad[-17992 -7874 -15066 -7874 1574 3000 1874 "2" "2" 0x00000100] + Pad[-17992 -4724 -15066 -4724 1574 3000 1874 "3" "3" 0x00000100] + Pad[-17992 -1575 -15066 -1575 1574 3000 1874 "4" "4" 0x00000100] + Pad[-17992 1575 -15066 1575 1574 3000 1874 "5" "5" 0x00000100] + Pad[-17992 4725 -15066 4725 1574 3000 1874 "6" "6" 0x00000100] + Pad[-17992 7874 -15066 7874 1574 3000 1874 "7" "7" 0x00000100] + Pad[-17992 11024 -15066 11024 1574 3000 1874 "8" "8" 0x00000100] + # bottom row + Pad[-11023 17992 -11023 15066 1574 3000 1874 "9" "9" 0x00000900] + Pad[-7874 17992 -7874 15066 1574 3000 1874 "10" "10" 0x00000900] + Pad[-4724 17992 -4724 15066 1574 3000 1874 "11" "11" 0x00000900] + Pad[-1575 17992 -1575 15066 1574 3000 1874 "12" "12" 0x00000900] + Pad[1575 17992 1575 15066 1574 3000 1874 "13" "13" 0x00000900] + Pad[4725 17992 4725 15066 1574 3000 1874 "14" "14" 0x00000900] + Pad[7874 17992 7874 15066 1574 3000 1874 "15" "15" 0x00000900] + Pad[11024 17992 11024 15066 1574 3000 1874 "16" "16" 0x00000900] + # right row + Pad[17992 11023 15066 11023 1574 3000 1874 "17" "17" 0x00000100] + Pad[17992 7874 15066 7874 1574 3000 1874 "18" "18" 0x00000100] + Pad[17992 4724 15066 4724 1574 3000 1874 "19" "19" 0x00000100] + Pad[17992 1575 15066 1575 1574 3000 1874 "20" "20" 0x00000100] + Pad[17992 -1575 15066 -1575 1574 3000 1874 "21" "21" 0x00000100] + Pad[17992 -4725 15066 -4725 1574 3000 1874 "22" "22" 0x00000100] + Pad[17992 -7874 15066 -7874 1574 3000 1874 "23" "23" 0x00000100] + Pad[17992 -11024 15066 -11024 1574 3000 1874 "24" "24" 0x00000100] + # top row + Pad[11023 -17992 11023 -15066 1574 3000 1874 "25" "25" 0x00000900] + Pad[7874 -17992 7874 -15066 1574 3000 1874 "26" "26" 0x00000900] + Pad[4724 -17992 4724 -15066 1574 3000 1874 "27" "27" 0x00000900] + Pad[1575 -17992 1575 -15066 1574 3000 1874 "28" "28" 0x00000900] + Pad[-1575 -17992 -1575 -15066 1574 3000 1874 "29" "29" 0x00000900] + Pad[-4725 -17992 -4725 -15066 1574 3000 1874 "30" "30" 0x00000900] + Pad[-7874 -17992 -7874 -15066 1574 3000 1874 "31" "31" 0x00000900] + Pad[-11024 -17992 -11024 -15066 1574 3000 1874 "32" "32" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-10479 -13379 13379 -13379 800] + # right + ElementLine[13379 -13379 13379 13379 800] + # bottom + ElementLine[13379 13379 -13379 13379 800] + # left + ElementLine[-13379 13379 -13379 -10479 800] + # angled corner + ElementLine[-13379 -10479 -10479 -13379 800] + ElementArc[-10479 -10479 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP44_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP44_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP44_10.fp (revision 1033) @@ -0,0 +1,63 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP44_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-23898 -15748 -20972 -15748 1574 3000 1874 "1" "1" 0x00000100] + Pad[-23898 -12599 -20972 -12599 1574 3000 1874 "2" "2" 0x00000100] + Pad[-23898 -9449 -20972 -9449 1574 3000 1874 "3" "3" 0x00000100] + Pad[-23898 -6300 -20972 -6300 1574 3000 1874 "4" "4" 0x00000100] + Pad[-23898 -3150 -20972 -3150 1574 3000 1874 "5" "5" 0x00000100] + Pad[-23898 0 -20972 0 1574 3000 1874 "6" "6" 0x00000100] + Pad[-23898 3149 -20972 3149 1574 3000 1874 "7" "7" 0x00000100] + Pad[-23898 6299 -20972 6299 1574 3000 1874 "8" "8" 0x00000100] + Pad[-23898 9448 -20972 9448 1574 3000 1874 "9" "9" 0x00000100] + Pad[-23898 12598 -20972 12598 1574 3000 1874 "10" "10" 0x00000100] + Pad[-23898 15748 -20972 15748 1574 3000 1874 "11" "11" 0x00000100] + # bottom row + Pad[-15748 23898 -15748 20972 1574 3000 1874 "12" "12" 0x00000900] + Pad[-12599 23898 -12599 20972 1574 3000 1874 "13" "13" 0x00000900] + Pad[-9449 23898 -9449 20972 1574 3000 1874 "14" "14" 0x00000900] + Pad[-6300 23898 -6300 20972 1574 3000 1874 "15" "15" 0x00000900] + Pad[-3150 23898 -3150 20972 1574 3000 1874 "16" "16" 0x00000900] + Pad[0 23898 0 20972 1574 3000 1874 "17" "17" 0x00000900] + Pad[3149 23898 3149 20972 1574 3000 1874 "18" "18" 0x00000900] + Pad[6299 23898 6299 20972 1574 3000 1874 "19" "19" 0x00000900] + Pad[9448 23898 9448 20972 1574 3000 1874 "20" "20" 0x00000900] + Pad[12598 23898 12598 20972 1574 3000 1874 "21" "21" 0x00000900] + Pad[15748 23898 15748 20972 1574 3000 1874 "22" "22" 0x00000900] + # right row + Pad[23898 15748 20972 15748 1574 3000 1874 "23" "23" 0x00000100] + Pad[23898 12599 20972 12599 1574 3000 1874 "24" "24" 0x00000100] + Pad[23898 9449 20972 9449 1574 3000 1874 "25" "25" 0x00000100] + Pad[23898 6300 20972 6300 1574 3000 1874 "26" "26" 0x00000100] + Pad[23898 3150 20972 3150 1574 3000 1874 "27" "27" 0x00000100] + Pad[23898 0 20972 0 1574 3000 1874 "28" "28" 0x00000100] + Pad[23898 -3149 20972 -3149 1574 3000 1874 "29" "29" 0x00000100] + Pad[23898 -6299 20972 -6299 1574 3000 1874 "30" "30" 0x00000100] + Pad[23898 -9448 20972 -9448 1574 3000 1874 "31" "31" 0x00000100] + Pad[23898 -12598 20972 -12598 1574 3000 1874 "32" "32" 0x00000100] + Pad[23898 -15748 20972 -15748 1574 3000 1874 "33" "33" 0x00000100] + # top row + Pad[15748 -23898 15748 -20972 1574 3000 1874 "34" "34" 0x00000900] + Pad[12599 -23898 12599 -20972 1574 3000 1874 "35" "35" 0x00000900] + Pad[9449 -23898 9449 -20972 1574 3000 1874 "36" "36" 0x00000900] + Pad[6300 -23898 6300 -20972 1574 3000 1874 "37" "37" 0x00000900] + Pad[3150 -23898 3150 -20972 1574 3000 1874 "38" "38" 0x00000900] + Pad[0 -23898 0 -20972 1574 3000 1874 "39" "39" 0x00000900] + Pad[-3149 -23898 -3149 -20972 1574 3000 1874 "40" "40" 0x00000900] + Pad[-6299 -23898 -6299 -20972 1574 3000 1874 "41" "41" 0x00000900] + Pad[-9448 -23898 -9448 -20972 1574 3000 1874 "42" "42" 0x00000900] + Pad[-12598 -23898 -12598 -20972 1574 3000 1874 "43" "43" 0x00000900] + Pad[-15748 -23898 -15748 -20972 1574 3000 1874 "44" "44" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP48_12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP48_12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP48_12.fp (revision 1033) @@ -0,0 +1,67 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP48_12" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-27835 -17322 -24909 -17322 1574 3000 1874 "1" "1" 0x00000100] + Pad[-27835 -14173 -24909 -14173 1574 3000 1874 "2" "2" 0x00000100] + Pad[-27835 -11023 -24909 -11023 1574 3000 1874 "3" "3" 0x00000100] + Pad[-27835 -7874 -24909 -7874 1574 3000 1874 "4" "4" 0x00000100] + Pad[-27835 -4724 -24909 -4724 1574 3000 1874 "5" "5" 0x00000100] + Pad[-27835 -1574 -24909 -1574 1574 3000 1874 "6" "6" 0x00000100] + Pad[-27835 1575 -24909 1575 1574 3000 1874 "7" "7" 0x00000100] + Pad[-27835 4725 -24909 4725 1574 3000 1874 "8" "8" 0x00000100] + Pad[-27835 7874 -24909 7874 1574 3000 1874 "9" "9" 0x00000100] + Pad[-27835 11024 -24909 11024 1574 3000 1874 "10" "10" 0x00000100] + Pad[-27835 14174 -24909 14174 1574 3000 1874 "11" "11" 0x00000100] + Pad[-27835 17323 -24909 17323 1574 3000 1874 "12" "12" 0x00000100] + # bottom row + Pad[-17322 27835 -17322 24909 1574 3000 1874 "13" "13" 0x00000900] + Pad[-14173 27835 -14173 24909 1574 3000 1874 "14" "14" 0x00000900] + Pad[-11023 27835 -11023 24909 1574 3000 1874 "15" "15" 0x00000900] + Pad[-7874 27835 -7874 24909 1574 3000 1874 "16" "16" 0x00000900] + Pad[-4724 27835 -4724 24909 1574 3000 1874 "17" "17" 0x00000900] + Pad[-1574 27835 -1574 24909 1574 3000 1874 "18" "18" 0x00000900] + Pad[1575 27835 1575 24909 1574 3000 1874 "19" "19" 0x00000900] + Pad[4725 27835 4725 24909 1574 3000 1874 "20" "20" 0x00000900] + Pad[7874 27835 7874 24909 1574 3000 1874 "21" "21" 0x00000900] + Pad[11024 27835 11024 24909 1574 3000 1874 "22" "22" 0x00000900] + Pad[14174 27835 14174 24909 1574 3000 1874 "23" "23" 0x00000900] + Pad[17323 27835 17323 24909 1574 3000 1874 "24" "24" 0x00000900] + # right row + Pad[27835 17322 24909 17322 1574 3000 1874 "25" "25" 0x00000100] + Pad[27835 14173 24909 14173 1574 3000 1874 "26" "26" 0x00000100] + Pad[27835 11023 24909 11023 1574 3000 1874 "27" "27" 0x00000100] + Pad[27835 7874 24909 7874 1574 3000 1874 "28" "28" 0x00000100] + Pad[27835 4724 24909 4724 1574 3000 1874 "29" "29" 0x00000100] + Pad[27835 1574 24909 1574 1574 3000 1874 "30" "30" 0x00000100] + Pad[27835 -1575 24909 -1575 1574 3000 1874 "31" "31" 0x00000100] + Pad[27835 -4725 24909 -4725 1574 3000 1874 "32" "32" 0x00000100] + Pad[27835 -7874 24909 -7874 1574 3000 1874 "33" "33" 0x00000100] + Pad[27835 -11024 24909 -11024 1574 3000 1874 "34" "34" 0x00000100] + Pad[27835 -14174 24909 -14174 1574 3000 1874 "35" "35" 0x00000100] + Pad[27835 -17323 24909 -17323 1574 3000 1874 "36" "36" 0x00000100] + # top row + Pad[17322 -27835 17322 -24909 1574 3000 1874 "37" "37" 0x00000900] + Pad[14173 -27835 14173 -24909 1574 3000 1874 "38" "38" 0x00000900] + Pad[11023 -27835 11023 -24909 1574 3000 1874 "39" "39" 0x00000900] + Pad[7874 -27835 7874 -24909 1574 3000 1874 "40" "40" 0x00000900] + Pad[4724 -27835 4724 -24909 1574 3000 1874 "41" "41" 0x00000900] + Pad[1574 -27835 1574 -24909 1574 3000 1874 "42" "42" 0x00000900] + Pad[-1575 -27835 -1575 -24909 1574 3000 1874 "43" "43" 0x00000900] + Pad[-4725 -27835 -4725 -24909 1574 3000 1874 "44" "44" 0x00000900] + Pad[-7874 -27835 -7874 -24909 1574 3000 1874 "45" "45" 0x00000900] + Pad[-11024 -27835 -11024 -24909 1574 3000 1874 "46" "46" 0x00000900] + Pad[-14174 -27835 -14174 -24909 1574 3000 1874 "47" "47" 0x00000900] + Pad[-17323 -27835 -17323 -24909 1574 3000 1874 "48" "48" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-20322 -23222 23222 -23222 800] + # right + ElementLine[23222 -23222 23222 23222 800] + # bottom + ElementLine[23222 23222 -23222 23222 800] + # left + ElementLine[-23222 23222 -23222 -20322 800] + # angled corner + ElementLine[-23222 -20322 -20322 -23222 800] + ElementArc[-20322 -20322 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP48_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP48_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP48_7.fp (revision 1033) @@ -0,0 +1,67 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP48_7" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-18228 -10826 -14830 -10826 1102 3000 1402 "1" "1" 0x00000100] + Pad[-18228 -8858 -14830 -8858 1102 3000 1402 "2" "2" 0x00000100] + Pad[-18228 -6889 -14830 -6889 1102 3000 1402 "3" "3" 0x00000100] + Pad[-18228 -4921 -14830 -4921 1102 3000 1402 "4" "4" 0x00000100] + Pad[-18228 -2952 -14830 -2952 1102 3000 1402 "5" "5" 0x00000100] + Pad[-18228 -984 -14830 -984 1102 3000 1402 "6" "6" 0x00000100] + Pad[-18228 985 -14830 985 1102 3000 1402 "7" "7" 0x00000100] + Pad[-18228 2953 -14830 2953 1102 3000 1402 "8" "8" 0x00000100] + Pad[-18228 4922 -14830 4922 1102 3000 1402 "9" "9" 0x00000100] + Pad[-18228 6890 -14830 6890 1102 3000 1402 "10" "10" 0x00000100] + Pad[-18228 8859 -14830 8859 1102 3000 1402 "11" "11" 0x00000100] + Pad[-18228 10827 -14830 10827 1102 3000 1402 "12" "12" 0x00000100] + # bottom row + Pad[-10826 18228 -10826 14830 1102 3000 1402 "13" "13" 0x00000900] + Pad[-8858 18228 -8858 14830 1102 3000 1402 "14" "14" 0x00000900] + Pad[-6889 18228 -6889 14830 1102 3000 1402 "15" "15" 0x00000900] + Pad[-4921 18228 -4921 14830 1102 3000 1402 "16" "16" 0x00000900] + Pad[-2952 18228 -2952 14830 1102 3000 1402 "17" "17" 0x00000900] + Pad[-984 18228 -984 14830 1102 3000 1402 "18" "18" 0x00000900] + Pad[985 18228 985 14830 1102 3000 1402 "19" "19" 0x00000900] + Pad[2953 18228 2953 14830 1102 3000 1402 "20" "20" 0x00000900] + Pad[4922 18228 4922 14830 1102 3000 1402 "21" "21" 0x00000900] + Pad[6890 18228 6890 14830 1102 3000 1402 "22" "22" 0x00000900] + Pad[8859 18228 8859 14830 1102 3000 1402 "23" "23" 0x00000900] + Pad[10827 18228 10827 14830 1102 3000 1402 "24" "24" 0x00000900] + # right row + Pad[18228 10826 14830 10826 1102 3000 1402 "25" "25" 0x00000100] + Pad[18228 8858 14830 8858 1102 3000 1402 "26" "26" 0x00000100] + Pad[18228 6889 14830 6889 1102 3000 1402 "27" "27" 0x00000100] + Pad[18228 4921 14830 4921 1102 3000 1402 "28" "28" 0x00000100] + Pad[18228 2952 14830 2952 1102 3000 1402 "29" "29" 0x00000100] + Pad[18228 984 14830 984 1102 3000 1402 "30" "30" 0x00000100] + Pad[18228 -985 14830 -985 1102 3000 1402 "31" "31" 0x00000100] + Pad[18228 -2953 14830 -2953 1102 3000 1402 "32" "32" 0x00000100] + Pad[18228 -4922 14830 -4922 1102 3000 1402 "33" "33" 0x00000100] + Pad[18228 -6890 14830 -6890 1102 3000 1402 "34" "34" 0x00000100] + Pad[18228 -8859 14830 -8859 1102 3000 1402 "35" "35" 0x00000100] + Pad[18228 -10827 14830 -10827 1102 3000 1402 "36" "36" 0x00000100] + # top row + Pad[10826 -18228 10826 -14830 1102 3000 1402 "37" "37" 0x00000900] + Pad[8858 -18228 8858 -14830 1102 3000 1402 "38" "38" 0x00000900] + Pad[6889 -18228 6889 -14830 1102 3000 1402 "39" "39" 0x00000900] + Pad[4921 -18228 4921 -14830 1102 3000 1402 "40" "40" 0x00000900] + Pad[2952 -18228 2952 -14830 1102 3000 1402 "41" "41" 0x00000900] + Pad[984 -18228 984 -14830 1102 3000 1402 "42" "42" 0x00000900] + Pad[-985 -18228 -985 -14830 1102 3000 1402 "43" "43" 0x00000900] + Pad[-2953 -18228 -2953 -14830 1102 3000 1402 "44" "44" 0x00000900] + Pad[-4922 -18228 -4922 -14830 1102 3000 1402 "45" "45" 0x00000900] + Pad[-6890 -18228 -6890 -14830 1102 3000 1402 "46" "46" 0x00000900] + Pad[-8859 -18228 -8859 -14830 1102 3000 1402 "47" "47" 0x00000900] + Pad[-10827 -18228 -10827 -14830 1102 3000 1402 "48" "48" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-10479 -13379 13379 -13379 800] + # right + ElementLine[13379 -13379 13379 13379 800] + # bottom + ElementLine[13379 13379 -13379 13379 800] + # left + ElementLine[-13379 13379 -13379 -10479 800] + # angled corner + ElementLine[-13379 -10479 -10479 -13379 800] + ElementArc[-10479 -10479 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP52_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP52_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP52_10.fp (revision 1033) @@ -0,0 +1,71 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP52_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-23898 -15354 -20972 -15354 1574 3000 1874 "1" "1" 0x00000100] + Pad[-23898 -12795 -20972 -12795 1574 3000 1874 "2" "2" 0x00000100] + Pad[-23898 -10236 -20972 -10236 1574 3000 1874 "3" "3" 0x00000100] + Pad[-23898 -7677 -20972 -7677 1574 3000 1874 "4" "4" 0x00000100] + Pad[-23898 -5118 -20972 -5118 1574 3000 1874 "5" "5" 0x00000100] + Pad[-23898 -2559 -20972 -2559 1574 3000 1874 "6" "6" 0x00000100] + Pad[-23898 0 -20972 0 1574 3000 1874 "7" "7" 0x00000100] + Pad[-23898 2559 -20972 2559 1574 3000 1874 "8" "8" 0x00000100] + Pad[-23898 5118 -20972 5118 1574 3000 1874 "9" "9" 0x00000100] + Pad[-23898 7677 -20972 7677 1574 3000 1874 "10" "10" 0x00000100] + Pad[-23898 10236 -20972 10236 1574 3000 1874 "11" "11" 0x00000100] + Pad[-23898 12795 -20972 12795 1574 3000 1874 "12" "12" 0x00000100] + Pad[-23898 15354 -20972 15354 1574 3000 1874 "13" "13" 0x00000100] + # bottom row + Pad[-15354 23898 -15354 20972 1574 3000 1874 "14" "14" 0x00000900] + Pad[-12795 23898 -12795 20972 1574 3000 1874 "15" "15" 0x00000900] + Pad[-10236 23898 -10236 20972 1574 3000 1874 "16" "16" 0x00000900] + Pad[-7677 23898 -7677 20972 1574 3000 1874 "17" "17" 0x00000900] + Pad[-5118 23898 -5118 20972 1574 3000 1874 "18" "18" 0x00000900] + Pad[-2559 23898 -2559 20972 1574 3000 1874 "19" "19" 0x00000900] + Pad[0 23898 0 20972 1574 3000 1874 "20" "20" 0x00000900] + Pad[2559 23898 2559 20972 1574 3000 1874 "21" "21" 0x00000900] + Pad[5118 23898 5118 20972 1574 3000 1874 "22" "22" 0x00000900] + Pad[7677 23898 7677 20972 1574 3000 1874 "23" "23" 0x00000900] + Pad[10236 23898 10236 20972 1574 3000 1874 "24" "24" 0x00000900] + Pad[12795 23898 12795 20972 1574 3000 1874 "25" "25" 0x00000900] + Pad[15354 23898 15354 20972 1574 3000 1874 "26" "26" 0x00000900] + # right row + Pad[23898 15354 20972 15354 1574 3000 1874 "27" "27" 0x00000100] + Pad[23898 12795 20972 12795 1574 3000 1874 "28" "28" 0x00000100] + Pad[23898 10236 20972 10236 1574 3000 1874 "29" "29" 0x00000100] + Pad[23898 7677 20972 7677 1574 3000 1874 "30" "30" 0x00000100] + Pad[23898 5118 20972 5118 1574 3000 1874 "31" "31" 0x00000100] + Pad[23898 2559 20972 2559 1574 3000 1874 "32" "32" 0x00000100] + Pad[23898 0 20972 0 1574 3000 1874 "33" "33" 0x00000100] + Pad[23898 -2559 20972 -2559 1574 3000 1874 "34" "34" 0x00000100] + Pad[23898 -5118 20972 -5118 1574 3000 1874 "35" "35" 0x00000100] + Pad[23898 -7677 20972 -7677 1574 3000 1874 "36" "36" 0x00000100] + Pad[23898 -10236 20972 -10236 1574 3000 1874 "37" "37" 0x00000100] + Pad[23898 -12795 20972 -12795 1574 3000 1874 "38" "38" 0x00000100] + Pad[23898 -15354 20972 -15354 1574 3000 1874 "39" "39" 0x00000100] + # top row + Pad[15354 -23898 15354 -20972 1574 3000 1874 "40" "40" 0x00000900] + Pad[12795 -23898 12795 -20972 1574 3000 1874 "41" "41" 0x00000900] + Pad[10236 -23898 10236 -20972 1574 3000 1874 "42" "42" 0x00000900] + Pad[7677 -23898 7677 -20972 1574 3000 1874 "43" "43" 0x00000900] + Pad[5118 -23898 5118 -20972 1574 3000 1874 "44" "44" 0x00000900] + Pad[2559 -23898 2559 -20972 1574 3000 1874 "45" "45" 0x00000900] + Pad[0 -23898 0 -20972 1574 3000 1874 "46" "46" 0x00000900] + Pad[-2559 -23898 -2559 -20972 1574 3000 1874 "47" "47" 0x00000900] + Pad[-5118 -23898 -5118 -20972 1574 3000 1874 "48" "48" 0x00000900] + Pad[-7677 -23898 -7677 -20972 1574 3000 1874 "49" "49" 0x00000900] + Pad[-10236 -23898 -10236 -20972 1574 3000 1874 "50" "50" 0x00000900] + Pad[-12795 -23898 -12795 -20972 1574 3000 1874 "51" "51" 0x00000900] + Pad[-15354 -23898 -15354 -20972 1574 3000 1874 "52" "52" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP64_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP64_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP64_10.fp (revision 1033) @@ -0,0 +1,83 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP64_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-24134 -14763 -20736 -14763 1102 3000 1402 "1" "1" 0x00000100] + Pad[-24134 -12795 -20736 -12795 1102 3000 1402 "2" "2" 0x00000100] + Pad[-24134 -10826 -20736 -10826 1102 3000 1402 "3" "3" 0x00000100] + Pad[-24134 -8858 -20736 -8858 1102 3000 1402 "4" "4" 0x00000100] + Pad[-24134 -6889 -20736 -6889 1102 3000 1402 "5" "5" 0x00000100] + Pad[-24134 -4921 -20736 -4921 1102 3000 1402 "6" "6" 0x00000100] + Pad[-24134 -2952 -20736 -2952 1102 3000 1402 "7" "7" 0x00000100] + Pad[-24134 -984 -20736 -984 1102 3000 1402 "8" "8" 0x00000100] + Pad[-24134 985 -20736 985 1102 3000 1402 "9" "9" 0x00000100] + Pad[-24134 2953 -20736 2953 1102 3000 1402 "10" "10" 0x00000100] + Pad[-24134 4922 -20736 4922 1102 3000 1402 "11" "11" 0x00000100] + Pad[-24134 6890 -20736 6890 1102 3000 1402 "12" "12" 0x00000100] + Pad[-24134 8859 -20736 8859 1102 3000 1402 "13" "13" 0x00000100] + Pad[-24134 10827 -20736 10827 1102 3000 1402 "14" "14" 0x00000100] + Pad[-24134 12796 -20736 12796 1102 3000 1402 "15" "15" 0x00000100] + Pad[-24134 14764 -20736 14764 1102 3000 1402 "16" "16" 0x00000100] + # bottom row + Pad[-14763 24134 -14763 20736 1102 3000 1402 "17" "17" 0x00000900] + Pad[-12795 24134 -12795 20736 1102 3000 1402 "18" "18" 0x00000900] + Pad[-10826 24134 -10826 20736 1102 3000 1402 "19" "19" 0x00000900] + Pad[-8858 24134 -8858 20736 1102 3000 1402 "20" "20" 0x00000900] + Pad[-6889 24134 -6889 20736 1102 3000 1402 "21" "21" 0x00000900] + Pad[-4921 24134 -4921 20736 1102 3000 1402 "22" "22" 0x00000900] + Pad[-2952 24134 -2952 20736 1102 3000 1402 "23" "23" 0x00000900] + Pad[-984 24134 -984 20736 1102 3000 1402 "24" "24" 0x00000900] + Pad[985 24134 985 20736 1102 3000 1402 "25" "25" 0x00000900] + Pad[2953 24134 2953 20736 1102 3000 1402 "26" "26" 0x00000900] + Pad[4922 24134 4922 20736 1102 3000 1402 "27" "27" 0x00000900] + Pad[6890 24134 6890 20736 1102 3000 1402 "28" "28" 0x00000900] + Pad[8859 24134 8859 20736 1102 3000 1402 "29" "29" 0x00000900] + Pad[10827 24134 10827 20736 1102 3000 1402 "30" "30" 0x00000900] + Pad[12796 24134 12796 20736 1102 3000 1402 "31" "31" 0x00000900] + Pad[14764 24134 14764 20736 1102 3000 1402 "32" "32" 0x00000900] + # right row + Pad[24134 14763 20736 14763 1102 3000 1402 "33" "33" 0x00000100] + Pad[24134 12795 20736 12795 1102 3000 1402 "34" "34" 0x00000100] + Pad[24134 10826 20736 10826 1102 3000 1402 "35" "35" 0x00000100] + Pad[24134 8858 20736 8858 1102 3000 1402 "36" "36" 0x00000100] + Pad[24134 6889 20736 6889 1102 3000 1402 "37" "37" 0x00000100] + Pad[24134 4921 20736 4921 1102 3000 1402 "38" "38" 0x00000100] + Pad[24134 2952 20736 2952 1102 3000 1402 "39" "39" 0x00000100] + Pad[24134 984 20736 984 1102 3000 1402 "40" "40" 0x00000100] + Pad[24134 -985 20736 -985 1102 3000 1402 "41" "41" 0x00000100] + Pad[24134 -2953 20736 -2953 1102 3000 1402 "42" "42" 0x00000100] + Pad[24134 -4922 20736 -4922 1102 3000 1402 "43" "43" 0x00000100] + Pad[24134 -6890 20736 -6890 1102 3000 1402 "44" "44" 0x00000100] + Pad[24134 -8859 20736 -8859 1102 3000 1402 "45" "45" 0x00000100] + Pad[24134 -10827 20736 -10827 1102 3000 1402 "46" "46" 0x00000100] + Pad[24134 -12796 20736 -12796 1102 3000 1402 "47" "47" 0x00000100] + Pad[24134 -14764 20736 -14764 1102 3000 1402 "48" "48" 0x00000100] + # top row + Pad[14763 -24134 14763 -20736 1102 3000 1402 "49" "49" 0x00000900] + Pad[12795 -24134 12795 -20736 1102 3000 1402 "50" "50" 0x00000900] + Pad[10826 -24134 10826 -20736 1102 3000 1402 "51" "51" 0x00000900] + Pad[8858 -24134 8858 -20736 1102 3000 1402 "52" "52" 0x00000900] + Pad[6889 -24134 6889 -20736 1102 3000 1402 "53" "53" 0x00000900] + Pad[4921 -24134 4921 -20736 1102 3000 1402 "54" "54" 0x00000900] + Pad[2952 -24134 2952 -20736 1102 3000 1402 "55" "55" 0x00000900] + Pad[984 -24134 984 -20736 1102 3000 1402 "56" "56" 0x00000900] + Pad[-985 -24134 -985 -20736 1102 3000 1402 "57" "57" 0x00000900] + Pad[-2953 -24134 -2953 -20736 1102 3000 1402 "58" "58" 0x00000900] + Pad[-4922 -24134 -4922 -20736 1102 3000 1402 "59" "59" 0x00000900] + Pad[-6890 -24134 -6890 -20736 1102 3000 1402 "60" "60" 0x00000900] + Pad[-8859 -24134 -8859 -20736 1102 3000 1402 "61" "61" 0x00000900] + Pad[-10827 -24134 -10827 -20736 1102 3000 1402 "62" "62" 0x00000900] + Pad[-12796 -24134 -12796 -20736 1102 3000 1402 "63" "63" 0x00000900] + Pad[-14764 -24134 -14764 -20736 1102 3000 1402 "64" "64" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP64_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP64_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP64_14.fp (revision 1033) @@ -0,0 +1,83 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP64_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-31772 -23622 -28846 -23622 1574 3000 1874 "1" "1" 0x00000100] + Pad[-31772 -20473 -28846 -20473 1574 3000 1874 "2" "2" 0x00000100] + Pad[-31772 -17323 -28846 -17323 1574 3000 1874 "3" "3" 0x00000100] + Pad[-31772 -14174 -28846 -14174 1574 3000 1874 "4" "4" 0x00000100] + Pad[-31772 -11024 -28846 -11024 1574 3000 1874 "5" "5" 0x00000100] + Pad[-31772 -7874 -28846 -7874 1574 3000 1874 "6" "6" 0x00000100] + Pad[-31772 -4725 -28846 -4725 1574 3000 1874 "7" "7" 0x00000100] + Pad[-31772 -1575 -28846 -1575 1574 3000 1874 "8" "8" 0x00000100] + Pad[-31772 1574 -28846 1574 1574 3000 1874 "9" "9" 0x00000100] + Pad[-31772 4724 -28846 4724 1574 3000 1874 "10" "10" 0x00000100] + Pad[-31772 7874 -28846 7874 1574 3000 1874 "11" "11" 0x00000100] + Pad[-31772 11023 -28846 11023 1574 3000 1874 "12" "12" 0x00000100] + Pad[-31772 14173 -28846 14173 1574 3000 1874 "13" "13" 0x00000100] + Pad[-31772 17322 -28846 17322 1574 3000 1874 "14" "14" 0x00000100] + Pad[-31772 20472 -28846 20472 1574 3000 1874 "15" "15" 0x00000100] + Pad[-31772 23622 -28846 23622 1574 3000 1874 "16" "16" 0x00000100] + # bottom row + Pad[-23622 31772 -23622 28846 1574 3000 1874 "17" "17" 0x00000900] + Pad[-20473 31772 -20473 28846 1574 3000 1874 "18" "18" 0x00000900] + Pad[-17323 31772 -17323 28846 1574 3000 1874 "19" "19" 0x00000900] + Pad[-14174 31772 -14174 28846 1574 3000 1874 "20" "20" 0x00000900] + Pad[-11024 31772 -11024 28846 1574 3000 1874 "21" "21" 0x00000900] + Pad[-7874 31772 -7874 28846 1574 3000 1874 "22" "22" 0x00000900] + Pad[-4725 31772 -4725 28846 1574 3000 1874 "23" "23" 0x00000900] + Pad[-1575 31772 -1575 28846 1574 3000 1874 "24" "24" 0x00000900] + Pad[1574 31772 1574 28846 1574 3000 1874 "25" "25" 0x00000900] + Pad[4724 31772 4724 28846 1574 3000 1874 "26" "26" 0x00000900] + Pad[7874 31772 7874 28846 1574 3000 1874 "27" "27" 0x00000900] + Pad[11023 31772 11023 28846 1574 3000 1874 "28" "28" 0x00000900] + Pad[14173 31772 14173 28846 1574 3000 1874 "29" "29" 0x00000900] + Pad[17322 31772 17322 28846 1574 3000 1874 "30" "30" 0x00000900] + Pad[20472 31772 20472 28846 1574 3000 1874 "31" "31" 0x00000900] + Pad[23622 31772 23622 28846 1574 3000 1874 "32" "32" 0x00000900] + # right row + Pad[31772 23622 28846 23622 1574 3000 1874 "33" "33" 0x00000100] + Pad[31772 20473 28846 20473 1574 3000 1874 "34" "34" 0x00000100] + Pad[31772 17323 28846 17323 1574 3000 1874 "35" "35" 0x00000100] + Pad[31772 14174 28846 14174 1574 3000 1874 "36" "36" 0x00000100] + Pad[31772 11024 28846 11024 1574 3000 1874 "37" "37" 0x00000100] + Pad[31772 7874 28846 7874 1574 3000 1874 "38" "38" 0x00000100] + Pad[31772 4725 28846 4725 1574 3000 1874 "39" "39" 0x00000100] + Pad[31772 1575 28846 1575 1574 3000 1874 "40" "40" 0x00000100] + Pad[31772 -1574 28846 -1574 1574 3000 1874 "41" "41" 0x00000100] + Pad[31772 -4724 28846 -4724 1574 3000 1874 "42" "42" 0x00000100] + Pad[31772 -7874 28846 -7874 1574 3000 1874 "43" "43" 0x00000100] + Pad[31772 -11023 28846 -11023 1574 3000 1874 "44" "44" 0x00000100] + Pad[31772 -14173 28846 -14173 1574 3000 1874 "45" "45" 0x00000100] + Pad[31772 -17322 28846 -17322 1574 3000 1874 "46" "46" 0x00000100] + Pad[31772 -20472 28846 -20472 1574 3000 1874 "47" "47" 0x00000100] + Pad[31772 -23622 28846 -23622 1574 3000 1874 "48" "48" 0x00000100] + # top row + Pad[23622 -31772 23622 -28846 1574 3000 1874 "49" "49" 0x00000900] + Pad[20473 -31772 20473 -28846 1574 3000 1874 "50" "50" 0x00000900] + Pad[17323 -31772 17323 -28846 1574 3000 1874 "51" "51" 0x00000900] + Pad[14174 -31772 14174 -28846 1574 3000 1874 "52" "52" 0x00000900] + Pad[11024 -31772 11024 -28846 1574 3000 1874 "53" "53" 0x00000900] + Pad[7874 -31772 7874 -28846 1574 3000 1874 "54" "54" 0x00000900] + Pad[4725 -31772 4725 -28846 1574 3000 1874 "55" "55" 0x00000900] + Pad[1575 -31772 1575 -28846 1574 3000 1874 "56" "56" 0x00000900] + Pad[-1574 -31772 -1574 -28846 1574 3000 1874 "57" "57" 0x00000900] + Pad[-4724 -31772 -4724 -28846 1574 3000 1874 "58" "58" 0x00000900] + Pad[-7874 -31772 -7874 -28846 1574 3000 1874 "59" "59" 0x00000900] + Pad[-11023 -31772 -11023 -28846 1574 3000 1874 "60" "60" 0x00000900] + Pad[-14173 -31772 -14173 -28846 1574 3000 1874 "61" "61" 0x00000900] + Pad[-17322 -31772 -17322 -28846 1574 3000 1874 "62" "62" 0x00000900] + Pad[-20472 -31772 -20472 -28846 1574 3000 1874 "63" "63" 0x00000900] + Pad[-23622 -31772 -23622 -28846 1574 3000 1874 "64" "64" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP64_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP64_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP64_7.fp (revision 1033) @@ -0,0 +1,83 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP64_7" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-18287 -11811 -14771 -11811 984 3000 1284 "1" "1" 0x00000100] + Pad[-18287 -10237 -14771 -10237 984 3000 1284 "2" "2" 0x00000100] + Pad[-18287 -8662 -14771 -8662 984 3000 1284 "3" "3" 0x00000100] + Pad[-18287 -7087 -14771 -7087 984 3000 1284 "4" "4" 0x00000100] + Pad[-18287 -5512 -14771 -5512 984 3000 1284 "5" "5" 0x00000100] + Pad[-18287 -3937 -14771 -3937 984 3000 1284 "6" "6" 0x00000100] + Pad[-18287 -2363 -14771 -2363 984 3000 1284 "7" "7" 0x00000100] + Pad[-18287 -788 -14771 -788 984 3000 1284 "8" "8" 0x00000100] + Pad[-18287 787 -14771 787 984 3000 1284 "9" "9" 0x00000100] + Pad[-18287 2362 -14771 2362 984 3000 1284 "10" "10" 0x00000100] + Pad[-18287 3937 -14771 3937 984 3000 1284 "11" "11" 0x00000100] + Pad[-18287 5511 -14771 5511 984 3000 1284 "12" "12" 0x00000100] + Pad[-18287 7086 -14771 7086 984 3000 1284 "13" "13" 0x00000100] + Pad[-18287 8661 -14771 8661 984 3000 1284 "14" "14" 0x00000100] + Pad[-18287 10236 -14771 10236 984 3000 1284 "15" "15" 0x00000100] + Pad[-18287 11811 -14771 11811 984 3000 1284 "16" "16" 0x00000100] + # bottom row + Pad[-11811 18287 -11811 14771 984 3000 1284 "17" "17" 0x00000900] + Pad[-10237 18287 -10237 14771 984 3000 1284 "18" "18" 0x00000900] + Pad[-8662 18287 -8662 14771 984 3000 1284 "19" "19" 0x00000900] + Pad[-7087 18287 -7087 14771 984 3000 1284 "20" "20" 0x00000900] + Pad[-5512 18287 -5512 14771 984 3000 1284 "21" "21" 0x00000900] + Pad[-3937 18287 -3937 14771 984 3000 1284 "22" "22" 0x00000900] + Pad[-2363 18287 -2363 14771 984 3000 1284 "23" "23" 0x00000900] + Pad[-788 18287 -788 14771 984 3000 1284 "24" "24" 0x00000900] + Pad[787 18287 787 14771 984 3000 1284 "25" "25" 0x00000900] + Pad[2362 18287 2362 14771 984 3000 1284 "26" "26" 0x00000900] + Pad[3937 18287 3937 14771 984 3000 1284 "27" "27" 0x00000900] + Pad[5511 18287 5511 14771 984 3000 1284 "28" "28" 0x00000900] + Pad[7086 18287 7086 14771 984 3000 1284 "29" "29" 0x00000900] + Pad[8661 18287 8661 14771 984 3000 1284 "30" "30" 0x00000900] + Pad[10236 18287 10236 14771 984 3000 1284 "31" "31" 0x00000900] + Pad[11811 18287 11811 14771 984 3000 1284 "32" "32" 0x00000900] + # right row + Pad[18287 11811 14771 11811 984 3000 1284 "33" "33" 0x00000100] + Pad[18287 10237 14771 10237 984 3000 1284 "34" "34" 0x00000100] + Pad[18287 8662 14771 8662 984 3000 1284 "35" "35" 0x00000100] + Pad[18287 7087 14771 7087 984 3000 1284 "36" "36" 0x00000100] + Pad[18287 5512 14771 5512 984 3000 1284 "37" "37" 0x00000100] + Pad[18287 3937 14771 3937 984 3000 1284 "38" "38" 0x00000100] + Pad[18287 2363 14771 2363 984 3000 1284 "39" "39" 0x00000100] + Pad[18287 788 14771 788 984 3000 1284 "40" "40" 0x00000100] + Pad[18287 -787 14771 -787 984 3000 1284 "41" "41" 0x00000100] + Pad[18287 -2362 14771 -2362 984 3000 1284 "42" "42" 0x00000100] + Pad[18287 -3937 14771 -3937 984 3000 1284 "43" "43" 0x00000100] + Pad[18287 -5511 14771 -5511 984 3000 1284 "44" "44" 0x00000100] + Pad[18287 -7086 14771 -7086 984 3000 1284 "45" "45" 0x00000100] + Pad[18287 -8661 14771 -8661 984 3000 1284 "46" "46" 0x00000100] + Pad[18287 -10236 14771 -10236 984 3000 1284 "47" "47" 0x00000100] + Pad[18287 -11811 14771 -11811 984 3000 1284 "48" "48" 0x00000100] + # top row + Pad[11811 -18287 11811 -14771 984 3000 1284 "49" "49" 0x00000900] + Pad[10237 -18287 10237 -14771 984 3000 1284 "50" "50" 0x00000900] + Pad[8662 -18287 8662 -14771 984 3000 1284 "51" "51" 0x00000900] + Pad[7087 -18287 7087 -14771 984 3000 1284 "52" "52" 0x00000900] + Pad[5512 -18287 5512 -14771 984 3000 1284 "53" "53" 0x00000900] + Pad[3937 -18287 3937 -14771 984 3000 1284 "54" "54" 0x00000900] + Pad[2363 -18287 2363 -14771 984 3000 1284 "55" "55" 0x00000900] + Pad[788 -18287 788 -14771 984 3000 1284 "56" "56" 0x00000900] + Pad[-787 -18287 -787 -14771 984 3000 1284 "57" "57" 0x00000900] + Pad[-2362 -18287 -2362 -14771 984 3000 1284 "58" "58" 0x00000900] + Pad[-3937 -18287 -3937 -14771 984 3000 1284 "59" "59" 0x00000900] + Pad[-5511 -18287 -5511 -14771 984 3000 1284 "60" "60" 0x00000900] + Pad[-7086 -18287 -7086 -14771 984 3000 1284 "61" "61" 0x00000900] + Pad[-8661 -18287 -8661 -14771 984 3000 1284 "62" "62" 0x00000900] + Pad[-10236 -18287 -10236 -14771 984 3000 1284 "63" "63" 0x00000900] + Pad[-11811 -18287 -11811 -14771 984 3000 1284 "64" "64" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-10479 -13379 13379 -13379 800] + # right + ElementLine[13379 -13379 13379 13379 800] + # bottom + ElementLine[13379 13379 -13379 13379 800] + # left + ElementLine[-13379 13379 -13379 -10479 800] + # angled corner + ElementLine[-13379 -10479 -10479 -13379 800] + ElementArc[-10479 -10479 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP72_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP72_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP72_10.fp (revision 1033) @@ -0,0 +1,91 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP72_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-24134 -16732 -20736 -16732 1102 3000 1402 "1" "1" 0x00000100] + Pad[-24134 -14764 -20736 -14764 1102 3000 1402 "2" "2" 0x00000100] + Pad[-24134 -12795 -20736 -12795 1102 3000 1402 "3" "3" 0x00000100] + Pad[-24134 -10827 -20736 -10827 1102 3000 1402 "4" "4" 0x00000100] + Pad[-24134 -8858 -20736 -8858 1102 3000 1402 "5" "5" 0x00000100] + Pad[-24134 -6890 -20736 -6890 1102 3000 1402 "6" "6" 0x00000100] + Pad[-24134 -4921 -20736 -4921 1102 3000 1402 "7" "7" 0x00000100] + Pad[-24134 -2953 -20736 -2953 1102 3000 1402 "8" "8" 0x00000100] + Pad[-24134 -984 -20736 -984 1102 3000 1402 "9" "9" 0x00000100] + Pad[-24134 984 -20736 984 1102 3000 1402 "10" "10" 0x00000100] + Pad[-24134 2953 -20736 2953 1102 3000 1402 "11" "11" 0x00000100] + Pad[-24134 4921 -20736 4921 1102 3000 1402 "12" "12" 0x00000100] + Pad[-24134 6890 -20736 6890 1102 3000 1402 "13" "13" 0x00000100] + Pad[-24134 8858 -20736 8858 1102 3000 1402 "14" "14" 0x00000100] + Pad[-24134 10827 -20736 10827 1102 3000 1402 "15" "15" 0x00000100] + Pad[-24134 12795 -20736 12795 1102 3000 1402 "16" "16" 0x00000100] + Pad[-24134 14764 -20736 14764 1102 3000 1402 "17" "17" 0x00000100] + Pad[-24134 16732 -20736 16732 1102 3000 1402 "18" "18" 0x00000100] + # bottom row + Pad[-16732 24134 -16732 20736 1102 3000 1402 "19" "19" 0x00000900] + Pad[-14764 24134 -14764 20736 1102 3000 1402 "20" "20" 0x00000900] + Pad[-12795 24134 -12795 20736 1102 3000 1402 "21" "21" 0x00000900] + Pad[-10827 24134 -10827 20736 1102 3000 1402 "22" "22" 0x00000900] + Pad[-8858 24134 -8858 20736 1102 3000 1402 "23" "23" 0x00000900] + Pad[-6890 24134 -6890 20736 1102 3000 1402 "24" "24" 0x00000900] + Pad[-4921 24134 -4921 20736 1102 3000 1402 "25" "25" 0x00000900] + Pad[-2953 24134 -2953 20736 1102 3000 1402 "26" "26" 0x00000900] + Pad[-984 24134 -984 20736 1102 3000 1402 "27" "27" 0x00000900] + Pad[984 24134 984 20736 1102 3000 1402 "28" "28" 0x00000900] + Pad[2953 24134 2953 20736 1102 3000 1402 "29" "29" 0x00000900] + Pad[4921 24134 4921 20736 1102 3000 1402 "30" "30" 0x00000900] + Pad[6890 24134 6890 20736 1102 3000 1402 "31" "31" 0x00000900] + Pad[8858 24134 8858 20736 1102 3000 1402 "32" "32" 0x00000900] + Pad[10827 24134 10827 20736 1102 3000 1402 "33" "33" 0x00000900] + Pad[12795 24134 12795 20736 1102 3000 1402 "34" "34" 0x00000900] + Pad[14764 24134 14764 20736 1102 3000 1402 "35" "35" 0x00000900] + Pad[16732 24134 16732 20736 1102 3000 1402 "36" "36" 0x00000900] + # right row + Pad[24134 16732 20736 16732 1102 3000 1402 "37" "37" 0x00000100] + Pad[24134 14764 20736 14764 1102 3000 1402 "38" "38" 0x00000100] + Pad[24134 12795 20736 12795 1102 3000 1402 "39" "39" 0x00000100] + Pad[24134 10827 20736 10827 1102 3000 1402 "40" "40" 0x00000100] + Pad[24134 8858 20736 8858 1102 3000 1402 "41" "41" 0x00000100] + Pad[24134 6890 20736 6890 1102 3000 1402 "42" "42" 0x00000100] + Pad[24134 4921 20736 4921 1102 3000 1402 "43" "43" 0x00000100] + Pad[24134 2953 20736 2953 1102 3000 1402 "44" "44" 0x00000100] + Pad[24134 984 20736 984 1102 3000 1402 "45" "45" 0x00000100] + Pad[24134 -984 20736 -984 1102 3000 1402 "46" "46" 0x00000100] + Pad[24134 -2953 20736 -2953 1102 3000 1402 "47" "47" 0x00000100] + Pad[24134 -4921 20736 -4921 1102 3000 1402 "48" "48" 0x00000100] + Pad[24134 -6890 20736 -6890 1102 3000 1402 "49" "49" 0x00000100] + Pad[24134 -8858 20736 -8858 1102 3000 1402 "50" "50" 0x00000100] + Pad[24134 -10827 20736 -10827 1102 3000 1402 "51" "51" 0x00000100] + Pad[24134 -12795 20736 -12795 1102 3000 1402 "52" "52" 0x00000100] + Pad[24134 -14764 20736 -14764 1102 3000 1402 "53" "53" 0x00000100] + Pad[24134 -16732 20736 -16732 1102 3000 1402 "54" "54" 0x00000100] + # top row + Pad[16732 -24134 16732 -20736 1102 3000 1402 "55" "55" 0x00000900] + Pad[14764 -24134 14764 -20736 1102 3000 1402 "56" "56" 0x00000900] + Pad[12795 -24134 12795 -20736 1102 3000 1402 "57" "57" 0x00000900] + Pad[10827 -24134 10827 -20736 1102 3000 1402 "58" "58" 0x00000900] + Pad[8858 -24134 8858 -20736 1102 3000 1402 "59" "59" 0x00000900] + Pad[6890 -24134 6890 -20736 1102 3000 1402 "60" "60" 0x00000900] + Pad[4921 -24134 4921 -20736 1102 3000 1402 "61" "61" 0x00000900] + Pad[2953 -24134 2953 -20736 1102 3000 1402 "62" "62" 0x00000900] + Pad[984 -24134 984 -20736 1102 3000 1402 "63" "63" 0x00000900] + Pad[-984 -24134 -984 -20736 1102 3000 1402 "64" "64" 0x00000900] + Pad[-2953 -24134 -2953 -20736 1102 3000 1402 "65" "65" 0x00000900] + Pad[-4921 -24134 -4921 -20736 1102 3000 1402 "66" "66" 0x00000900] + Pad[-6890 -24134 -6890 -20736 1102 3000 1402 "67" "67" 0x00000900] + Pad[-8858 -24134 -8858 -20736 1102 3000 1402 "68" "68" 0x00000900] + Pad[-10827 -24134 -10827 -20736 1102 3000 1402 "69" "69" 0x00000900] + Pad[-12795 -24134 -12795 -20736 1102 3000 1402 "70" "70" 0x00000900] + Pad[-14764 -24134 -14764 -20736 1102 3000 1402 "71" "71" 0x00000900] + Pad[-16732 -24134 -16732 -20736 1102 3000 1402 "72" "72" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP80_12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP80_12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP80_12.fp (revision 1033) @@ -0,0 +1,99 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP80_12" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-28071 -18700 -24673 -18700 1102 3000 1402 "1" "1" 0x00000100] + Pad[-28071 -16732 -24673 -16732 1102 3000 1402 "2" "2" 0x00000100] + Pad[-28071 -14763 -24673 -14763 1102 3000 1402 "3" "3" 0x00000100] + Pad[-28071 -12795 -24673 -12795 1102 3000 1402 "4" "4" 0x00000100] + Pad[-28071 -10826 -24673 -10826 1102 3000 1402 "5" "5" 0x00000100] + Pad[-28071 -8858 -24673 -8858 1102 3000 1402 "6" "6" 0x00000100] + Pad[-28071 -6889 -24673 -6889 1102 3000 1402 "7" "7" 0x00000100] + Pad[-28071 -4921 -24673 -4921 1102 3000 1402 "8" "8" 0x00000100] + Pad[-28071 -2952 -24673 -2952 1102 3000 1402 "9" "9" 0x00000100] + Pad[-28071 -984 -24673 -984 1102 3000 1402 "10" "10" 0x00000100] + Pad[-28071 985 -24673 985 1102 3000 1402 "11" "11" 0x00000100] + Pad[-28071 2953 -24673 2953 1102 3000 1402 "12" "12" 0x00000100] + Pad[-28071 4922 -24673 4922 1102 3000 1402 "13" "13" 0x00000100] + Pad[-28071 6890 -24673 6890 1102 3000 1402 "14" "14" 0x00000100] + Pad[-28071 8859 -24673 8859 1102 3000 1402 "15" "15" 0x00000100] + Pad[-28071 10827 -24673 10827 1102 3000 1402 "16" "16" 0x00000100] + Pad[-28071 12796 -24673 12796 1102 3000 1402 "17" "17" 0x00000100] + Pad[-28071 14764 -24673 14764 1102 3000 1402 "18" "18" 0x00000100] + Pad[-28071 16733 -24673 16733 1102 3000 1402 "19" "19" 0x00000100] + Pad[-28071 18701 -24673 18701 1102 3000 1402 "20" "20" 0x00000100] + # bottom row + Pad[-18700 28071 -18700 24673 1102 3000 1402 "21" "21" 0x00000900] + Pad[-16732 28071 -16732 24673 1102 3000 1402 "22" "22" 0x00000900] + Pad[-14763 28071 -14763 24673 1102 3000 1402 "23" "23" 0x00000900] + Pad[-12795 28071 -12795 24673 1102 3000 1402 "24" "24" 0x00000900] + Pad[-10826 28071 -10826 24673 1102 3000 1402 "25" "25" 0x00000900] + Pad[-8858 28071 -8858 24673 1102 3000 1402 "26" "26" 0x00000900] + Pad[-6889 28071 -6889 24673 1102 3000 1402 "27" "27" 0x00000900] + Pad[-4921 28071 -4921 24673 1102 3000 1402 "28" "28" 0x00000900] + Pad[-2952 28071 -2952 24673 1102 3000 1402 "29" "29" 0x00000900] + Pad[-984 28071 -984 24673 1102 3000 1402 "30" "30" 0x00000900] + Pad[985 28071 985 24673 1102 3000 1402 "31" "31" 0x00000900] + Pad[2953 28071 2953 24673 1102 3000 1402 "32" "32" 0x00000900] + Pad[4922 28071 4922 24673 1102 3000 1402 "33" "33" 0x00000900] + Pad[6890 28071 6890 24673 1102 3000 1402 "34" "34" 0x00000900] + Pad[8859 28071 8859 24673 1102 3000 1402 "35" "35" 0x00000900] + Pad[10827 28071 10827 24673 1102 3000 1402 "36" "36" 0x00000900] + Pad[12796 28071 12796 24673 1102 3000 1402 "37" "37" 0x00000900] + Pad[14764 28071 14764 24673 1102 3000 1402 "38" "38" 0x00000900] + Pad[16733 28071 16733 24673 1102 3000 1402 "39" "39" 0x00000900] + Pad[18701 28071 18701 24673 1102 3000 1402 "40" "40" 0x00000900] + # right row + Pad[28071 18700 24673 18700 1102 3000 1402 "41" "41" 0x00000100] + Pad[28071 16732 24673 16732 1102 3000 1402 "42" "42" 0x00000100] + Pad[28071 14763 24673 14763 1102 3000 1402 "43" "43" 0x00000100] + Pad[28071 12795 24673 12795 1102 3000 1402 "44" "44" 0x00000100] + Pad[28071 10826 24673 10826 1102 3000 1402 "45" "45" 0x00000100] + Pad[28071 8858 24673 8858 1102 3000 1402 "46" "46" 0x00000100] + Pad[28071 6889 24673 6889 1102 3000 1402 "47" "47" 0x00000100] + Pad[28071 4921 24673 4921 1102 3000 1402 "48" "48" 0x00000100] + Pad[28071 2952 24673 2952 1102 3000 1402 "49" "49" 0x00000100] + Pad[28071 984 24673 984 1102 3000 1402 "50" "50" 0x00000100] + Pad[28071 -985 24673 -985 1102 3000 1402 "51" "51" 0x00000100] + Pad[28071 -2953 24673 -2953 1102 3000 1402 "52" "52" 0x00000100] + Pad[28071 -4922 24673 -4922 1102 3000 1402 "53" "53" 0x00000100] + Pad[28071 -6890 24673 -6890 1102 3000 1402 "54" "54" 0x00000100] + Pad[28071 -8859 24673 -8859 1102 3000 1402 "55" "55" 0x00000100] + Pad[28071 -10827 24673 -10827 1102 3000 1402 "56" "56" 0x00000100] + Pad[28071 -12796 24673 -12796 1102 3000 1402 "57" "57" 0x00000100] + Pad[28071 -14764 24673 -14764 1102 3000 1402 "58" "58" 0x00000100] + Pad[28071 -16733 24673 -16733 1102 3000 1402 "59" "59" 0x00000100] + Pad[28071 -18701 24673 -18701 1102 3000 1402 "60" "60" 0x00000100] + # top row + Pad[18700 -28071 18700 -24673 1102 3000 1402 "61" "61" 0x00000900] + Pad[16732 -28071 16732 -24673 1102 3000 1402 "62" "62" 0x00000900] + Pad[14763 -28071 14763 -24673 1102 3000 1402 "63" "63" 0x00000900] + Pad[12795 -28071 12795 -24673 1102 3000 1402 "64" "64" 0x00000900] + Pad[10826 -28071 10826 -24673 1102 3000 1402 "65" "65" 0x00000900] + Pad[8858 -28071 8858 -24673 1102 3000 1402 "66" "66" 0x00000900] + Pad[6889 -28071 6889 -24673 1102 3000 1402 "67" "67" 0x00000900] + Pad[4921 -28071 4921 -24673 1102 3000 1402 "68" "68" 0x00000900] + Pad[2952 -28071 2952 -24673 1102 3000 1402 "69" "69" 0x00000900] + Pad[984 -28071 984 -24673 1102 3000 1402 "70" "70" 0x00000900] + Pad[-985 -28071 -985 -24673 1102 3000 1402 "71" "71" 0x00000900] + Pad[-2953 -28071 -2953 -24673 1102 3000 1402 "72" "72" 0x00000900] + Pad[-4922 -28071 -4922 -24673 1102 3000 1402 "73" "73" 0x00000900] + Pad[-6890 -28071 -6890 -24673 1102 3000 1402 "74" "74" 0x00000900] + Pad[-8859 -28071 -8859 -24673 1102 3000 1402 "75" "75" 0x00000900] + Pad[-10827 -28071 -10827 -24673 1102 3000 1402 "76" "76" 0x00000900] + Pad[-12796 -28071 -12796 -24673 1102 3000 1402 "77" "77" 0x00000900] + Pad[-14764 -28071 -14764 -24673 1102 3000 1402 "78" "78" 0x00000900] + Pad[-16733 -28071 -16733 -24673 1102 3000 1402 "79" "79" 0x00000900] + Pad[-18701 -28071 -18701 -24673 1102 3000 1402 "80" "80" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-20322 -23222 23222 -23222 800] + # right + ElementLine[23222 -23222 23222 23222 800] + # bottom + ElementLine[23222 23222 -23222 23222 800] + # left + ElementLine[-23222 23222 -23222 -20322 800] + # angled corner + ElementLine[-23222 -20322 -20322 -23222 800] + ElementArc[-20322 -20322 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/LQFP80_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/LQFP80_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/LQFP80_14.fp (revision 1033) @@ -0,0 +1,99 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "LQFP80_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-31772 -24310 -28846 -24310 1574 3000 1874 "1" "1" 0x00000100] + Pad[-31772 -21751 -28846 -21751 1574 3000 1874 "2" "2" 0x00000100] + Pad[-31772 -19192 -28846 -19192 1574 3000 1874 "3" "3" 0x00000100] + Pad[-31772 -16633 -28846 -16633 1574 3000 1874 "4" "4" 0x00000100] + Pad[-31772 -14074 -28846 -14074 1574 3000 1874 "5" "5" 0x00000100] + Pad[-31772 -11515 -28846 -11515 1574 3000 1874 "6" "6" 0x00000100] + Pad[-31772 -8956 -28846 -8956 1574 3000 1874 "7" "7" 0x00000100] + Pad[-31772 -6397 -28846 -6397 1574 3000 1874 "8" "8" 0x00000100] + Pad[-31772 -3838 -28846 -3838 1574 3000 1874 "9" "9" 0x00000100] + Pad[-31772 -1279 -28846 -1279 1574 3000 1874 "10" "10" 0x00000100] + Pad[-31772 1280 -28846 1280 1574 3000 1874 "11" "11" 0x00000100] + Pad[-31772 3839 -28846 3839 1574 3000 1874 "12" "12" 0x00000100] + Pad[-31772 6398 -28846 6398 1574 3000 1874 "13" "13" 0x00000100] + Pad[-31772 8957 -28846 8957 1574 3000 1874 "14" "14" 0x00000100] + Pad[-31772 11516 -28846 11516 1574 3000 1874 "15" "15" 0x00000100] + Pad[-31772 14075 -28846 14075 1574 3000 1874 "16" "16" 0x00000100] + Pad[-31772 16634 -28846 16634 1574 3000 1874 "17" "17" 0x00000100] + Pad[-31772 19193 -28846 19193 1574 3000 1874 "18" "18" 0x00000100] + Pad[-31772 21752 -28846 21752 1574 3000 1874 "19" "19" 0x00000100] + Pad[-31772 24311 -28846 24311 1574 3000 1874 "20" "20" 0x00000100] + # bottom row + Pad[-24310 31772 -24310 28846 1574 3000 1874 "21" "21" 0x00000900] + Pad[-21751 31772 -21751 28846 1574 3000 1874 "22" "22" 0x00000900] + Pad[-19192 31772 -19192 28846 1574 3000 1874 "23" "23" 0x00000900] + Pad[-16633 31772 -16633 28846 1574 3000 1874 "24" "24" 0x00000900] + Pad[-14074 31772 -14074 28846 1574 3000 1874 "25" "25" 0x00000900] + Pad[-11515 31772 -11515 28846 1574 3000 1874 "26" "26" 0x00000900] + Pad[-8956 31772 -8956 28846 1574 3000 1874 "27" "27" 0x00000900] + Pad[-6397 31772 -6397 28846 1574 3000 1874 "28" "28" 0x00000900] + Pad[-3838 31772 -3838 28846 1574 3000 1874 "29" "29" 0x00000900] + Pad[-1279 31772 -1279 28846 1574 3000 1874 "30" "30" 0x00000900] + Pad[1280 31772 1280 28846 1574 3000 1874 "31" "31" 0x00000900] + Pad[3839 31772 3839 28846 1574 3000 1874 "32" "32" 0x00000900] + Pad[6398 31772 6398 28846 1574 3000 1874 "33" "33" 0x00000900] + Pad[8957 31772 8957 28846 1574 3000 1874 "34" "34" 0x00000900] + Pad[11516 31772 11516 28846 1574 3000 1874 "35" "35" 0x00000900] + Pad[14075 31772 14075 28846 1574 3000 1874 "36" "36" 0x00000900] + Pad[16634 31772 16634 28846 1574 3000 1874 "37" "37" 0x00000900] + Pad[19193 31772 19193 28846 1574 3000 1874 "38" "38" 0x00000900] + Pad[21752 31772 21752 28846 1574 3000 1874 "39" "39" 0x00000900] + Pad[24311 31772 24311 28846 1574 3000 1874 "40" "40" 0x00000900] + # right row + Pad[31772 24310 28846 24310 1574 3000 1874 "41" "41" 0x00000100] + Pad[31772 21751 28846 21751 1574 3000 1874 "42" "42" 0x00000100] + Pad[31772 19192 28846 19192 1574 3000 1874 "43" "43" 0x00000100] + Pad[31772 16633 28846 16633 1574 3000 1874 "44" "44" 0x00000100] + Pad[31772 14074 28846 14074 1574 3000 1874 "45" "45" 0x00000100] + Pad[31772 11515 28846 11515 1574 3000 1874 "46" "46" 0x00000100] + Pad[31772 8956 28846 8956 1574 3000 1874 "47" "47" 0x00000100] + Pad[31772 6397 28846 6397 1574 3000 1874 "48" "48" 0x00000100] + Pad[31772 3838 28846 3838 1574 3000 1874 "49" "49" 0x00000100] + Pad[31772 1279 28846 1279 1574 3000 1874 "50" "50" 0x00000100] + Pad[31772 -1280 28846 -1280 1574 3000 1874 "51" "51" 0x00000100] + Pad[31772 -3839 28846 -3839 1574 3000 1874 "52" "52" 0x00000100] + Pad[31772 -6398 28846 -6398 1574 3000 1874 "53" "53" 0x00000100] + Pad[31772 -8957 28846 -8957 1574 3000 1874 "54" "54" 0x00000100] + Pad[31772 -11516 28846 -11516 1574 3000 1874 "55" "55" 0x00000100] + Pad[31772 -14075 28846 -14075 1574 3000 1874 "56" "56" 0x00000100] + Pad[31772 -16634 28846 -16634 1574 3000 1874 "57" "57" 0x00000100] + Pad[31772 -19193 28846 -19193 1574 3000 1874 "58" "58" 0x00000100] + Pad[31772 -21752 28846 -21752 1574 3000 1874 "59" "59" 0x00000100] + Pad[31772 -24311 28846 -24311 1574 3000 1874 "60" "60" 0x00000100] + # top row + Pad[24310 -31772 24310 -28846 1574 3000 1874 "61" "61" 0x00000900] + Pad[21751 -31772 21751 -28846 1574 3000 1874 "62" "62" 0x00000900] + Pad[19192 -31772 19192 -28846 1574 3000 1874 "63" "63" 0x00000900] + Pad[16633 -31772 16633 -28846 1574 3000 1874 "64" "64" 0x00000900] + Pad[14074 -31772 14074 -28846 1574 3000 1874 "65" "65" 0x00000900] + Pad[11515 -31772 11515 -28846 1574 3000 1874 "66" "66" 0x00000900] + Pad[8956 -31772 8956 -28846 1574 3000 1874 "67" "67" 0x00000900] + Pad[6397 -31772 6397 -28846 1574 3000 1874 "68" "68" 0x00000900] + Pad[3838 -31772 3838 -28846 1574 3000 1874 "69" "69" 0x00000900] + Pad[1279 -31772 1279 -28846 1574 3000 1874 "70" "70" 0x00000900] + Pad[-1280 -31772 -1280 -28846 1574 3000 1874 "71" "71" 0x00000900] + Pad[-3839 -31772 -3839 -28846 1574 3000 1874 "72" "72" 0x00000900] + Pad[-6398 -31772 -6398 -28846 1574 3000 1874 "73" "73" 0x00000900] + Pad[-8957 -31772 -8957 -28846 1574 3000 1874 "74" "74" 0x00000900] + Pad[-11516 -31772 -11516 -28846 1574 3000 1874 "75" "75" 0x00000900] + Pad[-14075 -31772 -14075 -28846 1574 3000 1874 "76" "76" 0x00000900] + Pad[-16634 -31772 -16634 -28846 1574 3000 1874 "77" "77" 0x00000900] + Pad[-19193 -31772 -19193 -28846 1574 3000 1874 "78" "78" 0x00000900] + Pad[-21752 -31772 -21752 -28846 1574 3000 1874 "79" "79" 0x00000900] + Pad[-24311 -31772 -24311 -28846 1574 3000 1874 "80" "80" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/MSOP10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MSOP10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MSOP10.fp (revision 1033) @@ -0,0 +1,20 @@ +Element(0x00 "Mini small outline package, .5mm" "" "MSOP10" 121 50 3 100 0x00) +( + Pad(6 9 32 9 12 "1" 0x00) + Pad(6 29 32 29 12 "2" 0x100) + Pad(6 49 32 49 12 "3" 0x100) + Pad(6 68 32 68 12 "4" 0x100) + Pad(6 88 32 88 12 "5" 0x100) + Pad(171 89 197 89 12 "6" 0x100) + Pad(171 69 197 69 12 "7" 0x100) + Pad(171 49 197 49 12 "8" 0x100) + Pad(171 30 197 30 12 "9" 0x100) + Pad(171 10 197 10 12 "10" 0x100) + ElementLine(-10 -10 82 -10 10) + ElementArc(101 -10 19 19 0 180 10) + ElementLine(120 -10 213 -10 10) + ElementLine(213 -10 213 108 10) + ElementLine(213 108 -10 108 10) + ElementLine(-10 108 -10 -10 10) + Mark(22 9) +) Index: oldlib/lib/pcblib-newlib/geda/MSOP8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MSOP8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MSOP8.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "Mini small outline package, .65mm" "" "MSOP8" 122 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 38 30 38 16 "2" 0x100) + Pad(8 63 30 63 16 "3" 0x100) + Pad(8 89 30 89 16 "4" 0x100) + Pad(175 90 197 90 16 "5" 0x100) + Pad(175 64 197 64 16 "6" 0x100) + Pad(175 39 197 39 16 "7" 0x100) + Pad(175 13 197 13 16 "8" 0x100) + ElementLine(-10 -10 77 -10 10) + ElementArc(102 -10 25 25 0 180 10) + ElementLine(127 -10 215 -10 10) + ElementLine(215 -10 215 112 10) + ElementLine(215 112 -10 112 10) + ElementLine(-10 112 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_10.fp (revision 1033) @@ -0,0 +1,19 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_10" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + Pin(800 0 60 38 "9" 0x01) + Pin(900 0 60 38 "10" 0x01) + ElementLine(-75 -115 975 -115 10) + ElementLine(975 -115 975 135 10) + ElementLine(975 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(975 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_11.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_11.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_11.fp (revision 1033) @@ -0,0 +1,20 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_11" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + Pin(800 0 60 38 "9" 0x01) + Pin(900 0 60 38 "10" 0x01) + Pin(1000 0 60 38 "11" 0x01) + ElementLine(-75 -115 1075 -115 10) + ElementLine(1075 -115 1075 135 10) + ElementLine(1075 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(1075 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_12.fp (revision 1033) @@ -0,0 +1,21 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_12" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + Pin(800 0 60 38 "9" 0x01) + Pin(900 0 60 38 "10" 0x01) + Pin(1000 0 60 38 "11" 0x01) + Pin(1100 0 60 38 "12" 0x01) + ElementLine(-75 -115 1175 -115 10) + ElementLine(1175 -115 1175 135 10) + ElementLine(1175 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(1175 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_13.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_13.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_13.fp (revision 1033) @@ -0,0 +1,22 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_13" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + Pin(800 0 60 38 "9" 0x01) + Pin(900 0 60 38 "10" 0x01) + Pin(1000 0 60 38 "11" 0x01) + Pin(1100 0 60 38 "12" 0x01) + Pin(1200 0 60 38 "13" 0x01) + ElementLine(-75 -115 1275 -115 10) + ElementLine(1275 -115 1275 135 10) + ElementLine(1275 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(1275 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_14.fp (revision 1033) @@ -0,0 +1,23 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_14" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + Pin(800 0 60 38 "9" 0x01) + Pin(900 0 60 38 "10" 0x01) + Pin(1000 0 60 38 "11" 0x01) + Pin(1100 0 60 38 "12" 0x01) + Pin(1200 0 60 38 "13" 0x01) + Pin(1300 0 60 38 "14" 0x01) + ElementLine(-75 -115 1375 -115 10) + ElementLine(1375 -115 1375 135 10) + ElementLine(1375 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(1375 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_15.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_15.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_15.fp (revision 1033) @@ -0,0 +1,24 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_15" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + Pin(800 0 60 38 "9" 0x01) + Pin(900 0 60 38 "10" 0x01) + Pin(1000 0 60 38 "11" 0x01) + Pin(1100 0 60 38 "12" 0x01) + Pin(1200 0 60 38 "13" 0x01) + Pin(1300 0 60 38 "14" 0x01) + Pin(1400 0 60 38 "15" 0x01) + ElementLine(-75 -115 1475 -115 10) + ElementLine(1475 -115 1475 135 10) + ElementLine(1475 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(1475 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_2.fp (revision 1033) @@ -0,0 +1,11 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_2" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + ElementLine(-75 -115 175 -115 10) + ElementLine(175 -115 175 135 10) + ElementLine(175 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(175 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_3.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_3.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_3" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + ElementLine(-75 -115 275 -115 10) + ElementLine(275 -115 275 135 10) + ElementLine(275 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(275 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_4.fp (revision 1033) @@ -0,0 +1,13 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_4" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + ElementLine(-75 -115 375 -115 10) + ElementLine(375 -115 375 135 10) + ElementLine(375 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(375 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_5.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_5" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + ElementLine(-75 -115 475 -115 10) + ElementLine(475 -115 475 135 10) + ElementLine(475 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(475 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_6.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_6" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + ElementLine(-75 -115 575 -115 10) + ElementLine(575 -115 575 135 10) + ElementLine(575 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(575 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_7.fp (revision 1033) @@ -0,0 +1,16 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_7" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + ElementLine(-75 -115 675 -115 10) + ElementLine(675 -115 675 135 10) + ElementLine(675 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(675 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_8.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_8" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + ElementLine(-75 -115 775 -115 10) + ElementLine(775 -115 775 135 10) + ElementLine(775 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(775 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/MTA100_9.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/MTA100_9.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/MTA100_9.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "MTA Connector, (0.100 inch pitch)" "" "MTA100_9" 0 140 0 150 0x00) +( + Pin(0 0 60 38 "1" 0x101) + Pin(100 0 60 38 "2" 0x01) + Pin(200 0 60 38 "3" 0x01) + Pin(300 0 60 38 "4" 0x01) + Pin(400 0 60 38 "5" 0x01) + Pin(500 0 60 38 "6" 0x01) + Pin(600 0 60 38 "7" 0x01) + Pin(700 0 60 38 "8" 0x01) + Pin(800 0 60 38 "9" 0x01) + ElementLine(-75 -115 875 -115 10) + ElementLine(875 -115 875 135 10) + ElementLine(875 115 -75 115 10) + ElementLine(-75 135 -75 -115 10) + ElementLine(875 135 -75 135 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC20.fp (revision 1033) @@ -0,0 +1,57 @@ + # was 15 + # was 50 +Element(0x00 "Plastic leadless chip carrier" "" "PLCC20" 100 175 0 100 0x00) +( + # top left half +Pad(175 -20 175 40 20 "1" 0x00) + Pad(125 -20 125 40 20 "2" 0x100) + Pad(75 -20 75 40 20 "3" 0x100) + # left row +Pad(-20 75 40 75 20 "4" 0x100) + Pad(-20 125 40 125 20 "5" 0x100) + Pad(-20 175 40 175 20 "6" 0x100) + Pad(-20 225 40 225 20 "7" 0x100) + Pad(-20 275 40 275 20 "8" 0x100) + # bottom row +Pad(75 370 75 310 20 "9" 0x100) +Pad(125 370 125 310 20 "10" 0x100) +Pad(175 370 175 310 20 "11" 0x100) +Pad(225 370 225 310 20 "12" 0x100) +Pad(275 370 275 310 20 "13" 0x100) + # right row +Pad(370 275 310 275 20 "14" 0x100) +Pad(370 225 310 225 20 "15" 0x100) +Pad(370 175 310 175 20 "16" 0x100) +Pad(370 125 310 125 20 "17" 0x100) +Pad(370 75 310 75 20 "18" 0x100) + # top right row +Pad(275 -20 275 40 20 "19" 0x100) +Pad(225 -20 225 40 20 "20" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(300 0 350 0 10) + ElementLine(350 0 350 50 10) + ElementLine(350 300 350 350 10) + ElementLine(350 350 300 350 10) + ElementLine(50 350 0 350 10) + ElementLine(0 350 0 300 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 390 -40 10) + ElementLine(390 -40 390 390 10) + ElementLine(390 390 -40 390 10) + ElementLine(-40 390 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(175 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC20X.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC20X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC20X.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "Plastic leadless chip carrier with pin socket" "" "PLCC20X" 350 350 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# the default case, Pad 1 is on outer top row, in the middle +#top left row +Pin(350 150 62 35 "1" 0x101) + Pin(350 250 62 35 "2" 0x01) +Pin(250 150 62 35 "3" 0x01) +# left row +Pin(150 250 62 35 "4" 0x01) + Pin(250 250 62 35 "5" 0x01) +Pin(150 350 62 35 "6" 0x01) + Pin(250 350 62 35 "7" 0x01) +Pin(150 450 62 35 "8" 0x01) +# bottom row +Pin(250 550 62 35 "9" 0x01) + Pin(250 450 62 35 "10" 0x01) +Pin(350 550 62 35 "11" 0x01) + Pin(350 450 62 35 "12" 0x01) +Pin(450 550 62 35 "13" 0x01) +# right row +Pin(550 450 62 35 "14" 0x01) + Pin(450 450 62 35 "15" 0x01) +Pin(550 350 62 35 "16" 0x01) + Pin(450 350 62 35 "17" 0x01) +Pin(550 250 62 35 "18" 0x01) +#top right row +Pin(450 150 62 35 "19" 0x01) + Pin(450 250 62 35 "20" 0x01) + ElementLine(0 0 700 0 20) + ElementLine(700 0 700 700 20) + ElementLine(700 700 0 700 20) + ElementLine(0 700 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(300 0 350 50 10) + ElementLine(350 50 400 0 10) + Mark(350 150) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC28.fp (revision 1033) @@ -0,0 +1,65 @@ + # was 15 + # was 50 +Element(0x00 "Plastic leadless chip carrier" "" "PLCC28" 100 225 0 100 0x00) +( + # top left half +Pad(225 -20 225 40 20 "1" 0x00) + Pad(175 -20 175 40 20 "2" 0x100) + Pad(125 -20 125 40 20 "3" 0x100) + Pad(75 -20 75 40 20 "4" 0x100) + # left row +Pad(-20 75 40 75 20 "5" 0x100) + Pad(-20 125 40 125 20 "6" 0x100) + Pad(-20 175 40 175 20 "7" 0x100) + Pad(-20 225 40 225 20 "8" 0x100) + Pad(-20 275 40 275 20 "9" 0x100) + Pad(-20 325 40 325 20 "10" 0x100) + Pad(-20 375 40 375 20 "11" 0x100) + # bottom row +Pad(75 470 75 410 20 "12" 0x100) +Pad(125 470 125 410 20 "13" 0x100) +Pad(175 470 175 410 20 "14" 0x100) +Pad(225 470 225 410 20 "15" 0x100) +Pad(275 470 275 410 20 "16" 0x100) +Pad(325 470 325 410 20 "17" 0x100) +Pad(375 470 375 410 20 "18" 0x100) + # right row +Pad(470 375 410 375 20 "19" 0x100) +Pad(470 325 410 325 20 "20" 0x100) +Pad(470 275 410 275 20 "21" 0x100) +Pad(470 225 410 225 20 "22" 0x100) +Pad(470 175 410 175 20 "23" 0x100) +Pad(470 125 410 125 20 "24" 0x100) +Pad(470 75 410 75 20 "25" 0x100) + # top right row +Pad(375 -20 375 40 20 "26" 0x100) +Pad(325 -20 325 40 20 "27" 0x100) +Pad(275 -20 275 40 20 "28" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(400 0 450 0 10) + ElementLine(450 0 450 50 10) + ElementLine(450 400 450 450 10) + ElementLine(450 450 400 450 10) + ElementLine(50 450 0 450 10) + ElementLine(0 450 0 400 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 490 -40 10) + ElementLine(490 -40 490 490 10) + ElementLine(490 490 -40 490 10) + ElementLine(-40 490 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(225 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC28X.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC28X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC28X.fp (revision 1033) @@ -0,0 +1,47 @@ +Element(0x00 "Plastic leadless chip carrier with pin socket" "" "PLCC28X" 350 400 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# top left row +Pin(450 250 62 35 "1" 0x101) + Pin(350 150 62 35 "2" 0x01) +Pin(350 250 62 35 "3" 0x01) + Pin(250 150 62 35 "4" 0x01) +#left row +Pin(150 250 62 35 "5" 0x01) + Pin(250 250 62 35 "6" 0x01) +Pin(150 350 62 35 "7" 0x01) + Pin(250 350 62 35 "8" 0x01) +Pin(150 450 62 35 "9" 0x01) + Pin(250 450 62 35 "10" 0x01) +Pin(150 550 62 35 "11" 0x01) +# bottom row +Pin(250 650 62 35 "12" 0x01) + Pin(250 550 62 35 "13" 0x01) +Pin(350 650 62 35 "14" 0x01) + Pin(350 550 62 35 "15" 0x01) +Pin(450 650 62 35 "16" 0x01) + Pin(450 550 62 35 "17" 0x01) +Pin(550 650 62 35 "18" 0x01) +# right row +Pin(650 550 62 35 "19" 0x01) + Pin(550 550 62 35 "20" 0x01) +Pin(650 450 62 35 "21" 0x01) + Pin(550 450 62 35 "22" 0x01) +Pin(650 350 62 35 "23" 0x01) + Pin(550 350 62 35 "24" 0x01) +Pin(650 250 62 35 "25" 0x01) +# top rigth row +Pin(550 150 62 35 "26" 0x01) + Pin(550 250 62 35 "27" 0x01) +Pin(450 150 62 35 "28" 0x01) + ElementLine(0 0 800 0 20) + ElementLine(800 0 800 800 20) + ElementLine(800 800 0 800 20) + ElementLine(0 800 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(350 0 400 50 10) + ElementLine(400 50 450 0 10) + Mark(400 150) +#------------------------------------------------------------------------ +# all other sockets, currently 20,52,68 and 84 pins +) Index: oldlib/lib/pcblib-newlib/geda/PLCC32.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC32.fp (revision 1033) @@ -0,0 +1,69 @@ + # was 15 + # was 50 +Element(0x00 "Plastic leadless chip carrier" "" "PLCC32" 100 225 0 100 0x00) +( + # top left half +Pad(225 -20 225 40 20 "1" 0x00) + Pad(175 -20 175 40 20 "2" 0x100) + Pad(125 -20 125 40 20 "3" 0x100) + Pad(75 -20 75 40 20 "4" 0x100) + # left row +Pad(-20 75 40 75 20 "5" 0x100) + Pad(-20 125 40 125 20 "6" 0x100) + Pad(-20 175 40 175 20 "7" 0x100) + Pad(-20 225 40 225 20 "8" 0x100) + Pad(-20 275 40 275 20 "9" 0x100) + Pad(-20 325 40 325 20 "10" 0x100) + Pad(-20 375 40 375 20 "11" 0x100) + Pad(-20 425 40 425 20 "12" 0x100) + Pad(-20 475 40 475 20 "13" 0x100) + # bottom row +Pad(75 570 75 510 20 "14" 0x100) +Pad(125 570 125 510 20 "15" 0x100) +Pad(175 570 175 510 20 "16" 0x100) +Pad(225 570 225 510 20 "17" 0x100) +Pad(275 570 275 510 20 "18" 0x100) +Pad(325 570 325 510 20 "19" 0x100) +Pad(375 570 375 510 20 "20" 0x100) + # right row +Pad(470 475 410 475 20 "21" 0x100) +Pad(470 425 410 425 20 "22" 0x100) +Pad(470 375 410 375 20 "23" 0x100) +Pad(470 325 410 325 20 "24" 0x100) +Pad(470 275 410 275 20 "25" 0x100) +Pad(470 225 410 225 20 "26" 0x100) +Pad(470 175 410 175 20 "27" 0x100) +Pad(470 125 410 125 20 "28" 0x100) +Pad(470 75 410 75 20 "29" 0x100) + # top right row +Pad(375 -20 375 40 20 "30" 0x100) +Pad(325 -20 325 40 20 "31" 0x100) +Pad(275 -20 275 40 20 "32" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(400 0 450 0 10) + ElementLine(450 0 450 50 10) + ElementLine(450 500 450 550 10) + ElementLine(450 550 400 550 10) + ElementLine(50 550 0 550 10) + ElementLine(0 550 0 500 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 490 -40 10) + ElementLine(490 -40 490 590 10) + ElementLine(490 590 -40 590 10) + ElementLine(-40 590 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(225 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC32X.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC32X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC32X.fp (revision 1033) @@ -0,0 +1,51 @@ +Element(0x00 "Plastic leadless chip carrier with pin socket" "" "PLCC32X" 350 400 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# top left row +Pin(450 250 62 35 "1" 0x101) + Pin(350 150 62 35 "2" 0x01) +Pin(350 250 62 35 "3" 0x01) + Pin(250 150 62 35 "4" 0x01) +#left row +Pin(150 250 62 35 "5" 0x01) + Pin(250 250 62 35 "6" 0x01) +Pin(150 350 62 35 "7" 0x01) + Pin(250 350 62 35 "8" 0x01) +Pin(150 450 62 35 "9" 0x01) + Pin(250 450 62 35 "10" 0x01) +Pin(150 550 62 35 "11" 0x01) + Pin(250 550 62 35 "12" 0x01) +Pin(150 650 62 35 "13" 0x01) +# bottom row +Pin(250 750 62 35 "14" 0x01) + Pin(250 650 62 35 "15" 0x01) +Pin(350 750 62 35 "16" 0x01) + Pin(350 650 62 35 "17" 0x01) +Pin(450 750 62 35 "18" 0x01) + Pin(450 650 62 35 "19" 0x01) +Pin(550 750 62 35 "20" 0x01) +# right row +Pin(650 650 62 35 "21" 0x01) + Pin(550 650 62 35 "22" 0x01) +Pin(650 550 62 35 "23" 0x01) + Pin(550 550 62 35 "24" 0x01) +Pin(650 450 62 35 "25" 0x01) + Pin(550 450 62 35 "26" 0x01) +Pin(650 350 62 35 "27" 0x01) + Pin(550 350 62 35 "28" 0x01) +Pin(650 250 62 35 "29" 0x01) +# top rigth row +Pin(550 150 62 35 "30" 0x01) + Pin(550 250 62 35 "31" 0x01) +Pin(450 150 62 35 "32" 0x01) + ElementLine(0 0 800 0 20) + ElementLine(800 0 800 900 20) + ElementLine(800 900 0 900 20) + ElementLine(0 900 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(350 0 400 50 10) + ElementLine(400 50 450 0 10) + Mark(400 150) +#------------------------------------------------------------------------ +# all other sockets, currently 20,52,68 and 84 pins +) Index: oldlib/lib/pcblib-newlib/geda/PLCC44.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC44.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC44.fp (revision 1033) @@ -0,0 +1,81 @@ + # was 15 + # was 50 +Element(0x00 "Plastic leadless chip carrier" "" "PLCC44" 100 325 0 100 0x00) +( + # top left half +Pad(325 -20 325 40 20 "1" 0x00) + Pad(275 -20 275 40 20 "2" 0x100) + Pad(225 -20 225 40 20 "3" 0x100) + Pad(175 -20 175 40 20 "4" 0x100) + Pad(125 -20 125 40 20 "5" 0x100) + Pad(75 -20 75 40 20 "6" 0x100) + # left row +Pad(-20 75 40 75 20 "7" 0x100) + Pad(-20 125 40 125 20 "8" 0x100) + Pad(-20 175 40 175 20 "9" 0x100) + Pad(-20 225 40 225 20 "10" 0x100) + Pad(-20 275 40 275 20 "11" 0x100) + Pad(-20 325 40 325 20 "12" 0x100) + Pad(-20 375 40 375 20 "13" 0x100) + Pad(-20 425 40 425 20 "14" 0x100) + Pad(-20 475 40 475 20 "15" 0x100) + Pad(-20 525 40 525 20 "16" 0x100) + Pad(-20 575 40 575 20 "17" 0x100) + # bottom row +Pad(75 670 75 610 20 "18" 0x100) +Pad(125 670 125 610 20 "19" 0x100) +Pad(175 670 175 610 20 "20" 0x100) +Pad(225 670 225 610 20 "21" 0x100) +Pad(275 670 275 610 20 "22" 0x100) +Pad(325 670 325 610 20 "23" 0x100) +Pad(375 670 375 610 20 "24" 0x100) +Pad(425 670 425 610 20 "25" 0x100) +Pad(475 670 475 610 20 "26" 0x100) +Pad(525 670 525 610 20 "27" 0x100) +Pad(575 670 575 610 20 "28" 0x100) + # right row +Pad(670 575 610 575 20 "29" 0x100) +Pad(670 525 610 525 20 "30" 0x100) +Pad(670 475 610 475 20 "31" 0x100) +Pad(670 425 610 425 20 "32" 0x100) +Pad(670 375 610 375 20 "33" 0x100) +Pad(670 325 610 325 20 "34" 0x100) +Pad(670 275 610 275 20 "35" 0x100) +Pad(670 225 610 225 20 "36" 0x100) +Pad(670 175 610 175 20 "37" 0x100) +Pad(670 125 610 125 20 "38" 0x100) +Pad(670 75 610 75 20 "39" 0x100) + # top right row +Pad(575 -20 575 40 20 "40" 0x100) +Pad(525 -20 525 40 20 "41" 0x100) +Pad(475 -20 475 40 20 "42" 0x100) +Pad(425 -20 425 40 20 "43" 0x100) +Pad(375 -20 375 40 20 "44" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(600 0 650 0 10) + ElementLine(650 0 650 50 10) + ElementLine(650 600 650 650 10) + ElementLine(650 650 600 650 10) + ElementLine(50 650 0 650 10) + ElementLine(0 650 0 600 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 690 -40 10) + ElementLine(690 -40 690 690 10) + ElementLine(690 690 -40 690 10) + ElementLine(-40 690 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(325 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC44X.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC44X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC44X.fp (revision 1033) @@ -0,0 +1,63 @@ +Element(0x00 "Plastic leadless chip carrier with pin socket" "" "PLCC44X" 350 500 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# top left row +Pin(550 250 62 35 "1" 0x101) + Pin(450 150 62 35 "2" 0x01) +Pin(450 250 62 35 "3" 0x01) + Pin(350 150 62 35 "4" 0x01) +Pin(350 250 62 35 "5" 0x01) + Pin(250 150 62 35 "6" 0x01) +#left row +Pin(150 250 62 35 "7" 0x01) + Pin(250 250 62 35 "8" 0x01) +Pin(150 350 62 35 "9" 0x01) + Pin(250 350 62 35 "10" 0x01) +Pin(150 450 62 35 "11" 0x01) + Pin(250 450 62 35 "12" 0x01) +Pin(150 550 62 35 "13" 0x01) + Pin(250 550 62 35 "14" 0x01) +Pin(150 650 62 35 "15" 0x01) + Pin(250 650 62 35 "16" 0x01) +Pin(150 750 62 35 "17" 0x01) +# bottom row +Pin(250 850 62 35 "18" 0x01) + Pin(250 750 62 35 "19" 0x01) +Pin(350 850 62 35 "20" 0x01) + Pin(350 750 62 35 "21" 0x01) +Pin(450 850 62 35 "22" 0x01) + Pin(450 750 62 35 "23" 0x01) +Pin(550 850 62 35 "24" 0x01) + Pin(550 750 62 35 "25" 0x01) +Pin(650 850 62 35 "26" 0x01) + Pin(650 750 62 35 "27" 0x01) +Pin(750 850 62 35 "28" 0x01) +# right row +Pin(850 750 62 35 "29" 0x01) + Pin(750 750 62 35 "30" 0x01) +Pin(850 650 62 35 "31" 0x01) + Pin(750 650 62 35 "32" 0x01) +Pin(850 550 62 35 "33" 0x01) + Pin(750 550 62 35 "34" 0x01) +Pin(850 450 62 35 "35" 0x01) + Pin(750 450 62 35 "36" 0x01) +Pin(850 350 62 35 "37" 0x01) + Pin(750 350 62 35 "38" 0x01) +Pin(850 250 62 35 "39" 0x01) +# top rigth row +Pin(750 150 62 35 "40" 0x01) + Pin(750 250 62 35 "41" 0x01) +Pin(650 150 62 35 "42" 0x01) + Pin(650 250 62 35 "43" 0x01) +Pin(550 150 62 35 "44" 0x01) + ElementLine(0 0 1000 0 20) + ElementLine(1000 0 1000 1000 20) + ElementLine(1000 1000 0 1000 20) + ElementLine(0 1000 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(450 0 500 50 10) + ElementLine(500 50 550 0 10) + Mark(500 150) +#------------------------------------------------------------------------ +# all other sockets, currently 20,52,68 and 84 pins +) Index: oldlib/lib/pcblib-newlib/geda/PLCC52.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC52.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC52.fp (revision 1033) @@ -0,0 +1,89 @@ + # was 15 + # was 50 +Element(0x00 "Plastic leadless chip carrier" "" "PLCC52" 100 375 0 100 0x00) +( + # top left half +Pad(375 -20 375 40 20 "1" 0x00) + Pad(325 -20 325 40 20 "2" 0x100) + Pad(275 -20 275 40 20 "3" 0x100) + Pad(225 -20 225 40 20 "4" 0x100) + Pad(175 -20 175 40 20 "5" 0x100) + Pad(125 -20 125 40 20 "6" 0x100) + Pad(75 -20 75 40 20 "7" 0x100) + # left row +Pad(-20 75 40 75 20 "8" 0x100) + Pad(-20 125 40 125 20 "9" 0x100) + Pad(-20 175 40 175 20 "10" 0x100) + Pad(-20 225 40 225 20 "11" 0x100) + Pad(-20 275 40 275 20 "12" 0x100) + Pad(-20 325 40 325 20 "13" 0x100) + Pad(-20 375 40 375 20 "14" 0x100) + Pad(-20 425 40 425 20 "15" 0x100) + Pad(-20 475 40 475 20 "16" 0x100) + Pad(-20 525 40 525 20 "17" 0x100) + Pad(-20 575 40 575 20 "18" 0x100) + Pad(-20 625 40 625 20 "19" 0x100) + Pad(-20 675 40 675 20 "20" 0x100) + # bottom row +Pad(75 770 75 710 20 "21" 0x100) +Pad(125 770 125 710 20 "22" 0x100) +Pad(175 770 175 710 20 "23" 0x100) +Pad(225 770 225 710 20 "24" 0x100) +Pad(275 770 275 710 20 "25" 0x100) +Pad(325 770 325 710 20 "26" 0x100) +Pad(375 770 375 710 20 "27" 0x100) +Pad(425 770 425 710 20 "28" 0x100) +Pad(475 770 475 710 20 "29" 0x100) +Pad(525 770 525 710 20 "30" 0x100) +Pad(575 770 575 710 20 "31" 0x100) +Pad(625 770 625 710 20 "32" 0x100) +Pad(675 770 675 710 20 "33" 0x100) + # right row +Pad(770 675 710 675 20 "34" 0x100) +Pad(770 625 710 625 20 "35" 0x100) +Pad(770 575 710 575 20 "36" 0x100) +Pad(770 525 710 525 20 "37" 0x100) +Pad(770 475 710 475 20 "38" 0x100) +Pad(770 425 710 425 20 "39" 0x100) +Pad(770 375 710 375 20 "40" 0x100) +Pad(770 325 710 325 20 "41" 0x100) +Pad(770 275 710 275 20 "42" 0x100) +Pad(770 225 710 225 20 "43" 0x100) +Pad(770 175 710 175 20 "44" 0x100) +Pad(770 125 710 125 20 "45" 0x100) +Pad(770 75 710 75 20 "46" 0x100) + # top right row +Pad(675 -20 675 40 20 "47" 0x100) +Pad(625 -20 625 40 20 "48" 0x100) +Pad(575 -20 575 40 20 "49" 0x100) +Pad(525 -20 525 40 20 "50" 0x100) +Pad(475 -20 475 40 20 "51" 0x100) +Pad(425 -20 425 40 20 "52" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(700 0 750 0 10) + ElementLine(750 0 750 50 10) + ElementLine(750 700 750 750 10) + ElementLine(750 750 700 750 10) + ElementLine(50 750 0 750 10) + ElementLine(0 750 0 700 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 790 -40 10) + ElementLine(790 -40 790 790 10) + ElementLine(790 790 -40 790 10) + ElementLine(-40 790 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(375 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC52X.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC52X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC52X.fp (revision 1033) @@ -0,0 +1,70 @@ +Element(0x00 "Plastic leadless chip carrier with pin socket" "" "PLCC52X" 350 550 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# the default case, Pad 1 is on outer top row, in the middle +#top left row +Pin(550 150 62 35 "1" 0x101) + Pin(550 250 62 35 "2" 0x01) +Pin(450 150 62 35 "3" 0x01) + Pin(450 250 62 35 "4" 0x01) +Pin(350 150 62 35 "5" 0x01) + Pin(350 250 62 35 "6" 0x01) +Pin(250 150 62 35 "7" 0x01) +# left row +Pin(150 250 62 35 "8" 0x01) + Pin(250 250 62 35 "9" 0x01) +Pin(150 350 62 35 "10" 0x01) + Pin(250 350 62 35 "11" 0x01) +Pin(150 450 62 35 "12" 0x01) + Pin(250 450 62 35 "13" 0x01) +Pin(150 550 62 35 "14" 0x01) + Pin(250 550 62 35 "15" 0x01) +Pin(150 650 62 35 "16" 0x01) + Pin(250 650 62 35 "17" 0x01) +Pin(150 750 62 35 "18" 0x01) + Pin(250 750 62 35 "19" 0x01) +Pin(150 850 62 35 "20" 0x01) +# bottom row +Pin(250 950 62 35 "21" 0x01) + Pin(250 850 62 35 "22" 0x01) +Pin(350 950 62 35 "23" 0x01) + Pin(350 850 62 35 "24" 0x01) +Pin(450 950 62 35 "25" 0x01) + Pin(450 850 62 35 "26" 0x01) +Pin(550 950 62 35 "27" 0x01) + Pin(550 850 62 35 "28" 0x01) +Pin(650 950 62 35 "29" 0x01) + Pin(650 850 62 35 "30" 0x01) +Pin(750 950 62 35 "31" 0x01) + Pin(750 850 62 35 "32" 0x01) +Pin(850 950 62 35 "33" 0x01) +# right row +Pin(950 850 62 35 "34" 0x01) + Pin(850 850 62 35 "35" 0x01) +Pin(950 750 62 35 "36" 0x01) + Pin(850 750 62 35 "37" 0x01) +Pin(950 650 62 35 "38" 0x01) + Pin(850 650 62 35 "39" 0x01) +Pin(950 550 62 35 "40" 0x01) + Pin(850 550 62 35 "41" 0x01) +Pin(950 450 62 35 "42" 0x01) + Pin(850 450 62 35 "43" 0x01) +Pin(950 350 62 35 "44" 0x01) + Pin(850 350 62 35 "45" 0x01) +Pin(950 250 62 35 "46" 0x01) +#top right row +Pin(850 150 62 35 "47" 0x01) + Pin(850 250 62 35 "48" 0x01) +Pin(750 150 62 35 "49" 0x01) + Pin(750 250 62 35 "50" 0x01) +Pin(650 150 62 35 "51" 0x01) + Pin(650 250 62 35 "52" 0x01) + ElementLine(0 0 1100 0 20) + ElementLine(1100 0 1100 1100 20) + ElementLine(1100 1100 0 1100 20) + ElementLine(0 1100 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(500 0 550 50 10) + ElementLine(550 50 600 0 10) + Mark(550 150) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC68.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC68.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC68.fp (revision 1033) @@ -0,0 +1,105 @@ + # was 15 + # was 50 +Element(0x00 "Plastic leadless chip carrier" "" "PLCC68" 100 475 0 100 0x00) +( + # top left half +Pad(475 -20 475 40 20 "1" 0x00) + Pad(425 -20 425 40 20 "2" 0x100) + Pad(375 -20 375 40 20 "3" 0x100) + Pad(325 -20 325 40 20 "4" 0x100) + Pad(275 -20 275 40 20 "5" 0x100) + Pad(225 -20 225 40 20 "6" 0x100) + Pad(175 -20 175 40 20 "7" 0x100) + Pad(125 -20 125 40 20 "8" 0x100) + Pad(75 -20 75 40 20 "9" 0x100) + # left row +Pad(-20 75 40 75 20 "10" 0x100) + Pad(-20 125 40 125 20 "11" 0x100) + Pad(-20 175 40 175 20 "12" 0x100) + Pad(-20 225 40 225 20 "13" 0x100) + Pad(-20 275 40 275 20 "14" 0x100) + Pad(-20 325 40 325 20 "15" 0x100) + Pad(-20 375 40 375 20 "16" 0x100) + Pad(-20 425 40 425 20 "17" 0x100) + Pad(-20 475 40 475 20 "18" 0x100) + Pad(-20 525 40 525 20 "19" 0x100) + Pad(-20 575 40 575 20 "20" 0x100) + Pad(-20 625 40 625 20 "21" 0x100) + Pad(-20 675 40 675 20 "22" 0x100) + Pad(-20 725 40 725 20 "23" 0x100) + Pad(-20 775 40 775 20 "24" 0x100) + Pad(-20 825 40 825 20 "25" 0x100) + Pad(-20 875 40 875 20 "26" 0x100) + # bottom row +Pad(75 970 75 910 20 "27" 0x100) +Pad(125 970 125 910 20 "28" 0x100) +Pad(175 970 175 910 20 "29" 0x100) +Pad(225 970 225 910 20 "30" 0x100) +Pad(275 970 275 910 20 "31" 0x100) +Pad(325 970 325 910 20 "32" 0x100) +Pad(375 970 375 910 20 "33" 0x100) +Pad(425 970 425 910 20 "34" 0x100) +Pad(475 970 475 910 20 "35" 0x100) +Pad(525 970 525 910 20 "36" 0x100) +Pad(575 970 575 910 20 "37" 0x100) +Pad(625 970 625 910 20 "38" 0x100) +Pad(675 970 675 910 20 "39" 0x100) +Pad(725 970 725 910 20 "40" 0x100) +Pad(775 970 775 910 20 "41" 0x100) +Pad(825 970 825 910 20 "42" 0x100) +Pad(875 970 875 910 20 "43" 0x100) + # right row +Pad(970 875 910 875 20 "44" 0x100) +Pad(970 825 910 825 20 "45" 0x100) +Pad(970 775 910 775 20 "46" 0x100) +Pad(970 725 910 725 20 "47" 0x100) +Pad(970 675 910 675 20 "48" 0x100) +Pad(970 625 910 625 20 "49" 0x100) +Pad(970 575 910 575 20 "50" 0x100) +Pad(970 525 910 525 20 "51" 0x100) +Pad(970 475 910 475 20 "52" 0x100) +Pad(970 425 910 425 20 "53" 0x100) +Pad(970 375 910 375 20 "54" 0x100) +Pad(970 325 910 325 20 "55" 0x100) +Pad(970 275 910 275 20 "56" 0x100) +Pad(970 225 910 225 20 "57" 0x100) +Pad(970 175 910 175 20 "58" 0x100) +Pad(970 125 910 125 20 "59" 0x100) +Pad(970 75 910 75 20 "60" 0x100) + # top right row +Pad(875 -20 875 40 20 "61" 0x100) +Pad(825 -20 825 40 20 "62" 0x100) +Pad(775 -20 775 40 20 "63" 0x100) +Pad(725 -20 725 40 20 "64" 0x100) +Pad(675 -20 675 40 20 "65" 0x100) +Pad(625 -20 625 40 20 "66" 0x100) +Pad(575 -20 575 40 20 "67" 0x100) +Pad(525 -20 525 40 20 "68" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(900 0 950 0 10) + ElementLine(950 0 950 50 10) + ElementLine(950 900 950 950 10) + ElementLine(950 950 900 950 10) + ElementLine(50 950 0 950 10) + ElementLine(0 950 0 900 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 990 -40 10) + ElementLine(990 -40 990 990 10) + ElementLine(990 990 -40 990 10) + ElementLine(-40 990 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(475 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC68X.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC68X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC68X.fp (revision 1033) @@ -0,0 +1,86 @@ +Element(0x00 "Plastic leadless chip carrier with pin socket" "" "PLCC68X" 350 650 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# the default case, Pad 1 is on outer top row, in the middle +#top left row +Pin(650 150 62 35 "1" 0x101) + Pin(650 250 62 35 "2" 0x01) +Pin(550 150 62 35 "3" 0x01) + Pin(550 250 62 35 "4" 0x01) +Pin(450 150 62 35 "5" 0x01) + Pin(450 250 62 35 "6" 0x01) +Pin(350 150 62 35 "7" 0x01) + Pin(350 250 62 35 "8" 0x01) +Pin(250 150 62 35 "9" 0x01) +# left row +Pin(150 250 62 35 "10" 0x01) + Pin(250 250 62 35 "11" 0x01) +Pin(150 350 62 35 "12" 0x01) + Pin(250 350 62 35 "13" 0x01) +Pin(150 450 62 35 "14" 0x01) + Pin(250 450 62 35 "15" 0x01) +Pin(150 550 62 35 "16" 0x01) + Pin(250 550 62 35 "17" 0x01) +Pin(150 650 62 35 "18" 0x01) + Pin(250 650 62 35 "19" 0x01) +Pin(150 750 62 35 "20" 0x01) + Pin(250 750 62 35 "21" 0x01) +Pin(150 850 62 35 "22" 0x01) + Pin(250 850 62 35 "23" 0x01) +Pin(150 950 62 35 "24" 0x01) + Pin(250 950 62 35 "25" 0x01) +Pin(150 1050 62 35 "26" 0x01) +# bottom row +Pin(250 1150 62 35 "27" 0x01) + Pin(250 1050 62 35 "28" 0x01) +Pin(350 1150 62 35 "29" 0x01) + Pin(350 1050 62 35 "30" 0x01) +Pin(450 1150 62 35 "31" 0x01) + Pin(450 1050 62 35 "32" 0x01) +Pin(550 1150 62 35 "33" 0x01) + Pin(550 1050 62 35 "34" 0x01) +Pin(650 1150 62 35 "35" 0x01) + Pin(650 1050 62 35 "36" 0x01) +Pin(750 1150 62 35 "37" 0x01) + Pin(750 1050 62 35 "38" 0x01) +Pin(850 1150 62 35 "39" 0x01) + Pin(850 1050 62 35 "40" 0x01) +Pin(950 1150 62 35 "41" 0x01) + Pin(950 1050 62 35 "42" 0x01) +Pin(1050 1150 62 35 "43" 0x01) +# right row +Pin(1150 1050 62 35 "44" 0x01) + Pin(1050 1050 62 35 "45" 0x01) +Pin(1150 950 62 35 "46" 0x01) + Pin(1050 950 62 35 "47" 0x01) +Pin(1150 850 62 35 "48" 0x01) + Pin(1050 850 62 35 "49" 0x01) +Pin(1150 750 62 35 "50" 0x01) + Pin(1050 750 62 35 "51" 0x01) +Pin(1150 650 62 35 "52" 0x01) + Pin(1050 650 62 35 "53" 0x01) +Pin(1150 550 62 35 "54" 0x01) + Pin(1050 550 62 35 "55" 0x01) +Pin(1150 450 62 35 "56" 0x01) + Pin(1050 450 62 35 "57" 0x01) +Pin(1150 350 62 35 "58" 0x01) + Pin(1050 350 62 35 "59" 0x01) +Pin(1150 250 62 35 "60" 0x01) +#top right row +Pin(1050 150 62 35 "61" 0x01) + Pin(1050 250 62 35 "62" 0x01) +Pin(950 150 62 35 "63" 0x01) + Pin(950 250 62 35 "64" 0x01) +Pin(850 150 62 35 "65" 0x01) + Pin(850 250 62 35 "66" 0x01) +Pin(750 150 62 35 "67" 0x01) + Pin(750 250 62 35 "68" 0x01) + ElementLine(0 0 1300 0 20) + ElementLine(1300 0 1300 1300 20) + ElementLine(1300 1300 0 1300 20) + ElementLine(0 1300 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(600 0 650 50 10) + ElementLine(650 50 700 0 10) + Mark(650 150) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC84.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC84.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC84.fp (revision 1033) @@ -0,0 +1,121 @@ + # was 15 + # was 50 +Element(0x00 "Plastic leadless chip carrier" "" "PLCC84" 100 575 0 100 0x00) +( + # top left half +Pad(575 -20 575 40 20 "1" 0x00) + Pad(525 -20 525 40 20 "2" 0x100) + Pad(475 -20 475 40 20 "3" 0x100) + Pad(425 -20 425 40 20 "4" 0x100) + Pad(375 -20 375 40 20 "5" 0x100) + Pad(325 -20 325 40 20 "6" 0x100) + Pad(275 -20 275 40 20 "7" 0x100) + Pad(225 -20 225 40 20 "8" 0x100) + Pad(175 -20 175 40 20 "9" 0x100) + Pad(125 -20 125 40 20 "10" 0x100) + Pad(75 -20 75 40 20 "11" 0x100) + # left row +Pad(-20 75 40 75 20 "12" 0x100) + Pad(-20 125 40 125 20 "13" 0x100) + Pad(-20 175 40 175 20 "14" 0x100) + Pad(-20 225 40 225 20 "15" 0x100) + Pad(-20 275 40 275 20 "16" 0x100) + Pad(-20 325 40 325 20 "17" 0x100) + Pad(-20 375 40 375 20 "18" 0x100) + Pad(-20 425 40 425 20 "19" 0x100) + Pad(-20 475 40 475 20 "20" 0x100) + Pad(-20 525 40 525 20 "21" 0x100) + Pad(-20 575 40 575 20 "22" 0x100) + Pad(-20 625 40 625 20 "23" 0x100) + Pad(-20 675 40 675 20 "24" 0x100) + Pad(-20 725 40 725 20 "25" 0x100) + Pad(-20 775 40 775 20 "26" 0x100) + Pad(-20 825 40 825 20 "27" 0x100) + Pad(-20 875 40 875 20 "28" 0x100) + Pad(-20 925 40 925 20 "29" 0x100) + Pad(-20 975 40 975 20 "30" 0x100) + Pad(-20 1025 40 1025 20 "31" 0x100) + Pad(-20 1075 40 1075 20 "32" 0x100) + # bottom row +Pad(75 1170 75 1110 20 "33" 0x100) +Pad(125 1170 125 1110 20 "34" 0x100) +Pad(175 1170 175 1110 20 "35" 0x100) +Pad(225 1170 225 1110 20 "36" 0x100) +Pad(275 1170 275 1110 20 "37" 0x100) +Pad(325 1170 325 1110 20 "38" 0x100) +Pad(375 1170 375 1110 20 "39" 0x100) +Pad(425 1170 425 1110 20 "40" 0x100) +Pad(475 1170 475 1110 20 "41" 0x100) +Pad(525 1170 525 1110 20 "42" 0x100) +Pad(575 1170 575 1110 20 "43" 0x100) +Pad(625 1170 625 1110 20 "44" 0x100) +Pad(675 1170 675 1110 20 "45" 0x100) +Pad(725 1170 725 1110 20 "46" 0x100) +Pad(775 1170 775 1110 20 "47" 0x100) +Pad(825 1170 825 1110 20 "48" 0x100) +Pad(875 1170 875 1110 20 "49" 0x100) +Pad(925 1170 925 1110 20 "50" 0x100) +Pad(975 1170 975 1110 20 "51" 0x100) +Pad(1025 1170 1025 1110 20 "52" 0x100) +Pad(1075 1170 1075 1110 20 "53" 0x100) + # right row +Pad(1170 1075 1110 1075 20 "54" 0x100) +Pad(1170 1025 1110 1025 20 "55" 0x100) +Pad(1170 975 1110 975 20 "56" 0x100) +Pad(1170 925 1110 925 20 "57" 0x100) +Pad(1170 875 1110 875 20 "58" 0x100) +Pad(1170 825 1110 825 20 "59" 0x100) +Pad(1170 775 1110 775 20 "60" 0x100) +Pad(1170 725 1110 725 20 "61" 0x100) +Pad(1170 675 1110 675 20 "62" 0x100) +Pad(1170 625 1110 625 20 "63" 0x100) +Pad(1170 575 1110 575 20 "64" 0x100) +Pad(1170 525 1110 525 20 "65" 0x100) +Pad(1170 475 1110 475 20 "66" 0x100) +Pad(1170 425 1110 425 20 "67" 0x100) +Pad(1170 375 1110 375 20 "68" 0x100) +Pad(1170 325 1110 325 20 "69" 0x100) +Pad(1170 275 1110 275 20 "70" 0x100) +Pad(1170 225 1110 225 20 "71" 0x100) +Pad(1170 175 1110 175 20 "72" 0x100) +Pad(1170 125 1110 125 20 "73" 0x100) +Pad(1170 75 1110 75 20 "74" 0x100) + # top right row +Pad(1075 -20 1075 40 20 "75" 0x100) +Pad(1025 -20 1025 40 20 "76" 0x100) +Pad(975 -20 975 40 20 "77" 0x100) +Pad(925 -20 925 40 20 "78" 0x100) +Pad(875 -20 875 40 20 "79" 0x100) +Pad(825 -20 825 40 20 "80" 0x100) +Pad(775 -20 775 40 20 "81" 0x100) +Pad(725 -20 725 40 20 "82" 0x100) +Pad(675 -20 675 40 20 "83" 0x100) +Pad(625 -20 625 40 20 "84" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(1100 0 1150 0 10) + ElementLine(1150 0 1150 50 10) + ElementLine(1150 1100 1150 1150 10) + ElementLine(1150 1150 1100 1150 10) + ElementLine(50 1150 0 1150 10) + ElementLine(0 1150 0 1100 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 1190 -40 10) + ElementLine(1190 -40 1190 1190 10) + ElementLine(1190 1190 -40 1190 10) + ElementLine(-40 1190 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(575 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/geda/PLCC84X.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/PLCC84X.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/PLCC84X.fp (revision 1033) @@ -0,0 +1,102 @@ +Element(0x00 "Plastic leadless chip carrier with pin socket" "" "PLCC84X" 350 750 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# the default case, Pad 1 is on outer top row, in the middle +#top left row +Pin(750 150 62 35 "1" 0x101) + Pin(750 250 62 35 "2" 0x01) +Pin(650 150 62 35 "3" 0x01) + Pin(650 250 62 35 "4" 0x01) +Pin(550 150 62 35 "5" 0x01) + Pin(550 250 62 35 "6" 0x01) +Pin(450 150 62 35 "7" 0x01) + Pin(450 250 62 35 "8" 0x01) +Pin(350 150 62 35 "9" 0x01) + Pin(350 250 62 35 "10" 0x01) +Pin(250 150 62 35 "11" 0x01) +# left row +Pin(150 250 62 35 "12" 0x01) + Pin(250 250 62 35 "13" 0x01) +Pin(150 350 62 35 "14" 0x01) + Pin(250 350 62 35 "15" 0x01) +Pin(150 450 62 35 "16" 0x01) + Pin(250 450 62 35 "17" 0x01) +Pin(150 550 62 35 "18" 0x01) + Pin(250 550 62 35 "19" 0x01) +Pin(150 650 62 35 "20" 0x01) + Pin(250 650 62 35 "21" 0x01) +Pin(150 750 62 35 "22" 0x01) + Pin(250 750 62 35 "23" 0x01) +Pin(150 850 62 35 "24" 0x01) + Pin(250 850 62 35 "25" 0x01) +Pin(150 950 62 35 "26" 0x01) + Pin(250 950 62 35 "27" 0x01) +Pin(150 1050 62 35 "28" 0x01) + Pin(250 1050 62 35 "29" 0x01) +Pin(150 1150 62 35 "30" 0x01) + Pin(250 1150 62 35 "31" 0x01) +Pin(150 1250 62 35 "32" 0x01) +# bottom row +Pin(250 1350 62 35 "33" 0x01) + Pin(250 1250 62 35 "34" 0x01) +Pin(350 1350 62 35 "35" 0x01) + Pin(350 1250 62 35 "36" 0x01) +Pin(450 1350 62 35 "37" 0x01) + Pin(450 1250 62 35 "38" 0x01) +Pin(550 1350 62 35 "39" 0x01) + Pin(550 1250 62 35 "40" 0x01) +Pin(650 1350 62 35 "41" 0x01) + Pin(650 1250 62 35 "42" 0x01) +Pin(750 1350 62 35 "43" 0x01) + Pin(750 1250 62 35 "44" 0x01) +Pin(850 1350 62 35 "45" 0x01) + Pin(850 1250 62 35 "46" 0x01) +Pin(950 1350 62 35 "47" 0x01) + Pin(950 1250 62 35 "48" 0x01) +Pin(1050 1350 62 35 "49" 0x01) + Pin(1050 1250 62 35 "50" 0x01) +Pin(1150 1350 62 35 "51" 0x01) + Pin(1150 1250 62 35 "52" 0x01) +Pin(1250 1350 62 35 "53" 0x01) +# right row +Pin(1350 1250 62 35 "54" 0x01) + Pin(1250 1250 62 35 "55" 0x01) +Pin(1350 1150 62 35 "56" 0x01) + Pin(1250 1150 62 35 "57" 0x01) +Pin(1350 1050 62 35 "58" 0x01) + Pin(1250 1050 62 35 "59" 0x01) +Pin(1350 950 62 35 "60" 0x01) + Pin(1250 950 62 35 "61" 0x01) +Pin(1350 850 62 35 "62" 0x01) + Pin(1250 850 62 35 "63" 0x01) +Pin(1350 750 62 35 "64" 0x01) + Pin(1250 750 62 35 "65" 0x01) +Pin(1350 650 62 35 "66" 0x01) + Pin(1250 650 62 35 "67" 0x01) +Pin(1350 550 62 35 "68" 0x01) + Pin(1250 550 62 35 "69" 0x01) +Pin(1350 450 62 35 "70" 0x01) + Pin(1250 450 62 35 "71" 0x01) +Pin(1350 350 62 35 "72" 0x01) + Pin(1250 350 62 35 "73" 0x01) +Pin(1350 250 62 35 "74" 0x01) +#top right row +Pin(1250 150 62 35 "75" 0x01) + Pin(1250 250 62 35 "76" 0x01) +Pin(1150 150 62 35 "77" 0x01) + Pin(1150 250 62 35 "78" 0x01) +Pin(1050 150 62 35 "79" 0x01) + Pin(1050 250 62 35 "80" 0x01) +Pin(950 150 62 35 "81" 0x01) + Pin(950 250 62 35 "82" 0x01) +Pin(850 150 62 35 "83" 0x01) + Pin(850 250 62 35 "84" 0x01) + ElementLine(0 0 1500 0 20) + ElementLine(1500 0 1500 1500 20) + ElementLine(1500 1500 0 1500 20) + ElementLine(0 1500 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(700 0 750 50 10) + ElementLine(750 50 800 0 10) + Mark(750 150) +) Index: oldlib/lib/pcblib-newlib/geda/QFN12_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN12_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN12_4.fp (revision 1033) @@ -0,0 +1,55 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN12_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8031 -3149 -6141 -3149 1653 2000 1953 "1" "1" 0x00000100] + Pad[-8031 0 -6141 0 1653 2000 1953 "2" "2" 0x00000100] + Pad[-8031 3149 -6141 3149 1653 2000 1953 "3" "3" 0x00000100] +# bottom row + Pad[-3149 8031 -3149 6141 1653 2000 1953 "4" "4" 0x00000900] + Pad[0 8031 0 6141 1653 2000 1953 "5" "5" 0x00000900] + Pad[3149 8031 3149 6141 1653 2000 1953 "6" "6" 0x00000900] +# right row + Pad[8031 3149 6141 3149 1653 2000 1953 "7" "7" 0x00000100] + Pad[8031 0 6141 0 1653 2000 1953 "8" "8" 0x00000100] + Pad[8031 -3149 6141 -3149 1653 2000 1953 "9" "9" 0x00000100] +# top row + Pad[3149 -8031 3149 -6141 1653 2000 1953 "10" "10" 0x00000900] + Pad[0 -8031 0 -6141 1653 2000 1953 "11" "11" 0x00000900] + Pad[-3149 -8031 -3149 -6141 1653 2000 1953 "12" "12" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN12_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN12_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN12_4_EP.fp (revision 1033) @@ -0,0 +1,58 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN12_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8031 -3149 -6141 -3149 1653 2000 1953 "1" "1" 0x00000100] + Pad[-8031 0 -6141 0 1653 2000 1953 "2" "2" 0x00000100] + Pad[-8031 3149 -6141 3149 1653 2000 1953 "3" "3" 0x00000100] +# bottom row + Pad[-3149 8031 -3149 6141 1653 2000 1953 "4" "4" 0x00000900] + Pad[0 8031 0 6141 1653 2000 1953 "5" "5" 0x00000900] + Pad[3149 8031 3149 6141 1653 2000 1953 "6" "6" 0x00000900] +# right row + Pad[8031 3149 6141 3149 1653 2000 1953 "7" "7" 0x00000100] + Pad[8031 0 6141 0 1653 2000 1953 "8" "8" 0x00000100] + Pad[8031 -3149 6141 -3149 1653 2000 1953 "9" "9" 0x00000100] +# top row + Pad[3149 -8031 3149 -6141 1653 2000 1953 "10" "10" 0x00000900] + Pad[0 -8031 0 -6141 1653 2000 1953 "11" "11" 0x00000900] + Pad[-3149 -8031 -3149 -6141 1653 2000 1953 "12" "12" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 8267 0 8667 "13" "13" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN16_3.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN16_3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN16_3.fp (revision 1033) @@ -0,0 +1,59 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN16_3" 0 0 -7889 -8939 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-6338 -2952 -4685 -2952 1102 2000 1402 "1" "1" 0x00000100] + Pad[-6338 -984 -4685 -984 1102 2000 1402 "2" "2" 0x00000100] + Pad[-6338 984 -4685 984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-6338 2952 -4685 2952 1102 2000 1402 "4" "4" 0x00000100] +# bottom row + Pad[-2952 6338 -2952 4685 1102 2000 1402 "5" "5" 0x00000900] + Pad[-984 6338 -984 4685 1102 2000 1402 "6" "6" 0x00000900] + Pad[984 6338 984 4685 1102 2000 1402 "7" "7" 0x00000900] + Pad[2952 6338 2952 4685 1102 2000 1402 "8" "8" 0x00000900] +# right row + Pad[6338 2952 4685 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[6338 984 4685 984 1102 2000 1402 "10" "10" 0x00000100] + Pad[6338 -984 4685 -984 1102 2000 1402 "11" "11" 0x00000100] + Pad[6338 -2952 4685 -2952 1102 2000 1402 "12" "12" 0x00000100] +# top row + Pad[2952 -6338 2952 -4685 1102 2000 1402 "13" "13" 0x00000900] + Pad[984 -6338 984 -4685 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 -6338 -984 -4685 1102 2000 1402 "15" "15" 0x00000900] + Pad[-2952 -6338 -2952 -4685 1102 2000 1402 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 7889 7889 7889 -7889 1000] +ElementLine[ 7889 -7889 -7889 -7889 1000] +ElementLine[-7889 -7889 -7889 7889 1000] +ElementLine[-7889 7889 7889 7889 1000] +# Pin 1 indicator +ElementLine[-7889 -7889 -9389 -9389 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN16_3_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN16_3_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN16_3_EP.fp (revision 1033) @@ -0,0 +1,62 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN16_3_EP" 0 0 -7889 -8939 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-6338 -2952 -4685 -2952 1102 2000 1402 "1" "1" 0x00000100] + Pad[-6338 -984 -4685 -984 1102 2000 1402 "2" "2" 0x00000100] + Pad[-6338 984 -4685 984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-6338 2952 -4685 2952 1102 2000 1402 "4" "4" 0x00000100] +# bottom row + Pad[-2952 6338 -2952 4685 1102 2000 1402 "5" "5" 0x00000900] + Pad[-984 6338 -984 4685 1102 2000 1402 "6" "6" 0x00000900] + Pad[984 6338 984 4685 1102 2000 1402 "7" "7" 0x00000900] + Pad[2952 6338 2952 4685 1102 2000 1402 "8" "8" 0x00000900] +# right row + Pad[6338 2952 4685 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[6338 984 4685 984 1102 2000 1402 "10" "10" 0x00000100] + Pad[6338 -984 4685 -984 1102 2000 1402 "11" "11" 0x00000100] + Pad[6338 -2952 4685 -2952 1102 2000 1402 "12" "12" 0x00000100] +# top row + Pad[2952 -6338 2952 -4685 1102 2000 1402 "13" "13" 0x00000900] + Pad[984 -6338 984 -4685 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 -6338 -984 -4685 1102 2000 1402 "15" "15" 0x00000900] + Pad[-2952 -6338 -2952 -4685 1102 2000 1402 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 5905 0 6305 "17" "17" 0x00000100] +# Silk screen around package +ElementLine[ 7889 7889 7889 -7889 1000] +ElementLine[ 7889 -7889 -7889 -7889 1000] +ElementLine[-7889 -7889 -7889 7889 1000] +ElementLine[-7889 7889 7889 7889 1000] +# Pin 1 indicator +ElementLine[-7889 -7889 -9389 -9389 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN16_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN16_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN16_4.fp (revision 1033) @@ -0,0 +1,59 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN16_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8129 -3838 -6043 -3838 1456 2000 1756 "1" "1" 0x00000100] + Pad[-8129 -1279 -6043 -1279 1456 2000 1756 "2" "2" 0x00000100] + Pad[-8129 1279 -6043 1279 1456 2000 1756 "3" "3" 0x00000100] + Pad[-8129 3838 -6043 3838 1456 2000 1756 "4" "4" 0x00000100] +# bottom row + Pad[-3838 8129 -3838 6043 1456 2000 1756 "5" "5" 0x00000900] + Pad[-1279 8129 -1279 6043 1456 2000 1756 "6" "6" 0x00000900] + Pad[1279 8129 1279 6043 1456 2000 1756 "7" "7" 0x00000900] + Pad[3838 8129 3838 6043 1456 2000 1756 "8" "8" 0x00000900] +# right row + Pad[8129 3838 6043 3838 1456 2000 1756 "9" "9" 0x00000100] + Pad[8129 1279 6043 1279 1456 2000 1756 "10" "10" 0x00000100] + Pad[8129 -1279 6043 -1279 1456 2000 1756 "11" "11" 0x00000100] + Pad[8129 -3838 6043 -3838 1456 2000 1756 "12" "12" 0x00000100] +# top row + Pad[3838 -8129 3838 -6043 1456 2000 1756 "13" "13" 0x00000900] + Pad[1279 -8129 1279 -6043 1456 2000 1756 "14" "14" 0x00000900] + Pad[-1279 -8129 -1279 -6043 1456 2000 1756 "15" "15" 0x00000900] + Pad[-3838 -8129 -3838 -6043 1456 2000 1756 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN16_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN16_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN16_4_EP.fp (revision 1033) @@ -0,0 +1,62 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN16_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8129 -3838 -6043 -3838 1456 2000 1756 "1" "1" 0x00000100] + Pad[-8129 -1279 -6043 -1279 1456 2000 1756 "2" "2" 0x00000100] + Pad[-8129 1279 -6043 1279 1456 2000 1756 "3" "3" 0x00000100] + Pad[-8129 3838 -6043 3838 1456 2000 1756 "4" "4" 0x00000100] +# bottom row + Pad[-3838 8129 -3838 6043 1456 2000 1756 "5" "5" 0x00000900] + Pad[-1279 8129 -1279 6043 1456 2000 1756 "6" "6" 0x00000900] + Pad[1279 8129 1279 6043 1456 2000 1756 "7" "7" 0x00000900] + Pad[3838 8129 3838 6043 1456 2000 1756 "8" "8" 0x00000900] +# right row + Pad[8129 3838 6043 3838 1456 2000 1756 "9" "9" 0x00000100] + Pad[8129 1279 6043 1279 1456 2000 1756 "10" "10" 0x00000100] + Pad[8129 -1279 6043 -1279 1456 2000 1756 "11" "11" 0x00000100] + Pad[8129 -3838 6043 -3838 1456 2000 1756 "12" "12" 0x00000100] +# top row + Pad[3838 -8129 3838 -6043 1456 2000 1756 "13" "13" 0x00000900] + Pad[1279 -8129 1279 -6043 1456 2000 1756 "14" "14" 0x00000900] + Pad[-1279 -8129 -1279 -6043 1456 2000 1756 "15" "15" 0x00000900] + Pad[-3838 -8129 -3838 -6043 1456 2000 1756 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 8267 0 8667 "17" "17" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN16_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN16_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN16_5.fp (revision 1033) @@ -0,0 +1,59 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN16_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10000 -4724 -8110 -4724 1653 2000 1953 "1" "1" 0x00000100] + Pad[-10000 -1574 -8110 -1574 1653 2000 1953 "2" "2" 0x00000100] + Pad[-10000 1574 -8110 1574 1653 2000 1953 "3" "3" 0x00000100] + Pad[-10000 4724 -8110 4724 1653 2000 1953 "4" "4" 0x00000100] +# bottom row + Pad[-4724 10000 -4724 8110 1653 2000 1953 "5" "5" 0x00000900] + Pad[-1574 10000 -1574 8110 1653 2000 1953 "6" "6" 0x00000900] + Pad[1574 10000 1574 8110 1653 2000 1953 "7" "7" 0x00000900] + Pad[4724 10000 4724 8110 1653 2000 1953 "8" "8" 0x00000900] +# right row + Pad[10000 4724 8110 4724 1653 2000 1953 "9" "9" 0x00000100] + Pad[10000 1574 8110 1574 1653 2000 1953 "10" "10" 0x00000100] + Pad[10000 -1574 8110 -1574 1653 2000 1953 "11" "11" 0x00000100] + Pad[10000 -4724 8110 -4724 1653 2000 1953 "12" "12" 0x00000100] +# top row + Pad[4724 -10000 4724 -8110 1653 2000 1953 "13" "13" 0x00000900] + Pad[1574 -10000 1574 -8110 1653 2000 1953 "14" "14" 0x00000900] + Pad[-1574 -10000 -1574 -8110 1653 2000 1953 "15" "15" 0x00000900] + Pad[-4724 -10000 -4724 -8110 1653 2000 1953 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN16_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN16_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN16_5_EP.fp (revision 1033) @@ -0,0 +1,62 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN16_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10000 -4724 -8110 -4724 1653 2000 1953 "1" "1" 0x00000100] + Pad[-10000 -1574 -8110 -1574 1653 2000 1953 "2" "2" 0x00000100] + Pad[-10000 1574 -8110 1574 1653 2000 1953 "3" "3" 0x00000100] + Pad[-10000 4724 -8110 4724 1653 2000 1953 "4" "4" 0x00000100] +# bottom row + Pad[-4724 10000 -4724 8110 1653 2000 1953 "5" "5" 0x00000900] + Pad[-1574 10000 -1574 8110 1653 2000 1953 "6" "6" 0x00000900] + Pad[1574 10000 1574 8110 1653 2000 1953 "7" "7" 0x00000900] + Pad[4724 10000 4724 8110 1653 2000 1953 "8" "8" 0x00000900] +# right row + Pad[10000 4724 8110 4724 1653 2000 1953 "9" "9" 0x00000100] + Pad[10000 1574 8110 1574 1653 2000 1953 "10" "10" 0x00000100] + Pad[10000 -1574 8110 -1574 1653 2000 1953 "11" "11" 0x00000100] + Pad[10000 -4724 8110 -4724 1653 2000 1953 "12" "12" 0x00000100] +# top row + Pad[4724 -10000 4724 -8110 1653 2000 1953 "13" "13" 0x00000900] + Pad[1574 -10000 1574 -8110 1653 2000 1953 "14" "14" 0x00000900] + Pad[-1574 -10000 -1574 -8110 1653 2000 1953 "15" "15" 0x00000900] + Pad[-4724 -10000 -4724 -8110 1653 2000 1953 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12204 0 12604 "17" "17" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN20_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN20_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN20_4.fp (revision 1033) @@ -0,0 +1,63 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN20_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -3937 -5866 -3937 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -1968 -5866 -1968 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 0 -5866 0 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 1968 -5866 1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 3937 -5866 3937 1102 2000 1402 "5" "5" 0x00000100] +# bottom row + Pad[-3937 8307 -3937 5866 1102 2000 1402 "6" "6" 0x00000900] + Pad[-1968 8307 -1968 5866 1102 2000 1402 "7" "7" 0x00000900] + Pad[0 8307 0 5866 1102 2000 1402 "8" "8" 0x00000900] + Pad[1968 8307 1968 5866 1102 2000 1402 "9" "9" 0x00000900] + Pad[3937 8307 3937 5866 1102 2000 1402 "10" "10" 0x00000900] +# right row + Pad[8307 3937 5866 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[8307 1968 5866 1968 1102 2000 1402 "12" "12" 0x00000100] + Pad[8307 0 5866 0 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 -1968 5866 -1968 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 -3937 5866 -3937 1102 2000 1402 "15" "15" 0x00000100] +# top row + Pad[3937 -8307 3937 -5866 1102 2000 1402 "16" "16" 0x00000900] + Pad[1968 -8307 1968 -5866 1102 2000 1402 "17" "17" 0x00000900] + Pad[0 -8307 0 -5866 1102 2000 1402 "18" "18" 0x00000900] + Pad[-1968 -8307 -1968 -5866 1102 2000 1402 "19" "19" 0x00000900] + Pad[-3937 -8307 -3937 -5866 1102 2000 1402 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN20_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN20_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN20_4_EP.fp (revision 1033) @@ -0,0 +1,66 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN20_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -3937 -5866 -3937 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -1968 -5866 -1968 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 0 -5866 0 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 1968 -5866 1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 3937 -5866 3937 1102 2000 1402 "5" "5" 0x00000100] +# bottom row + Pad[-3937 8307 -3937 5866 1102 2000 1402 "6" "6" 0x00000900] + Pad[-1968 8307 -1968 5866 1102 2000 1402 "7" "7" 0x00000900] + Pad[0 8307 0 5866 1102 2000 1402 "8" "8" 0x00000900] + Pad[1968 8307 1968 5866 1102 2000 1402 "9" "9" 0x00000900] + Pad[3937 8307 3937 5866 1102 2000 1402 "10" "10" 0x00000900] +# right row + Pad[8307 3937 5866 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[8307 1968 5866 1968 1102 2000 1402 "12" "12" 0x00000100] + Pad[8307 0 5866 0 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 -1968 5866 -1968 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 -3937 5866 -3937 1102 2000 1402 "15" "15" 0x00000100] +# top row + Pad[3937 -8307 3937 -5866 1102 2000 1402 "16" "16" 0x00000900] + Pad[1968 -8307 1968 -5866 1102 2000 1402 "17" "17" 0x00000900] + Pad[0 -8307 0 -5866 1102 2000 1402 "18" "18" 0x00000900] + Pad[-1968 -8307 -1968 -5866 1102 2000 1402 "19" "19" 0x00000900] + Pad[-3937 -8307 -3937 -5866 1102 2000 1402 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 8267 0 8667 "21" "21" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN20_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN20_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN20_5.fp (revision 1033) @@ -0,0 +1,63 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN20_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10098 -5118 -8011 -5118 1456 2000 1756 "1" "1" 0x00000100] + Pad[-10098 -2559 -8011 -2559 1456 2000 1756 "2" "2" 0x00000100] + Pad[-10098 0 -8011 0 1456 2000 1756 "3" "3" 0x00000100] + Pad[-10098 2559 -8011 2559 1456 2000 1756 "4" "4" 0x00000100] + Pad[-10098 5118 -8011 5118 1456 2000 1756 "5" "5" 0x00000100] +# bottom row + Pad[-5118 10098 -5118 8011 1456 2000 1756 "6" "6" 0x00000900] + Pad[-2559 10098 -2559 8011 1456 2000 1756 "7" "7" 0x00000900] + Pad[0 10098 0 8011 1456 2000 1756 "8" "8" 0x00000900] + Pad[2559 10098 2559 8011 1456 2000 1756 "9" "9" 0x00000900] + Pad[5118 10098 5118 8011 1456 2000 1756 "10" "10" 0x00000900] +# right row + Pad[10098 5118 8011 5118 1456 2000 1756 "11" "11" 0x00000100] + Pad[10098 2559 8011 2559 1456 2000 1756 "12" "12" 0x00000100] + Pad[10098 0 8011 0 1456 2000 1756 "13" "13" 0x00000100] + Pad[10098 -2559 8011 -2559 1456 2000 1756 "14" "14" 0x00000100] + Pad[10098 -5118 8011 -5118 1456 2000 1756 "15" "15" 0x00000100] +# top row + Pad[5118 -10098 5118 -8011 1456 2000 1756 "16" "16" 0x00000900] + Pad[2559 -10098 2559 -8011 1456 2000 1756 "17" "17" 0x00000900] + Pad[0 -10098 0 -8011 1456 2000 1756 "18" "18" 0x00000900] + Pad[-2559 -10098 -2559 -8011 1456 2000 1756 "19" "19" 0x00000900] + Pad[-5118 -10098 -5118 -8011 1456 2000 1756 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN20_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN20_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN20_5_EP.fp (revision 1033) @@ -0,0 +1,66 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN20_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10098 -5118 -8011 -5118 1456 2000 1756 "1" "1" 0x00000100] + Pad[-10098 -2559 -8011 -2559 1456 2000 1756 "2" "2" 0x00000100] + Pad[-10098 0 -8011 0 1456 2000 1756 "3" "3" 0x00000100] + Pad[-10098 2559 -8011 2559 1456 2000 1756 "4" "4" 0x00000100] + Pad[-10098 5118 -8011 5118 1456 2000 1756 "5" "5" 0x00000100] +# bottom row + Pad[-5118 10098 -5118 8011 1456 2000 1756 "6" "6" 0x00000900] + Pad[-2559 10098 -2559 8011 1456 2000 1756 "7" "7" 0x00000900] + Pad[0 10098 0 8011 1456 2000 1756 "8" "8" 0x00000900] + Pad[2559 10098 2559 8011 1456 2000 1756 "9" "9" 0x00000900] + Pad[5118 10098 5118 8011 1456 2000 1756 "10" "10" 0x00000900] +# right row + Pad[10098 5118 8011 5118 1456 2000 1756 "11" "11" 0x00000100] + Pad[10098 2559 8011 2559 1456 2000 1756 "12" "12" 0x00000100] + Pad[10098 0 8011 0 1456 2000 1756 "13" "13" 0x00000100] + Pad[10098 -2559 8011 -2559 1456 2000 1756 "14" "14" 0x00000100] + Pad[10098 -5118 8011 -5118 1456 2000 1756 "15" "15" 0x00000100] +# top row + Pad[5118 -10098 5118 -8011 1456 2000 1756 "16" "16" 0x00000900] + Pad[2559 -10098 2559 -8011 1456 2000 1756 "17" "17" 0x00000900] + Pad[0 -10098 0 -8011 1456 2000 1756 "18" "18" 0x00000900] + Pad[-2559 -10098 -2559 -8011 1456 2000 1756 "19" "19" 0x00000900] + Pad[-5118 -10098 -5118 -8011 1456 2000 1756 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12204 0 12604 "21" "21" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN24_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN24_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN24_4.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN24_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -4921 -6653 -4921 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -2952 -6653 -2952 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 -984 -6653 -984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 984 -6653 984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 2952 -6653 2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-8307 4921 -6653 4921 1102 2000 1402 "6" "6" 0x00000100] +# bottom row + Pad[-4921 8307 -4921 6653 1102 2000 1402 "7" "7" 0x00000900] + Pad[-2952 8307 -2952 6653 1102 2000 1402 "8" "8" 0x00000900] + Pad[-984 8307 -984 6653 1102 2000 1402 "9" "9" 0x00000900] + Pad[984 8307 984 6653 1102 2000 1402 "10" "10" 0x00000900] + Pad[2952 8307 2952 6653 1102 2000 1402 "11" "11" 0x00000900] + Pad[4921 8307 4921 6653 1102 2000 1402 "12" "12" 0x00000900] +# right row + Pad[8307 4921 6653 4921 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 2952 6653 2952 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 984 6653 984 1102 2000 1402 "15" "15" 0x00000100] + Pad[8307 -984 6653 -984 1102 2000 1402 "16" "16" 0x00000100] + Pad[8307 -2952 6653 -2952 1102 2000 1402 "17" "17" 0x00000100] + Pad[8307 -4921 6653 -4921 1102 2000 1402 "18" "18" 0x00000100] +# top row + Pad[4921 -8307 4921 -6653 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 -8307 2952 -6653 1102 2000 1402 "20" "20" 0x00000900] + Pad[984 -8307 984 -6653 1102 2000 1402 "21" "21" 0x00000900] + Pad[-984 -8307 -984 -6653 1102 2000 1402 "22" "22" 0x00000900] + Pad[-2952 -8307 -2952 -6653 1102 2000 1402 "23" "23" 0x00000900] + Pad[-4921 -8307 -4921 -6653 1102 2000 1402 "24" "24" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN24_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN24_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN24_4_EP.fp (revision 1033) @@ -0,0 +1,70 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN24_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -4921 -6653 -4921 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -2952 -6653 -2952 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 -984 -6653 -984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 984 -6653 984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 2952 -6653 2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-8307 4921 -6653 4921 1102 2000 1402 "6" "6" 0x00000100] +# bottom row + Pad[-4921 8307 -4921 6653 1102 2000 1402 "7" "7" 0x00000900] + Pad[-2952 8307 -2952 6653 1102 2000 1402 "8" "8" 0x00000900] + Pad[-984 8307 -984 6653 1102 2000 1402 "9" "9" 0x00000900] + Pad[984 8307 984 6653 1102 2000 1402 "10" "10" 0x00000900] + Pad[2952 8307 2952 6653 1102 2000 1402 "11" "11" 0x00000900] + Pad[4921 8307 4921 6653 1102 2000 1402 "12" "12" 0x00000900] +# right row + Pad[8307 4921 6653 4921 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 2952 6653 2952 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 984 6653 984 1102 2000 1402 "15" "15" 0x00000100] + Pad[8307 -984 6653 -984 1102 2000 1402 "16" "16" 0x00000100] + Pad[8307 -2952 6653 -2952 1102 2000 1402 "17" "17" 0x00000100] + Pad[8307 -4921 6653 -4921 1102 2000 1402 "18" "18" 0x00000100] +# top row + Pad[4921 -8307 4921 -6653 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 -8307 2952 -6653 1102 2000 1402 "20" "20" 0x00000900] + Pad[984 -8307 984 -6653 1102 2000 1402 "21" "21" 0x00000900] + Pad[-984 -8307 -984 -6653 1102 2000 1402 "22" "22" 0x00000900] + Pad[-2952 -8307 -2952 -6653 1102 2000 1402 "23" "23" 0x00000900] + Pad[-4921 -8307 -4921 -6653 1102 2000 1402 "24" "24" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 8267 0 8667 "25" "25" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN28_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN28_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN28_5.fp (revision 1033) @@ -0,0 +1,71 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN28_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -5905 -7834 -5905 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -3937 -7834 -3937 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -1968 -7834 -1968 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 0 -7834 0 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 1968 -7834 1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 3937 -7834 3937 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 5905 -7834 5905 1102 2000 1402 "7" "7" 0x00000100] +# bottom row + Pad[-5905 10275 -5905 7834 1102 2000 1402 "8" "8" 0x00000900] + Pad[-3937 10275 -3937 7834 1102 2000 1402 "9" "9" 0x00000900] + Pad[-1968 10275 -1968 7834 1102 2000 1402 "10" "10" 0x00000900] + Pad[0 10275 0 7834 1102 2000 1402 "11" "11" 0x00000900] + Pad[1968 10275 1968 7834 1102 2000 1402 "12" "12" 0x00000900] + Pad[3937 10275 3937 7834 1102 2000 1402 "13" "13" 0x00000900] + Pad[5905 10275 5905 7834 1102 2000 1402 "14" "14" 0x00000900] +# right row + Pad[10275 5905 7834 5905 1102 2000 1402 "15" "15" 0x00000100] + Pad[10275 3937 7834 3937 1102 2000 1402 "16" "16" 0x00000100] + Pad[10275 1968 7834 1968 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 0 7834 0 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 -1968 7834 -1968 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 -3937 7834 -3937 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -5905 7834 -5905 1102 2000 1402 "21" "21" 0x00000100] +# top row + Pad[5905 -10275 5905 -7834 1102 2000 1402 "22" "22" 0x00000900] + Pad[3937 -10275 3937 -7834 1102 2000 1402 "23" "23" 0x00000900] + Pad[1968 -10275 1968 -7834 1102 2000 1402 "24" "24" 0x00000900] + Pad[0 -10275 0 -7834 1102 2000 1402 "25" "25" 0x00000900] + Pad[-1968 -10275 -1968 -7834 1102 2000 1402 "26" "26" 0x00000900] + Pad[-3937 -10275 -3937 -7834 1102 2000 1402 "27" "27" 0x00000900] + Pad[-5905 -10275 -5905 -7834 1102 2000 1402 "28" "28" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN28_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN28_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN28_5_EP.fp (revision 1033) @@ -0,0 +1,74 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN28_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -5905 -7834 -5905 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -3937 -7834 -3937 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -1968 -7834 -1968 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 0 -7834 0 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 1968 -7834 1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 3937 -7834 3937 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 5905 -7834 5905 1102 2000 1402 "7" "7" 0x00000100] +# bottom row + Pad[-5905 10275 -5905 7834 1102 2000 1402 "8" "8" 0x00000900] + Pad[-3937 10275 -3937 7834 1102 2000 1402 "9" "9" 0x00000900] + Pad[-1968 10275 -1968 7834 1102 2000 1402 "10" "10" 0x00000900] + Pad[0 10275 0 7834 1102 2000 1402 "11" "11" 0x00000900] + Pad[1968 10275 1968 7834 1102 2000 1402 "12" "12" 0x00000900] + Pad[3937 10275 3937 7834 1102 2000 1402 "13" "13" 0x00000900] + Pad[5905 10275 5905 7834 1102 2000 1402 "14" "14" 0x00000900] +# right row + Pad[10275 5905 7834 5905 1102 2000 1402 "15" "15" 0x00000100] + Pad[10275 3937 7834 3937 1102 2000 1402 "16" "16" 0x00000100] + Pad[10275 1968 7834 1968 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 0 7834 0 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 -1968 7834 -1968 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 -3937 7834 -3937 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -5905 7834 -5905 1102 2000 1402 "21" "21" 0x00000100] +# top row + Pad[5905 -10275 5905 -7834 1102 2000 1402 "22" "22" 0x00000900] + Pad[3937 -10275 3937 -7834 1102 2000 1402 "23" "23" 0x00000900] + Pad[1968 -10275 1968 -7834 1102 2000 1402 "24" "24" 0x00000900] + Pad[0 -10275 0 -7834 1102 2000 1402 "25" "25" 0x00000900] + Pad[-1968 -10275 -1968 -7834 1102 2000 1402 "26" "26" 0x00000900] + Pad[-3937 -10275 -3937 -7834 1102 2000 1402 "27" "27" 0x00000900] + Pad[-5905 -10275 -5905 -7834 1102 2000 1402 "28" "28" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12204 0 12604 "29" "29" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN32_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN32_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN32_5.fp (revision 1033) @@ -0,0 +1,75 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN32_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -6889 -8622 -6889 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -4921 -8622 -4921 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -2952 -8622 -2952 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 -984 -8622 -984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 984 -8622 984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 2952 -8622 2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 4921 -8622 4921 1102 2000 1402 "7" "7" 0x00000100] + Pad[-10275 6889 -8622 6889 1102 2000 1402 "8" "8" 0x00000100] +# bottom row + Pad[-6889 10275 -6889 8622 1102 2000 1402 "9" "9" 0x00000900] + Pad[-4921 10275 -4921 8622 1102 2000 1402 "10" "10" 0x00000900] + Pad[-2952 10275 -2952 8622 1102 2000 1402 "11" "11" 0x00000900] + Pad[-984 10275 -984 8622 1102 2000 1402 "12" "12" 0x00000900] + Pad[984 10275 984 8622 1102 2000 1402 "13" "13" 0x00000900] + Pad[2952 10275 2952 8622 1102 2000 1402 "14" "14" 0x00000900] + Pad[4921 10275 4921 8622 1102 2000 1402 "15" "15" 0x00000900] + Pad[6889 10275 6889 8622 1102 2000 1402 "16" "16" 0x00000900] +# right row + Pad[10275 6889 8622 6889 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 4921 8622 4921 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 2952 8622 2952 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 984 8622 984 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -984 8622 -984 1102 2000 1402 "21" "21" 0x00000100] + Pad[10275 -2952 8622 -2952 1102 2000 1402 "22" "22" 0x00000100] + Pad[10275 -4921 8622 -4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[10275 -6889 8622 -6889 1102 2000 1402 "24" "24" 0x00000100] +# top row + Pad[6889 -10275 6889 -8622 1102 2000 1402 "25" "25" 0x00000900] + Pad[4921 -10275 4921 -8622 1102 2000 1402 "26" "26" 0x00000900] + Pad[2952 -10275 2952 -8622 1102 2000 1402 "27" "27" 0x00000900] + Pad[984 -10275 984 -8622 1102 2000 1402 "28" "28" 0x00000900] + Pad[-984 -10275 -984 -8622 1102 2000 1402 "29" "29" 0x00000900] + Pad[-2952 -10275 -2952 -8622 1102 2000 1402 "30" "30" 0x00000900] + Pad[-4921 -10275 -4921 -8622 1102 2000 1402 "31" "31" 0x00000900] + Pad[-6889 -10275 -6889 -8622 1102 2000 1402 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN32_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN32_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN32_5_EP.fp (revision 1033) @@ -0,0 +1,78 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN32_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -6889 -8622 -6889 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -4921 -8622 -4921 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -2952 -8622 -2952 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 -984 -8622 -984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 984 -8622 984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 2952 -8622 2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 4921 -8622 4921 1102 2000 1402 "7" "7" 0x00000100] + Pad[-10275 6889 -8622 6889 1102 2000 1402 "8" "8" 0x00000100] +# bottom row + Pad[-6889 10275 -6889 8622 1102 2000 1402 "9" "9" 0x00000900] + Pad[-4921 10275 -4921 8622 1102 2000 1402 "10" "10" 0x00000900] + Pad[-2952 10275 -2952 8622 1102 2000 1402 "11" "11" 0x00000900] + Pad[-984 10275 -984 8622 1102 2000 1402 "12" "12" 0x00000900] + Pad[984 10275 984 8622 1102 2000 1402 "13" "13" 0x00000900] + Pad[2952 10275 2952 8622 1102 2000 1402 "14" "14" 0x00000900] + Pad[4921 10275 4921 8622 1102 2000 1402 "15" "15" 0x00000900] + Pad[6889 10275 6889 8622 1102 2000 1402 "16" "16" 0x00000900] +# right row + Pad[10275 6889 8622 6889 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 4921 8622 4921 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 2952 8622 2952 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 984 8622 984 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -984 8622 -984 1102 2000 1402 "21" "21" 0x00000100] + Pad[10275 -2952 8622 -2952 1102 2000 1402 "22" "22" 0x00000100] + Pad[10275 -4921 8622 -4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[10275 -6889 8622 -6889 1102 2000 1402 "24" "24" 0x00000100] +# top row + Pad[6889 -10275 6889 -8622 1102 2000 1402 "25" "25" 0x00000900] + Pad[4921 -10275 4921 -8622 1102 2000 1402 "26" "26" 0x00000900] + Pad[2952 -10275 2952 -8622 1102 2000 1402 "27" "27" 0x00000900] + Pad[984 -10275 984 -8622 1102 2000 1402 "28" "28" 0x00000900] + Pad[-984 -10275 -984 -8622 1102 2000 1402 "29" "29" 0x00000900] + Pad[-2952 -10275 -2952 -8622 1102 2000 1402 "30" "30" 0x00000900] + Pad[-4921 -10275 -4921 -8622 1102 2000 1402 "31" "31" 0x00000900] + Pad[-6889 -10275 -6889 -8622 1102 2000 1402 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12204 0 12604 "33" "33" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN32_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN32_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN32_7.fp (revision 1033) @@ -0,0 +1,75 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN32_7" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14035 -8956 -11948 -8956 1456 2000 1756 "1" "1" 0x00000100] + Pad[-14035 -6397 -11948 -6397 1456 2000 1756 "2" "2" 0x00000100] + Pad[-14035 -3838 -11948 -3838 1456 2000 1756 "3" "3" 0x00000100] + Pad[-14035 -1279 -11948 -1279 1456 2000 1756 "4" "4" 0x00000100] + Pad[-14035 1279 -11948 1279 1456 2000 1756 "5" "5" 0x00000100] + Pad[-14035 3838 -11948 3838 1456 2000 1756 "6" "6" 0x00000100] + Pad[-14035 6397 -11948 6397 1456 2000 1756 "7" "7" 0x00000100] + Pad[-14035 8956 -11948 8956 1456 2000 1756 "8" "8" 0x00000100] +# bottom row + Pad[-8956 14035 -8956 11948 1456 2000 1756 "9" "9" 0x00000900] + Pad[-6397 14035 -6397 11948 1456 2000 1756 "10" "10" 0x00000900] + Pad[-3838 14035 -3838 11948 1456 2000 1756 "11" "11" 0x00000900] + Pad[-1279 14035 -1279 11948 1456 2000 1756 "12" "12" 0x00000900] + Pad[1279 14035 1279 11948 1456 2000 1756 "13" "13" 0x00000900] + Pad[3838 14035 3838 11948 1456 2000 1756 "14" "14" 0x00000900] + Pad[6397 14035 6397 11948 1456 2000 1756 "15" "15" 0x00000900] + Pad[8956 14035 8956 11948 1456 2000 1756 "16" "16" 0x00000900] +# right row + Pad[14035 8956 11948 8956 1456 2000 1756 "17" "17" 0x00000100] + Pad[14035 6397 11948 6397 1456 2000 1756 "18" "18" 0x00000100] + Pad[14035 3838 11948 3838 1456 2000 1756 "19" "19" 0x00000100] + Pad[14035 1279 11948 1279 1456 2000 1756 "20" "20" 0x00000100] + Pad[14035 -1279 11948 -1279 1456 2000 1756 "21" "21" 0x00000100] + Pad[14035 -3838 11948 -3838 1456 2000 1756 "22" "22" 0x00000100] + Pad[14035 -6397 11948 -6397 1456 2000 1756 "23" "23" 0x00000100] + Pad[14035 -8956 11948 -8956 1456 2000 1756 "24" "24" 0x00000100] +# top row + Pad[8956 -14035 8956 -11948 1456 2000 1756 "25" "25" 0x00000900] + Pad[6397 -14035 6397 -11948 1456 2000 1756 "26" "26" 0x00000900] + Pad[3838 -14035 3838 -11948 1456 2000 1756 "27" "27" 0x00000900] + Pad[1279 -14035 1279 -11948 1456 2000 1756 "28" "28" 0x00000900] + Pad[-1279 -14035 -1279 -11948 1456 2000 1756 "29" "29" 0x00000900] + Pad[-3838 -14035 -3838 -11948 1456 2000 1756 "30" "30" 0x00000900] + Pad[-6397 -14035 -6397 -11948 1456 2000 1756 "31" "31" 0x00000900] + Pad[-8956 -14035 -8956 -11948 1456 2000 1756 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN32_7_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN32_7_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN32_7_EP.fp (revision 1033) @@ -0,0 +1,78 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN32_7_EP" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14035 -8956 -11948 -8956 1456 2000 1756 "1" "1" 0x00000100] + Pad[-14035 -6397 -11948 -6397 1456 2000 1756 "2" "2" 0x00000100] + Pad[-14035 -3838 -11948 -3838 1456 2000 1756 "3" "3" 0x00000100] + Pad[-14035 -1279 -11948 -1279 1456 2000 1756 "4" "4" 0x00000100] + Pad[-14035 1279 -11948 1279 1456 2000 1756 "5" "5" 0x00000100] + Pad[-14035 3838 -11948 3838 1456 2000 1756 "6" "6" 0x00000100] + Pad[-14035 6397 -11948 6397 1456 2000 1756 "7" "7" 0x00000100] + Pad[-14035 8956 -11948 8956 1456 2000 1756 "8" "8" 0x00000100] +# bottom row + Pad[-8956 14035 -8956 11948 1456 2000 1756 "9" "9" 0x00000900] + Pad[-6397 14035 -6397 11948 1456 2000 1756 "10" "10" 0x00000900] + Pad[-3838 14035 -3838 11948 1456 2000 1756 "11" "11" 0x00000900] + Pad[-1279 14035 -1279 11948 1456 2000 1756 "12" "12" 0x00000900] + Pad[1279 14035 1279 11948 1456 2000 1756 "13" "13" 0x00000900] + Pad[3838 14035 3838 11948 1456 2000 1756 "14" "14" 0x00000900] + Pad[6397 14035 6397 11948 1456 2000 1756 "15" "15" 0x00000900] + Pad[8956 14035 8956 11948 1456 2000 1756 "16" "16" 0x00000900] +# right row + Pad[14035 8956 11948 8956 1456 2000 1756 "17" "17" 0x00000100] + Pad[14035 6397 11948 6397 1456 2000 1756 "18" "18" 0x00000100] + Pad[14035 3838 11948 3838 1456 2000 1756 "19" "19" 0x00000100] + Pad[14035 1279 11948 1279 1456 2000 1756 "20" "20" 0x00000100] + Pad[14035 -1279 11948 -1279 1456 2000 1756 "21" "21" 0x00000100] + Pad[14035 -3838 11948 -3838 1456 2000 1756 "22" "22" 0x00000100] + Pad[14035 -6397 11948 -6397 1456 2000 1756 "23" "23" 0x00000100] + Pad[14035 -8956 11948 -8956 1456 2000 1756 "24" "24" 0x00000100] +# top row + Pad[8956 -14035 8956 -11948 1456 2000 1756 "25" "25" 0x00000900] + Pad[6397 -14035 6397 -11948 1456 2000 1756 "26" "26" 0x00000900] + Pad[3838 -14035 3838 -11948 1456 2000 1756 "27" "27" 0x00000900] + Pad[1279 -14035 1279 -11948 1456 2000 1756 "28" "28" 0x00000900] + Pad[-1279 -14035 -1279 -11948 1456 2000 1756 "29" "29" 0x00000900] + Pad[-3838 -14035 -3838 -11948 1456 2000 1756 "30" "30" 0x00000900] + Pad[-6397 -14035 -6397 -11948 1456 2000 1756 "31" "31" 0x00000900] + Pad[-8956 -14035 -8956 -11948 1456 2000 1756 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 18503 0 18903 "33" "33" 0x00000100] +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN36_6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN36_6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN36_6.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN36_6" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -7874 -9803 -7874 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -5905 -9803 -5905 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -3937 -9803 -3937 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -1968 -9803 -1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 0 -9803 0 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 1968 -9803 1968 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 3937 -9803 3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 5905 -9803 5905 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 7874 -9803 7874 1102 2000 1402 "9" "9" 0x00000100] +# bottom row + Pad[-7874 12244 -7874 9803 1102 2000 1402 "10" "10" 0x00000900] + Pad[-5905 12244 -5905 9803 1102 2000 1402 "11" "11" 0x00000900] + Pad[-3937 12244 -3937 9803 1102 2000 1402 "12" "12" 0x00000900] + Pad[-1968 12244 -1968 9803 1102 2000 1402 "13" "13" 0x00000900] + Pad[0 12244 0 9803 1102 2000 1402 "14" "14" 0x00000900] + Pad[1968 12244 1968 9803 1102 2000 1402 "15" "15" 0x00000900] + Pad[3937 12244 3937 9803 1102 2000 1402 "16" "16" 0x00000900] + Pad[5905 12244 5905 9803 1102 2000 1402 "17" "17" 0x00000900] + Pad[7874 12244 7874 9803 1102 2000 1402 "18" "18" 0x00000900] +# right row + Pad[12244 7874 9803 7874 1102 2000 1402 "19" "19" 0x00000100] + Pad[12244 5905 9803 5905 1102 2000 1402 "20" "20" 0x00000100] + Pad[12244 3937 9803 3937 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 1968 9803 1968 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 0 9803 0 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 -1968 9803 -1968 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 -3937 9803 -3937 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -5905 9803 -5905 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -7874 9803 -7874 1102 2000 1402 "27" "27" 0x00000100] +# top row + Pad[7874 -12244 7874 -9803 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 -12244 5905 -9803 1102 2000 1402 "29" "29" 0x00000900] + Pad[3937 -12244 3937 -9803 1102 2000 1402 "30" "30" 0x00000900] + Pad[1968 -12244 1968 -9803 1102 2000 1402 "31" "31" 0x00000900] + Pad[0 -12244 0 -9803 1102 2000 1402 "32" "32" 0x00000900] + Pad[-1968 -12244 -1968 -9803 1102 2000 1402 "33" "33" 0x00000900] + Pad[-3937 -12244 -3937 -9803 1102 2000 1402 "34" "34" 0x00000900] + Pad[-5905 -12244 -5905 -9803 1102 2000 1402 "35" "35" 0x00000900] + Pad[-7874 -12244 -7874 -9803 1102 2000 1402 "36" "36" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN36_6_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN36_6_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN36_6_EP.fp (revision 1033) @@ -0,0 +1,82 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN36_6_EP" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -7874 -9803 -7874 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -5905 -9803 -5905 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -3937 -9803 -3937 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -1968 -9803 -1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 0 -9803 0 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 1968 -9803 1968 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 3937 -9803 3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 5905 -9803 5905 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 7874 -9803 7874 1102 2000 1402 "9" "9" 0x00000100] +# bottom row + Pad[-7874 12244 -7874 9803 1102 2000 1402 "10" "10" 0x00000900] + Pad[-5905 12244 -5905 9803 1102 2000 1402 "11" "11" 0x00000900] + Pad[-3937 12244 -3937 9803 1102 2000 1402 "12" "12" 0x00000900] + Pad[-1968 12244 -1968 9803 1102 2000 1402 "13" "13" 0x00000900] + Pad[0 12244 0 9803 1102 2000 1402 "14" "14" 0x00000900] + Pad[1968 12244 1968 9803 1102 2000 1402 "15" "15" 0x00000900] + Pad[3937 12244 3937 9803 1102 2000 1402 "16" "16" 0x00000900] + Pad[5905 12244 5905 9803 1102 2000 1402 "17" "17" 0x00000900] + Pad[7874 12244 7874 9803 1102 2000 1402 "18" "18" 0x00000900] +# right row + Pad[12244 7874 9803 7874 1102 2000 1402 "19" "19" 0x00000100] + Pad[12244 5905 9803 5905 1102 2000 1402 "20" "20" 0x00000100] + Pad[12244 3937 9803 3937 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 1968 9803 1968 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 0 9803 0 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 -1968 9803 -1968 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 -3937 9803 -3937 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -5905 9803 -5905 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -7874 9803 -7874 1102 2000 1402 "27" "27" 0x00000100] +# top row + Pad[7874 -12244 7874 -9803 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 -12244 5905 -9803 1102 2000 1402 "29" "29" 0x00000900] + Pad[3937 -12244 3937 -9803 1102 2000 1402 "30" "30" 0x00000900] + Pad[1968 -12244 1968 -9803 1102 2000 1402 "31" "31" 0x00000900] + Pad[0 -12244 0 -9803 1102 2000 1402 "32" "32" 0x00000900] + Pad[-1968 -12244 -1968 -9803 1102 2000 1402 "33" "33" 0x00000900] + Pad[-3937 -12244 -3937 -9803 1102 2000 1402 "34" "34" 0x00000900] + Pad[-5905 -12244 -5905 -9803 1102 2000 1402 "35" "35" 0x00000900] + Pad[-7874 -12244 -7874 -9803 1102 2000 1402 "36" "36" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 14566 0 14966 "37" "37" 0x00000100] +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN40_6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN40_6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN40_6.fp (revision 1033) @@ -0,0 +1,83 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN40_6" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -8858 -10590 -8858 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -6889 -10590 -6889 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -4921 -10590 -4921 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -2952 -10590 -2952 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 -984 -10590 -984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 984 -10590 984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 2952 -10590 2952 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 4921 -10590 4921 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 6889 -10590 6889 1102 2000 1402 "9" "9" 0x00000100] + Pad[-12244 8858 -10590 8858 1102 2000 1402 "10" "10" 0x00000100] +# bottom row + Pad[-8858 12244 -8858 10590 1102 2000 1402 "11" "11" 0x00000900] + Pad[-6889 12244 -6889 10590 1102 2000 1402 "12" "12" 0x00000900] + Pad[-4921 12244 -4921 10590 1102 2000 1402 "13" "13" 0x00000900] + Pad[-2952 12244 -2952 10590 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 12244 -984 10590 1102 2000 1402 "15" "15" 0x00000900] + Pad[984 12244 984 10590 1102 2000 1402 "16" "16" 0x00000900] + Pad[2952 12244 2952 10590 1102 2000 1402 "17" "17" 0x00000900] + Pad[4921 12244 4921 10590 1102 2000 1402 "18" "18" 0x00000900] + Pad[6889 12244 6889 10590 1102 2000 1402 "19" "19" 0x00000900] + Pad[8858 12244 8858 10590 1102 2000 1402 "20" "20" 0x00000900] +# right row + Pad[12244 8858 10590 8858 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 6889 10590 6889 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 4921 10590 4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 2952 10590 2952 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 984 10590 984 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -984 10590 -984 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -2952 10590 -2952 1102 2000 1402 "27" "27" 0x00000100] + Pad[12244 -4921 10590 -4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[12244 -6889 10590 -6889 1102 2000 1402 "29" "29" 0x00000100] + Pad[12244 -8858 10590 -8858 1102 2000 1402 "30" "30" 0x00000100] +# top row + Pad[8858 -12244 8858 -10590 1102 2000 1402 "31" "31" 0x00000900] + Pad[6889 -12244 6889 -10590 1102 2000 1402 "32" "32" 0x00000900] + Pad[4921 -12244 4921 -10590 1102 2000 1402 "33" "33" 0x00000900] + Pad[2952 -12244 2952 -10590 1102 2000 1402 "34" "34" 0x00000900] + Pad[984 -12244 984 -10590 1102 2000 1402 "35" "35" 0x00000900] + Pad[-984 -12244 -984 -10590 1102 2000 1402 "36" "36" 0x00000900] + Pad[-2952 -12244 -2952 -10590 1102 2000 1402 "37" "37" 0x00000900] + Pad[-4921 -12244 -4921 -10590 1102 2000 1402 "38" "38" 0x00000900] + Pad[-6889 -12244 -6889 -10590 1102 2000 1402 "39" "39" 0x00000900] + Pad[-8858 -12244 -8858 -10590 1102 2000 1402 "40" "40" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN40_6_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN40_6_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN40_6_EP.fp (revision 1033) @@ -0,0 +1,86 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN40_6_EP" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -8858 -10590 -8858 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -6889 -10590 -6889 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -4921 -10590 -4921 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -2952 -10590 -2952 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 -984 -10590 -984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 984 -10590 984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 2952 -10590 2952 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 4921 -10590 4921 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 6889 -10590 6889 1102 2000 1402 "9" "9" 0x00000100] + Pad[-12244 8858 -10590 8858 1102 2000 1402 "10" "10" 0x00000100] +# bottom row + Pad[-8858 12244 -8858 10590 1102 2000 1402 "11" "11" 0x00000900] + Pad[-6889 12244 -6889 10590 1102 2000 1402 "12" "12" 0x00000900] + Pad[-4921 12244 -4921 10590 1102 2000 1402 "13" "13" 0x00000900] + Pad[-2952 12244 -2952 10590 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 12244 -984 10590 1102 2000 1402 "15" "15" 0x00000900] + Pad[984 12244 984 10590 1102 2000 1402 "16" "16" 0x00000900] + Pad[2952 12244 2952 10590 1102 2000 1402 "17" "17" 0x00000900] + Pad[4921 12244 4921 10590 1102 2000 1402 "18" "18" 0x00000900] + Pad[6889 12244 6889 10590 1102 2000 1402 "19" "19" 0x00000900] + Pad[8858 12244 8858 10590 1102 2000 1402 "20" "20" 0x00000900] +# right row + Pad[12244 8858 10590 8858 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 6889 10590 6889 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 4921 10590 4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 2952 10590 2952 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 984 10590 984 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -984 10590 -984 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -2952 10590 -2952 1102 2000 1402 "27" "27" 0x00000100] + Pad[12244 -4921 10590 -4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[12244 -6889 10590 -6889 1102 2000 1402 "29" "29" 0x00000100] + Pad[12244 -8858 10590 -8858 1102 2000 1402 "30" "30" 0x00000100] +# top row + Pad[8858 -12244 8858 -10590 1102 2000 1402 "31" "31" 0x00000900] + Pad[6889 -12244 6889 -10590 1102 2000 1402 "32" "32" 0x00000900] + Pad[4921 -12244 4921 -10590 1102 2000 1402 "33" "33" 0x00000900] + Pad[2952 -12244 2952 -10590 1102 2000 1402 "34" "34" 0x00000900] + Pad[984 -12244 984 -10590 1102 2000 1402 "35" "35" 0x00000900] + Pad[-984 -12244 -984 -10590 1102 2000 1402 "36" "36" 0x00000900] + Pad[-2952 -12244 -2952 -10590 1102 2000 1402 "37" "37" 0x00000900] + Pad[-4921 -12244 -4921 -10590 1102 2000 1402 "38" "38" 0x00000900] + Pad[-6889 -12244 -6889 -10590 1102 2000 1402 "39" "39" 0x00000900] + Pad[-8858 -12244 -8858 -10590 1102 2000 1402 "40" "40" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 16141 0 16541 "41" "41" 0x00000100] +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN44_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN44_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN44_7.fp (revision 1033) @@ -0,0 +1,87 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN44_7" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -9842 -11771 -9842 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -7874 -11771 -7874 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -5905 -11771 -5905 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -3937 -11771 -3937 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -1968 -11771 -1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 0 -11771 0 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 1968 -11771 1968 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 3937 -11771 3937 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 5905 -11771 5905 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 7874 -11771 7874 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 9842 -11771 9842 1102 2000 1402 "11" "11" 0x00000100] +# bottom row + Pad[-9842 14212 -9842 11771 1102 2000 1402 "12" "12" 0x00000900] + Pad[-7874 14212 -7874 11771 1102 2000 1402 "13" "13" 0x00000900] + Pad[-5905 14212 -5905 11771 1102 2000 1402 "14" "14" 0x00000900] + Pad[-3937 14212 -3937 11771 1102 2000 1402 "15" "15" 0x00000900] + Pad[-1968 14212 -1968 11771 1102 2000 1402 "16" "16" 0x00000900] + Pad[0 14212 0 11771 1102 2000 1402 "17" "17" 0x00000900] + Pad[1968 14212 1968 11771 1102 2000 1402 "18" "18" 0x00000900] + Pad[3937 14212 3937 11771 1102 2000 1402 "19" "19" 0x00000900] + Pad[5905 14212 5905 11771 1102 2000 1402 "20" "20" 0x00000900] + Pad[7874 14212 7874 11771 1102 2000 1402 "21" "21" 0x00000900] + Pad[9842 14212 9842 11771 1102 2000 1402 "22" "22" 0x00000900] +# right row + Pad[14212 9842 11771 9842 1102 2000 1402 "23" "23" 0x00000100] + Pad[14212 7874 11771 7874 1102 2000 1402 "24" "24" 0x00000100] + Pad[14212 5905 11771 5905 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 3937 11771 3937 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 1968 11771 1968 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 0 11771 0 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 -1968 11771 -1968 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 -3937 11771 -3937 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -5905 11771 -5905 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -7874 11771 -7874 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -9842 11771 -9842 1102 2000 1402 "33" "33" 0x00000100] +# top row + Pad[9842 -14212 9842 -11771 1102 2000 1402 "34" "34" 0x00000900] + Pad[7874 -14212 7874 -11771 1102 2000 1402 "35" "35" 0x00000900] + Pad[5905 -14212 5905 -11771 1102 2000 1402 "36" "36" 0x00000900] + Pad[3937 -14212 3937 -11771 1102 2000 1402 "37" "37" 0x00000900] + Pad[1968 -14212 1968 -11771 1102 2000 1402 "38" "38" 0x00000900] + Pad[0 -14212 0 -11771 1102 2000 1402 "39" "39" 0x00000900] + Pad[-1968 -14212 -1968 -11771 1102 2000 1402 "40" "40" 0x00000900] + Pad[-3937 -14212 -3937 -11771 1102 2000 1402 "41" "41" 0x00000900] + Pad[-5905 -14212 -5905 -11771 1102 2000 1402 "42" "42" 0x00000900] + Pad[-7874 -14212 -7874 -11771 1102 2000 1402 "43" "43" 0x00000900] + Pad[-9842 -14212 -9842 -11771 1102 2000 1402 "44" "44" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN44_7_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN44_7_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN44_7_EP.fp (revision 1033) @@ -0,0 +1,90 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN44_7_EP" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -9842 -11771 -9842 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -7874 -11771 -7874 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -5905 -11771 -5905 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -3937 -11771 -3937 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -1968 -11771 -1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 0 -11771 0 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 1968 -11771 1968 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 3937 -11771 3937 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 5905 -11771 5905 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 7874 -11771 7874 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 9842 -11771 9842 1102 2000 1402 "11" "11" 0x00000100] +# bottom row + Pad[-9842 14212 -9842 11771 1102 2000 1402 "12" "12" 0x00000900] + Pad[-7874 14212 -7874 11771 1102 2000 1402 "13" "13" 0x00000900] + Pad[-5905 14212 -5905 11771 1102 2000 1402 "14" "14" 0x00000900] + Pad[-3937 14212 -3937 11771 1102 2000 1402 "15" "15" 0x00000900] + Pad[-1968 14212 -1968 11771 1102 2000 1402 "16" "16" 0x00000900] + Pad[0 14212 0 11771 1102 2000 1402 "17" "17" 0x00000900] + Pad[1968 14212 1968 11771 1102 2000 1402 "18" "18" 0x00000900] + Pad[3937 14212 3937 11771 1102 2000 1402 "19" "19" 0x00000900] + Pad[5905 14212 5905 11771 1102 2000 1402 "20" "20" 0x00000900] + Pad[7874 14212 7874 11771 1102 2000 1402 "21" "21" 0x00000900] + Pad[9842 14212 9842 11771 1102 2000 1402 "22" "22" 0x00000900] +# right row + Pad[14212 9842 11771 9842 1102 2000 1402 "23" "23" 0x00000100] + Pad[14212 7874 11771 7874 1102 2000 1402 "24" "24" 0x00000100] + Pad[14212 5905 11771 5905 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 3937 11771 3937 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 1968 11771 1968 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 0 11771 0 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 -1968 11771 -1968 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 -3937 11771 -3937 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -5905 11771 -5905 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -7874 11771 -7874 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -9842 11771 -9842 1102 2000 1402 "33" "33" 0x00000100] +# top row + Pad[9842 -14212 9842 -11771 1102 2000 1402 "34" "34" 0x00000900] + Pad[7874 -14212 7874 -11771 1102 2000 1402 "35" "35" 0x00000900] + Pad[5905 -14212 5905 -11771 1102 2000 1402 "36" "36" 0x00000900] + Pad[3937 -14212 3937 -11771 1102 2000 1402 "37" "37" 0x00000900] + Pad[1968 -14212 1968 -11771 1102 2000 1402 "38" "38" 0x00000900] + Pad[0 -14212 0 -11771 1102 2000 1402 "39" "39" 0x00000900] + Pad[-1968 -14212 -1968 -11771 1102 2000 1402 "40" "40" 0x00000900] + Pad[-3937 -14212 -3937 -11771 1102 2000 1402 "41" "41" 0x00000900] + Pad[-5905 -14212 -5905 -11771 1102 2000 1402 "42" "42" 0x00000900] + Pad[-7874 -14212 -7874 -11771 1102 2000 1402 "43" "43" 0x00000900] + Pad[-9842 -14212 -9842 -11771 1102 2000 1402 "44" "44" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 18503 0 18903 "45" "45" 0x00000100] +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN48_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN48_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN48_7.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN48_7" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -10826 -12559 -10826 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -8858 -12559 -8858 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -6889 -12559 -6889 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -4921 -12559 -4921 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -2952 -12559 -2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 -984 -12559 -984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 984 -12559 984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 2952 -12559 2952 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 4921 -12559 4921 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 6889 -12559 6889 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 8858 -12559 8858 1102 2000 1402 "11" "11" 0x00000100] + Pad[-14212 10826 -12559 10826 1102 2000 1402 "12" "12" 0x00000100] +# bottom row + Pad[-10826 14212 -10826 12559 1102 2000 1402 "13" "13" 0x00000900] + Pad[-8858 14212 -8858 12559 1102 2000 1402 "14" "14" 0x00000900] + Pad[-6889 14212 -6889 12559 1102 2000 1402 "15" "15" 0x00000900] + Pad[-4921 14212 -4921 12559 1102 2000 1402 "16" "16" 0x00000900] + Pad[-2952 14212 -2952 12559 1102 2000 1402 "17" "17" 0x00000900] + Pad[-984 14212 -984 12559 1102 2000 1402 "18" "18" 0x00000900] + Pad[984 14212 984 12559 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 14212 2952 12559 1102 2000 1402 "20" "20" 0x00000900] + Pad[4921 14212 4921 12559 1102 2000 1402 "21" "21" 0x00000900] + Pad[6889 14212 6889 12559 1102 2000 1402 "22" "22" 0x00000900] + Pad[8858 14212 8858 12559 1102 2000 1402 "23" "23" 0x00000900] + Pad[10826 14212 10826 12559 1102 2000 1402 "24" "24" 0x00000900] +# right row + Pad[14212 10826 12559 10826 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 8858 12559 8858 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 6889 12559 6889 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 4921 12559 4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 2952 12559 2952 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 984 12559 984 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -984 12559 -984 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -2952 12559 -2952 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -4921 12559 -4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[14212 -6889 12559 -6889 1102 2000 1402 "34" "34" 0x00000100] + Pad[14212 -8858 12559 -8858 1102 2000 1402 "35" "35" 0x00000100] + Pad[14212 -10826 12559 -10826 1102 2000 1402 "36" "36" 0x00000100] +# top row + Pad[10826 -14212 10826 -12559 1102 2000 1402 "37" "37" 0x00000900] + Pad[8858 -14212 8858 -12559 1102 2000 1402 "38" "38" 0x00000900] + Pad[6889 -14212 6889 -12559 1102 2000 1402 "39" "39" 0x00000900] + Pad[4921 -14212 4921 -12559 1102 2000 1402 "40" "40" 0x00000900] + Pad[2952 -14212 2952 -12559 1102 2000 1402 "41" "41" 0x00000900] + Pad[984 -14212 984 -12559 1102 2000 1402 "42" "42" 0x00000900] + Pad[-984 -14212 -984 -12559 1102 2000 1402 "43" "43" 0x00000900] + Pad[-2952 -14212 -2952 -12559 1102 2000 1402 "44" "44" 0x00000900] + Pad[-4921 -14212 -4921 -12559 1102 2000 1402 "45" "45" 0x00000900] + Pad[-6889 -14212 -6889 -12559 1102 2000 1402 "46" "46" 0x00000900] + Pad[-8858 -14212 -8858 -12559 1102 2000 1402 "47" "47" 0x00000900] + Pad[-10826 -14212 -10826 -12559 1102 2000 1402 "48" "48" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN48_7_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN48_7_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN48_7_EP.fp (revision 1033) @@ -0,0 +1,94 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN48_7_EP" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -10826 -12559 -10826 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -8858 -12559 -8858 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -6889 -12559 -6889 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -4921 -12559 -4921 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -2952 -12559 -2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 -984 -12559 -984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 984 -12559 984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 2952 -12559 2952 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 4921 -12559 4921 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 6889 -12559 6889 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 8858 -12559 8858 1102 2000 1402 "11" "11" 0x00000100] + Pad[-14212 10826 -12559 10826 1102 2000 1402 "12" "12" 0x00000100] +# bottom row + Pad[-10826 14212 -10826 12559 1102 2000 1402 "13" "13" 0x00000900] + Pad[-8858 14212 -8858 12559 1102 2000 1402 "14" "14" 0x00000900] + Pad[-6889 14212 -6889 12559 1102 2000 1402 "15" "15" 0x00000900] + Pad[-4921 14212 -4921 12559 1102 2000 1402 "16" "16" 0x00000900] + Pad[-2952 14212 -2952 12559 1102 2000 1402 "17" "17" 0x00000900] + Pad[-984 14212 -984 12559 1102 2000 1402 "18" "18" 0x00000900] + Pad[984 14212 984 12559 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 14212 2952 12559 1102 2000 1402 "20" "20" 0x00000900] + Pad[4921 14212 4921 12559 1102 2000 1402 "21" "21" 0x00000900] + Pad[6889 14212 6889 12559 1102 2000 1402 "22" "22" 0x00000900] + Pad[8858 14212 8858 12559 1102 2000 1402 "23" "23" 0x00000900] + Pad[10826 14212 10826 12559 1102 2000 1402 "24" "24" 0x00000900] +# right row + Pad[14212 10826 12559 10826 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 8858 12559 8858 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 6889 12559 6889 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 4921 12559 4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 2952 12559 2952 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 984 12559 984 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -984 12559 -984 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -2952 12559 -2952 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -4921 12559 -4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[14212 -6889 12559 -6889 1102 2000 1402 "34" "34" 0x00000100] + Pad[14212 -8858 12559 -8858 1102 2000 1402 "35" "35" 0x00000100] + Pad[14212 -10826 12559 -10826 1102 2000 1402 "36" "36" 0x00000100] +# top row + Pad[10826 -14212 10826 -12559 1102 2000 1402 "37" "37" 0x00000900] + Pad[8858 -14212 8858 -12559 1102 2000 1402 "38" "38" 0x00000900] + Pad[6889 -14212 6889 -12559 1102 2000 1402 "39" "39" 0x00000900] + Pad[4921 -14212 4921 -12559 1102 2000 1402 "40" "40" 0x00000900] + Pad[2952 -14212 2952 -12559 1102 2000 1402 "41" "41" 0x00000900] + Pad[984 -14212 984 -12559 1102 2000 1402 "42" "42" 0x00000900] + Pad[-984 -14212 -984 -12559 1102 2000 1402 "43" "43" 0x00000900] + Pad[-2952 -14212 -2952 -12559 1102 2000 1402 "44" "44" 0x00000900] + Pad[-4921 -14212 -4921 -12559 1102 2000 1402 "45" "45" 0x00000900] + Pad[-6889 -14212 -6889 -12559 1102 2000 1402 "46" "46" 0x00000900] + Pad[-8858 -14212 -8858 -12559 1102 2000 1402 "47" "47" 0x00000900] + Pad[-10826 -14212 -10826 -12559 1102 2000 1402 "48" "48" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 22047 0 22447 "49" "49" 0x00000100] +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN56_8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN56_8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN56_8.fp (revision 1033) @@ -0,0 +1,99 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN56_8" 0 0 -17732 -18782 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-16181 -12795 -14527 -12795 1102 2000 1402 "1" "1" 0x00000100] + Pad[-16181 -10826 -14527 -10826 1102 2000 1402 "2" "2" 0x00000100] + Pad[-16181 -8858 -14527 -8858 1102 2000 1402 "3" "3" 0x00000100] + Pad[-16181 -6889 -14527 -6889 1102 2000 1402 "4" "4" 0x00000100] + Pad[-16181 -4921 -14527 -4921 1102 2000 1402 "5" "5" 0x00000100] + Pad[-16181 -2952 -14527 -2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-16181 -984 -14527 -984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-16181 984 -14527 984 1102 2000 1402 "8" "8" 0x00000100] + Pad[-16181 2952 -14527 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[-16181 4921 -14527 4921 1102 2000 1402 "10" "10" 0x00000100] + Pad[-16181 6889 -14527 6889 1102 2000 1402 "11" "11" 0x00000100] + Pad[-16181 8858 -14527 8858 1102 2000 1402 "12" "12" 0x00000100] + Pad[-16181 10826 -14527 10826 1102 2000 1402 "13" "13" 0x00000100] + Pad[-16181 12795 -14527 12795 1102 2000 1402 "14" "14" 0x00000100] +# bottom row + Pad[-12795 16181 -12795 14527 1102 2000 1402 "15" "15" 0x00000900] + Pad[-10826 16181 -10826 14527 1102 2000 1402 "16" "16" 0x00000900] + Pad[-8858 16181 -8858 14527 1102 2000 1402 "17" "17" 0x00000900] + Pad[-6889 16181 -6889 14527 1102 2000 1402 "18" "18" 0x00000900] + Pad[-4921 16181 -4921 14527 1102 2000 1402 "19" "19" 0x00000900] + Pad[-2952 16181 -2952 14527 1102 2000 1402 "20" "20" 0x00000900] + Pad[-984 16181 -984 14527 1102 2000 1402 "21" "21" 0x00000900] + Pad[984 16181 984 14527 1102 2000 1402 "22" "22" 0x00000900] + Pad[2952 16181 2952 14527 1102 2000 1402 "23" "23" 0x00000900] + Pad[4921 16181 4921 14527 1102 2000 1402 "24" "24" 0x00000900] + Pad[6889 16181 6889 14527 1102 2000 1402 "25" "25" 0x00000900] + Pad[8858 16181 8858 14527 1102 2000 1402 "26" "26" 0x00000900] + Pad[10826 16181 10826 14527 1102 2000 1402 "27" "27" 0x00000900] + Pad[12795 16181 12795 14527 1102 2000 1402 "28" "28" 0x00000900] +# right row + Pad[16181 12795 14527 12795 1102 2000 1402 "29" "29" 0x00000100] + Pad[16181 10826 14527 10826 1102 2000 1402 "30" "30" 0x00000100] + Pad[16181 8858 14527 8858 1102 2000 1402 "31" "31" 0x00000100] + Pad[16181 6889 14527 6889 1102 2000 1402 "32" "32" 0x00000100] + Pad[16181 4921 14527 4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[16181 2952 14527 2952 1102 2000 1402 "34" "34" 0x00000100] + Pad[16181 984 14527 984 1102 2000 1402 "35" "35" 0x00000100] + Pad[16181 -984 14527 -984 1102 2000 1402 "36" "36" 0x00000100] + Pad[16181 -2952 14527 -2952 1102 2000 1402 "37" "37" 0x00000100] + Pad[16181 -4921 14527 -4921 1102 2000 1402 "38" "38" 0x00000100] + Pad[16181 -6889 14527 -6889 1102 2000 1402 "39" "39" 0x00000100] + Pad[16181 -8858 14527 -8858 1102 2000 1402 "40" "40" 0x00000100] + Pad[16181 -10826 14527 -10826 1102 2000 1402 "41" "41" 0x00000100] + Pad[16181 -12795 14527 -12795 1102 2000 1402 "42" "42" 0x00000100] +# top row + Pad[12795 -16181 12795 -14527 1102 2000 1402 "43" "43" 0x00000900] + Pad[10826 -16181 10826 -14527 1102 2000 1402 "44" "44" 0x00000900] + Pad[8858 -16181 8858 -14527 1102 2000 1402 "45" "45" 0x00000900] + Pad[6889 -16181 6889 -14527 1102 2000 1402 "46" "46" 0x00000900] + Pad[4921 -16181 4921 -14527 1102 2000 1402 "47" "47" 0x00000900] + Pad[2952 -16181 2952 -14527 1102 2000 1402 "48" "48" 0x00000900] + Pad[984 -16181 984 -14527 1102 2000 1402 "49" "49" 0x00000900] + Pad[-984 -16181 -984 -14527 1102 2000 1402 "50" "50" 0x00000900] + Pad[-2952 -16181 -2952 -14527 1102 2000 1402 "51" "51" 0x00000900] + Pad[-4921 -16181 -4921 -14527 1102 2000 1402 "52" "52" 0x00000900] + Pad[-6889 -16181 -6889 -14527 1102 2000 1402 "53" "53" 0x00000900] + Pad[-8858 -16181 -8858 -14527 1102 2000 1402 "54" "54" 0x00000900] + Pad[-10826 -16181 -10826 -14527 1102 2000 1402 "55" "55" 0x00000900] + Pad[-12795 -16181 -12795 -14527 1102 2000 1402 "56" "56" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 17732 17732 17732 -17732 1000] +ElementLine[ 17732 -17732 -17732 -17732 1000] +ElementLine[-17732 -17732 -17732 17732 1000] +ElementLine[-17732 17732 17732 17732 1000] +# Pin 1 indicator +ElementLine[-17732 -17732 -19232 -19232 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN56_8_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN56_8_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN56_8_EP.fp (revision 1033) @@ -0,0 +1,102 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN56_8_EP" 0 0 -17732 -18782 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-16181 -12795 -14527 -12795 1102 2000 1402 "1" "1" 0x00000100] + Pad[-16181 -10826 -14527 -10826 1102 2000 1402 "2" "2" 0x00000100] + Pad[-16181 -8858 -14527 -8858 1102 2000 1402 "3" "3" 0x00000100] + Pad[-16181 -6889 -14527 -6889 1102 2000 1402 "4" "4" 0x00000100] + Pad[-16181 -4921 -14527 -4921 1102 2000 1402 "5" "5" 0x00000100] + Pad[-16181 -2952 -14527 -2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-16181 -984 -14527 -984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-16181 984 -14527 984 1102 2000 1402 "8" "8" 0x00000100] + Pad[-16181 2952 -14527 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[-16181 4921 -14527 4921 1102 2000 1402 "10" "10" 0x00000100] + Pad[-16181 6889 -14527 6889 1102 2000 1402 "11" "11" 0x00000100] + Pad[-16181 8858 -14527 8858 1102 2000 1402 "12" "12" 0x00000100] + Pad[-16181 10826 -14527 10826 1102 2000 1402 "13" "13" 0x00000100] + Pad[-16181 12795 -14527 12795 1102 2000 1402 "14" "14" 0x00000100] +# bottom row + Pad[-12795 16181 -12795 14527 1102 2000 1402 "15" "15" 0x00000900] + Pad[-10826 16181 -10826 14527 1102 2000 1402 "16" "16" 0x00000900] + Pad[-8858 16181 -8858 14527 1102 2000 1402 "17" "17" 0x00000900] + Pad[-6889 16181 -6889 14527 1102 2000 1402 "18" "18" 0x00000900] + Pad[-4921 16181 -4921 14527 1102 2000 1402 "19" "19" 0x00000900] + Pad[-2952 16181 -2952 14527 1102 2000 1402 "20" "20" 0x00000900] + Pad[-984 16181 -984 14527 1102 2000 1402 "21" "21" 0x00000900] + Pad[984 16181 984 14527 1102 2000 1402 "22" "22" 0x00000900] + Pad[2952 16181 2952 14527 1102 2000 1402 "23" "23" 0x00000900] + Pad[4921 16181 4921 14527 1102 2000 1402 "24" "24" 0x00000900] + Pad[6889 16181 6889 14527 1102 2000 1402 "25" "25" 0x00000900] + Pad[8858 16181 8858 14527 1102 2000 1402 "26" "26" 0x00000900] + Pad[10826 16181 10826 14527 1102 2000 1402 "27" "27" 0x00000900] + Pad[12795 16181 12795 14527 1102 2000 1402 "28" "28" 0x00000900] +# right row + Pad[16181 12795 14527 12795 1102 2000 1402 "29" "29" 0x00000100] + Pad[16181 10826 14527 10826 1102 2000 1402 "30" "30" 0x00000100] + Pad[16181 8858 14527 8858 1102 2000 1402 "31" "31" 0x00000100] + Pad[16181 6889 14527 6889 1102 2000 1402 "32" "32" 0x00000100] + Pad[16181 4921 14527 4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[16181 2952 14527 2952 1102 2000 1402 "34" "34" 0x00000100] + Pad[16181 984 14527 984 1102 2000 1402 "35" "35" 0x00000100] + Pad[16181 -984 14527 -984 1102 2000 1402 "36" "36" 0x00000100] + Pad[16181 -2952 14527 -2952 1102 2000 1402 "37" "37" 0x00000100] + Pad[16181 -4921 14527 -4921 1102 2000 1402 "38" "38" 0x00000100] + Pad[16181 -6889 14527 -6889 1102 2000 1402 "39" "39" 0x00000100] + Pad[16181 -8858 14527 -8858 1102 2000 1402 "40" "40" 0x00000100] + Pad[16181 -10826 14527 -10826 1102 2000 1402 "41" "41" 0x00000100] + Pad[16181 -12795 14527 -12795 1102 2000 1402 "42" "42" 0x00000100] +# top row + Pad[12795 -16181 12795 -14527 1102 2000 1402 "43" "43" 0x00000900] + Pad[10826 -16181 10826 -14527 1102 2000 1402 "44" "44" 0x00000900] + Pad[8858 -16181 8858 -14527 1102 2000 1402 "45" "45" 0x00000900] + Pad[6889 -16181 6889 -14527 1102 2000 1402 "46" "46" 0x00000900] + Pad[4921 -16181 4921 -14527 1102 2000 1402 "47" "47" 0x00000900] + Pad[2952 -16181 2952 -14527 1102 2000 1402 "48" "48" 0x00000900] + Pad[984 -16181 984 -14527 1102 2000 1402 "49" "49" 0x00000900] + Pad[-984 -16181 -984 -14527 1102 2000 1402 "50" "50" 0x00000900] + Pad[-2952 -16181 -2952 -14527 1102 2000 1402 "51" "51" 0x00000900] + Pad[-4921 -16181 -4921 -14527 1102 2000 1402 "52" "52" 0x00000900] + Pad[-6889 -16181 -6889 -14527 1102 2000 1402 "53" "53" 0x00000900] + Pad[-8858 -16181 -8858 -14527 1102 2000 1402 "54" "54" 0x00000900] + Pad[-10826 -16181 -10826 -14527 1102 2000 1402 "55" "55" 0x00000900] + Pad[-12795 -16181 -12795 -14527 1102 2000 1402 "56" "56" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 26181 0 26581 "57" "57" 0x00000100] +# Silk screen around package +ElementLine[ 17732 17732 17732 -17732 1000] +ElementLine[ 17732 -17732 -17732 -17732 1000] +ElementLine[-17732 -17732 -17732 17732 1000] +ElementLine[-17732 17732 17732 17732 1000] +# Pin 1 indicator +ElementLine[-17732 -17732 -19232 -19232 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN68_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN68_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN68_10.fp (revision 1033) @@ -0,0 +1,111 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN68_10" 0 0 -21669 -22719 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-20118 -15748 -17677 -15748 1102 2000 1402 "1" "1" 0x00000100] + Pad[-20118 -13779 -17677 -13779 1102 2000 1402 "2" "2" 0x00000100] + Pad[-20118 -11811 -17677 -11811 1102 2000 1402 "3" "3" 0x00000100] + Pad[-20118 -9842 -17677 -9842 1102 2000 1402 "4" "4" 0x00000100] + Pad[-20118 -7874 -17677 -7874 1102 2000 1402 "5" "5" 0x00000100] + Pad[-20118 -5905 -17677 -5905 1102 2000 1402 "6" "6" 0x00000100] + Pad[-20118 -3937 -17677 -3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-20118 -1968 -17677 -1968 1102 2000 1402 "8" "8" 0x00000100] + Pad[-20118 0 -17677 0 1102 2000 1402 "9" "9" 0x00000100] + Pad[-20118 1968 -17677 1968 1102 2000 1402 "10" "10" 0x00000100] + Pad[-20118 3937 -17677 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[-20118 5905 -17677 5905 1102 2000 1402 "12" "12" 0x00000100] + Pad[-20118 7874 -17677 7874 1102 2000 1402 "13" "13" 0x00000100] + Pad[-20118 9842 -17677 9842 1102 2000 1402 "14" "14" 0x00000100] + Pad[-20118 11811 -17677 11811 1102 2000 1402 "15" "15" 0x00000100] + Pad[-20118 13779 -17677 13779 1102 2000 1402 "16" "16" 0x00000100] + Pad[-20118 15748 -17677 15748 1102 2000 1402 "17" "17" 0x00000100] +# bottom row + Pad[-15748 20118 -15748 17677 1102 2000 1402 "18" "18" 0x00000900] + Pad[-13779 20118 -13779 17677 1102 2000 1402 "19" "19" 0x00000900] + Pad[-11811 20118 -11811 17677 1102 2000 1402 "20" "20" 0x00000900] + Pad[-9842 20118 -9842 17677 1102 2000 1402 "21" "21" 0x00000900] + Pad[-7874 20118 -7874 17677 1102 2000 1402 "22" "22" 0x00000900] + Pad[-5905 20118 -5905 17677 1102 2000 1402 "23" "23" 0x00000900] + Pad[-3937 20118 -3937 17677 1102 2000 1402 "24" "24" 0x00000900] + Pad[-1968 20118 -1968 17677 1102 2000 1402 "25" "25" 0x00000900] + Pad[0 20118 0 17677 1102 2000 1402 "26" "26" 0x00000900] + Pad[1968 20118 1968 17677 1102 2000 1402 "27" "27" 0x00000900] + Pad[3937 20118 3937 17677 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 20118 5905 17677 1102 2000 1402 "29" "29" 0x00000900] + Pad[7874 20118 7874 17677 1102 2000 1402 "30" "30" 0x00000900] + Pad[9842 20118 9842 17677 1102 2000 1402 "31" "31" 0x00000900] + Pad[11811 20118 11811 17677 1102 2000 1402 "32" "32" 0x00000900] + Pad[13779 20118 13779 17677 1102 2000 1402 "33" "33" 0x00000900] + Pad[15748 20118 15748 17677 1102 2000 1402 "34" "34" 0x00000900] +# right row + Pad[20118 15748 17677 15748 1102 2000 1402 "35" "35" 0x00000100] + Pad[20118 13779 17677 13779 1102 2000 1402 "36" "36" 0x00000100] + Pad[20118 11811 17677 11811 1102 2000 1402 "37" "37" 0x00000100] + Pad[20118 9842 17677 9842 1102 2000 1402 "38" "38" 0x00000100] + Pad[20118 7874 17677 7874 1102 2000 1402 "39" "39" 0x00000100] + Pad[20118 5905 17677 5905 1102 2000 1402 "40" "40" 0x00000100] + Pad[20118 3937 17677 3937 1102 2000 1402 "41" "41" 0x00000100] + Pad[20118 1968 17677 1968 1102 2000 1402 "42" "42" 0x00000100] + Pad[20118 0 17677 0 1102 2000 1402 "43" "43" 0x00000100] + Pad[20118 -1968 17677 -1968 1102 2000 1402 "44" "44" 0x00000100] + Pad[20118 -3937 17677 -3937 1102 2000 1402 "45" "45" 0x00000100] + Pad[20118 -5905 17677 -5905 1102 2000 1402 "46" "46" 0x00000100] + Pad[20118 -7874 17677 -7874 1102 2000 1402 "47" "47" 0x00000100] + Pad[20118 -9842 17677 -9842 1102 2000 1402 "48" "48" 0x00000100] + Pad[20118 -11811 17677 -11811 1102 2000 1402 "49" "49" 0x00000100] + Pad[20118 -13779 17677 -13779 1102 2000 1402 "50" "50" 0x00000100] + Pad[20118 -15748 17677 -15748 1102 2000 1402 "51" "51" 0x00000100] +# top row + Pad[15748 -20118 15748 -17677 1102 2000 1402 "52" "52" 0x00000900] + Pad[13779 -20118 13779 -17677 1102 2000 1402 "53" "53" 0x00000900] + Pad[11811 -20118 11811 -17677 1102 2000 1402 "54" "54" 0x00000900] + Pad[9842 -20118 9842 -17677 1102 2000 1402 "55" "55" 0x00000900] + Pad[7874 -20118 7874 -17677 1102 2000 1402 "56" "56" 0x00000900] + Pad[5905 -20118 5905 -17677 1102 2000 1402 "57" "57" 0x00000900] + Pad[3937 -20118 3937 -17677 1102 2000 1402 "58" "58" 0x00000900] + Pad[1968 -20118 1968 -17677 1102 2000 1402 "59" "59" 0x00000900] + Pad[0 -20118 0 -17677 1102 2000 1402 "60" "60" 0x00000900] + Pad[-1968 -20118 -1968 -17677 1102 2000 1402 "61" "61" 0x00000900] + Pad[-3937 -20118 -3937 -17677 1102 2000 1402 "62" "62" 0x00000900] + Pad[-5905 -20118 -5905 -17677 1102 2000 1402 "63" "63" 0x00000900] + Pad[-7874 -20118 -7874 -17677 1102 2000 1402 "64" "64" 0x00000900] + Pad[-9842 -20118 -9842 -17677 1102 2000 1402 "65" "65" 0x00000900] + Pad[-11811 -20118 -11811 -17677 1102 2000 1402 "66" "66" 0x00000900] + Pad[-13779 -20118 -13779 -17677 1102 2000 1402 "67" "67" 0x00000900] + Pad[-15748 -20118 -15748 -17677 1102 2000 1402 "68" "68" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 21669 21669 21669 -21669 1000] +ElementLine[ 21669 -21669 -21669 -21669 1000] +ElementLine[-21669 -21669 -21669 21669 1000] +ElementLine[-21669 21669 21669 21669 1000] +# Pin 1 indicator +ElementLine[-21669 -21669 -23169 -23169 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFN68_10_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFN68_10_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFN68_10_EP.fp (revision 1033) @@ -0,0 +1,114 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "QFN68_10_EP" 0 0 -21669 -22719 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-20118 -15748 -17677 -15748 1102 2000 1402 "1" "1" 0x00000100] + Pad[-20118 -13779 -17677 -13779 1102 2000 1402 "2" "2" 0x00000100] + Pad[-20118 -11811 -17677 -11811 1102 2000 1402 "3" "3" 0x00000100] + Pad[-20118 -9842 -17677 -9842 1102 2000 1402 "4" "4" 0x00000100] + Pad[-20118 -7874 -17677 -7874 1102 2000 1402 "5" "5" 0x00000100] + Pad[-20118 -5905 -17677 -5905 1102 2000 1402 "6" "6" 0x00000100] + Pad[-20118 -3937 -17677 -3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-20118 -1968 -17677 -1968 1102 2000 1402 "8" "8" 0x00000100] + Pad[-20118 0 -17677 0 1102 2000 1402 "9" "9" 0x00000100] + Pad[-20118 1968 -17677 1968 1102 2000 1402 "10" "10" 0x00000100] + Pad[-20118 3937 -17677 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[-20118 5905 -17677 5905 1102 2000 1402 "12" "12" 0x00000100] + Pad[-20118 7874 -17677 7874 1102 2000 1402 "13" "13" 0x00000100] + Pad[-20118 9842 -17677 9842 1102 2000 1402 "14" "14" 0x00000100] + Pad[-20118 11811 -17677 11811 1102 2000 1402 "15" "15" 0x00000100] + Pad[-20118 13779 -17677 13779 1102 2000 1402 "16" "16" 0x00000100] + Pad[-20118 15748 -17677 15748 1102 2000 1402 "17" "17" 0x00000100] +# bottom row + Pad[-15748 20118 -15748 17677 1102 2000 1402 "18" "18" 0x00000900] + Pad[-13779 20118 -13779 17677 1102 2000 1402 "19" "19" 0x00000900] + Pad[-11811 20118 -11811 17677 1102 2000 1402 "20" "20" 0x00000900] + Pad[-9842 20118 -9842 17677 1102 2000 1402 "21" "21" 0x00000900] + Pad[-7874 20118 -7874 17677 1102 2000 1402 "22" "22" 0x00000900] + Pad[-5905 20118 -5905 17677 1102 2000 1402 "23" "23" 0x00000900] + Pad[-3937 20118 -3937 17677 1102 2000 1402 "24" "24" 0x00000900] + Pad[-1968 20118 -1968 17677 1102 2000 1402 "25" "25" 0x00000900] + Pad[0 20118 0 17677 1102 2000 1402 "26" "26" 0x00000900] + Pad[1968 20118 1968 17677 1102 2000 1402 "27" "27" 0x00000900] + Pad[3937 20118 3937 17677 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 20118 5905 17677 1102 2000 1402 "29" "29" 0x00000900] + Pad[7874 20118 7874 17677 1102 2000 1402 "30" "30" 0x00000900] + Pad[9842 20118 9842 17677 1102 2000 1402 "31" "31" 0x00000900] + Pad[11811 20118 11811 17677 1102 2000 1402 "32" "32" 0x00000900] + Pad[13779 20118 13779 17677 1102 2000 1402 "33" "33" 0x00000900] + Pad[15748 20118 15748 17677 1102 2000 1402 "34" "34" 0x00000900] +# right row + Pad[20118 15748 17677 15748 1102 2000 1402 "35" "35" 0x00000100] + Pad[20118 13779 17677 13779 1102 2000 1402 "36" "36" 0x00000100] + Pad[20118 11811 17677 11811 1102 2000 1402 "37" "37" 0x00000100] + Pad[20118 9842 17677 9842 1102 2000 1402 "38" "38" 0x00000100] + Pad[20118 7874 17677 7874 1102 2000 1402 "39" "39" 0x00000100] + Pad[20118 5905 17677 5905 1102 2000 1402 "40" "40" 0x00000100] + Pad[20118 3937 17677 3937 1102 2000 1402 "41" "41" 0x00000100] + Pad[20118 1968 17677 1968 1102 2000 1402 "42" "42" 0x00000100] + Pad[20118 0 17677 0 1102 2000 1402 "43" "43" 0x00000100] + Pad[20118 -1968 17677 -1968 1102 2000 1402 "44" "44" 0x00000100] + Pad[20118 -3937 17677 -3937 1102 2000 1402 "45" "45" 0x00000100] + Pad[20118 -5905 17677 -5905 1102 2000 1402 "46" "46" 0x00000100] + Pad[20118 -7874 17677 -7874 1102 2000 1402 "47" "47" 0x00000100] + Pad[20118 -9842 17677 -9842 1102 2000 1402 "48" "48" 0x00000100] + Pad[20118 -11811 17677 -11811 1102 2000 1402 "49" "49" 0x00000100] + Pad[20118 -13779 17677 -13779 1102 2000 1402 "50" "50" 0x00000100] + Pad[20118 -15748 17677 -15748 1102 2000 1402 "51" "51" 0x00000100] +# top row + Pad[15748 -20118 15748 -17677 1102 2000 1402 "52" "52" 0x00000900] + Pad[13779 -20118 13779 -17677 1102 2000 1402 "53" "53" 0x00000900] + Pad[11811 -20118 11811 -17677 1102 2000 1402 "54" "54" 0x00000900] + Pad[9842 -20118 9842 -17677 1102 2000 1402 "55" "55" 0x00000900] + Pad[7874 -20118 7874 -17677 1102 2000 1402 "56" "56" 0x00000900] + Pad[5905 -20118 5905 -17677 1102 2000 1402 "57" "57" 0x00000900] + Pad[3937 -20118 3937 -17677 1102 2000 1402 "58" "58" 0x00000900] + Pad[1968 -20118 1968 -17677 1102 2000 1402 "59" "59" 0x00000900] + Pad[0 -20118 0 -17677 1102 2000 1402 "60" "60" 0x00000900] + Pad[-1968 -20118 -1968 -17677 1102 2000 1402 "61" "61" 0x00000900] + Pad[-3937 -20118 -3937 -17677 1102 2000 1402 "62" "62" 0x00000900] + Pad[-5905 -20118 -5905 -17677 1102 2000 1402 "63" "63" 0x00000900] + Pad[-7874 -20118 -7874 -17677 1102 2000 1402 "64" "64" 0x00000900] + Pad[-9842 -20118 -9842 -17677 1102 2000 1402 "65" "65" 0x00000900] + Pad[-11811 -20118 -11811 -17677 1102 2000 1402 "66" "66" 0x00000900] + Pad[-13779 -20118 -13779 -17677 1102 2000 1402 "67" "67" 0x00000900] + Pad[-15748 -20118 -15748 -17677 1102 2000 1402 "68" "68" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 30314 0 30714 "69" "69" 0x00000100] +# Silk screen around package +ElementLine[ 21669 21669 21669 -21669 1000] +ElementLine[ 21669 -21669 -21669 -21669 1000] +ElementLine[-21669 -21669 -21669 21669 1000] +ElementLine[-21669 21669 21669 21669 1000] +# Pin 1 indicator +ElementLine[-21669 -21669 -23169 -23169 1000] +) Index: oldlib/lib/pcblib-newlib/geda/QFP100_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP100_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP100_14.fp (revision 1033) @@ -0,0 +1,119 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP100_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-35008 -23622 -30110 -23622 1102 3000 1402 "1" "1" 0x00000100] + Pad[-35008 -21654 -30110 -21654 1102 3000 1402 "2" "2" 0x00000100] + Pad[-35008 -19685 -30110 -19685 1102 3000 1402 "3" "3" 0x00000100] + Pad[-35008 -17717 -30110 -17717 1102 3000 1402 "4" "4" 0x00000100] + Pad[-35008 -15748 -30110 -15748 1102 3000 1402 "5" "5" 0x00000100] + Pad[-35008 -13780 -30110 -13780 1102 3000 1402 "6" "6" 0x00000100] + Pad[-35008 -11811 -30110 -11811 1102 3000 1402 "7" "7" 0x00000100] + Pad[-35008 -9843 -30110 -9843 1102 3000 1402 "8" "8" 0x00000100] + Pad[-35008 -7874 -30110 -7874 1102 3000 1402 "9" "9" 0x00000100] + Pad[-35008 -5906 -30110 -5906 1102 3000 1402 "10" "10" 0x00000100] + Pad[-35008 -3937 -30110 -3937 1102 3000 1402 "11" "11" 0x00000100] + Pad[-35008 -1969 -30110 -1969 1102 3000 1402 "12" "12" 0x00000100] + Pad[-35008 0 -30110 0 1102 3000 1402 "13" "13" 0x00000100] + Pad[-35008 1968 -30110 1968 1102 3000 1402 "14" "14" 0x00000100] + Pad[-35008 3937 -30110 3937 1102 3000 1402 "15" "15" 0x00000100] + Pad[-35008 5905 -30110 5905 1102 3000 1402 "16" "16" 0x00000100] + Pad[-35008 7874 -30110 7874 1102 3000 1402 "17" "17" 0x00000100] + Pad[-35008 9842 -30110 9842 1102 3000 1402 "18" "18" 0x00000100] + Pad[-35008 11811 -30110 11811 1102 3000 1402 "19" "19" 0x00000100] + Pad[-35008 13779 -30110 13779 1102 3000 1402 "20" "20" 0x00000100] + Pad[-35008 15748 -30110 15748 1102 3000 1402 "21" "21" 0x00000100] + Pad[-35008 17716 -30110 17716 1102 3000 1402 "22" "22" 0x00000100] + Pad[-35008 19685 -30110 19685 1102 3000 1402 "23" "23" 0x00000100] + Pad[-35008 21653 -30110 21653 1102 3000 1402 "24" "24" 0x00000100] + Pad[-35008 23622 -30110 23622 1102 3000 1402 "25" "25" 0x00000100] + # bottom row + Pad[-23622 35008 -23622 30110 1102 3000 1402 "26" "26" 0x00000900] + Pad[-21654 35008 -21654 30110 1102 3000 1402 "27" "27" 0x00000900] + Pad[-19685 35008 -19685 30110 1102 3000 1402 "28" "28" 0x00000900] + Pad[-17717 35008 -17717 30110 1102 3000 1402 "29" "29" 0x00000900] + Pad[-15748 35008 -15748 30110 1102 3000 1402 "30" "30" 0x00000900] + Pad[-13780 35008 -13780 30110 1102 3000 1402 "31" "31" 0x00000900] + Pad[-11811 35008 -11811 30110 1102 3000 1402 "32" "32" 0x00000900] + Pad[-9843 35008 -9843 30110 1102 3000 1402 "33" "33" 0x00000900] + Pad[-7874 35008 -7874 30110 1102 3000 1402 "34" "34" 0x00000900] + Pad[-5906 35008 -5906 30110 1102 3000 1402 "35" "35" 0x00000900] + Pad[-3937 35008 -3937 30110 1102 3000 1402 "36" "36" 0x00000900] + Pad[-1969 35008 -1969 30110 1102 3000 1402 "37" "37" 0x00000900] + Pad[0 35008 0 30110 1102 3000 1402 "38" "38" 0x00000900] + Pad[1968 35008 1968 30110 1102 3000 1402 "39" "39" 0x00000900] + Pad[3937 35008 3937 30110 1102 3000 1402 "40" "40" 0x00000900] + Pad[5905 35008 5905 30110 1102 3000 1402 "41" "41" 0x00000900] + Pad[7874 35008 7874 30110 1102 3000 1402 "42" "42" 0x00000900] + Pad[9842 35008 9842 30110 1102 3000 1402 "43" "43" 0x00000900] + Pad[11811 35008 11811 30110 1102 3000 1402 "44" "44" 0x00000900] + Pad[13779 35008 13779 30110 1102 3000 1402 "45" "45" 0x00000900] + Pad[15748 35008 15748 30110 1102 3000 1402 "46" "46" 0x00000900] + Pad[17716 35008 17716 30110 1102 3000 1402 "47" "47" 0x00000900] + Pad[19685 35008 19685 30110 1102 3000 1402 "48" "48" 0x00000900] + Pad[21653 35008 21653 30110 1102 3000 1402 "49" "49" 0x00000900] + Pad[23622 35008 23622 30110 1102 3000 1402 "50" "50" 0x00000900] + # right row + Pad[35008 23622 30110 23622 1102 3000 1402 "51" "51" 0x00000100] + Pad[35008 21654 30110 21654 1102 3000 1402 "52" "52" 0x00000100] + Pad[35008 19685 30110 19685 1102 3000 1402 "53" "53" 0x00000100] + Pad[35008 17717 30110 17717 1102 3000 1402 "54" "54" 0x00000100] + Pad[35008 15748 30110 15748 1102 3000 1402 "55" "55" 0x00000100] + Pad[35008 13780 30110 13780 1102 3000 1402 "56" "56" 0x00000100] + Pad[35008 11811 30110 11811 1102 3000 1402 "57" "57" 0x00000100] + Pad[35008 9843 30110 9843 1102 3000 1402 "58" "58" 0x00000100] + Pad[35008 7874 30110 7874 1102 3000 1402 "59" "59" 0x00000100] + Pad[35008 5906 30110 5906 1102 3000 1402 "60" "60" 0x00000100] + Pad[35008 3937 30110 3937 1102 3000 1402 "61" "61" 0x00000100] + Pad[35008 1969 30110 1969 1102 3000 1402 "62" "62" 0x00000100] + Pad[35008 0 30110 0 1102 3000 1402 "63" "63" 0x00000100] + Pad[35008 -1968 30110 -1968 1102 3000 1402 "64" "64" 0x00000100] + Pad[35008 -3937 30110 -3937 1102 3000 1402 "65" "65" 0x00000100] + Pad[35008 -5905 30110 -5905 1102 3000 1402 "66" "66" 0x00000100] + Pad[35008 -7874 30110 -7874 1102 3000 1402 "67" "67" 0x00000100] + Pad[35008 -9842 30110 -9842 1102 3000 1402 "68" "68" 0x00000100] + Pad[35008 -11811 30110 -11811 1102 3000 1402 "69" "69" 0x00000100] + Pad[35008 -13779 30110 -13779 1102 3000 1402 "70" "70" 0x00000100] + Pad[35008 -15748 30110 -15748 1102 3000 1402 "71" "71" 0x00000100] + Pad[35008 -17716 30110 -17716 1102 3000 1402 "72" "72" 0x00000100] + Pad[35008 -19685 30110 -19685 1102 3000 1402 "73" "73" 0x00000100] + Pad[35008 -21653 30110 -21653 1102 3000 1402 "74" "74" 0x00000100] + Pad[35008 -23622 30110 -23622 1102 3000 1402 "75" "75" 0x00000100] + # top row + Pad[23622 -35008 23622 -30110 1102 3000 1402 "76" "76" 0x00000900] + Pad[21654 -35008 21654 -30110 1102 3000 1402 "77" "77" 0x00000900] + Pad[19685 -35008 19685 -30110 1102 3000 1402 "78" "78" 0x00000900] + Pad[17717 -35008 17717 -30110 1102 3000 1402 "79" "79" 0x00000900] + Pad[15748 -35008 15748 -30110 1102 3000 1402 "80" "80" 0x00000900] + Pad[13780 -35008 13780 -30110 1102 3000 1402 "81" "81" 0x00000900] + Pad[11811 -35008 11811 -30110 1102 3000 1402 "82" "82" 0x00000900] + Pad[9843 -35008 9843 -30110 1102 3000 1402 "83" "83" 0x00000900] + Pad[7874 -35008 7874 -30110 1102 3000 1402 "84" "84" 0x00000900] + Pad[5906 -35008 5906 -30110 1102 3000 1402 "85" "85" 0x00000900] + Pad[3937 -35008 3937 -30110 1102 3000 1402 "86" "86" 0x00000900] + Pad[1969 -35008 1969 -30110 1102 3000 1402 "87" "87" 0x00000900] + Pad[0 -35008 0 -30110 1102 3000 1402 "88" "88" 0x00000900] + Pad[-1968 -35008 -1968 -30110 1102 3000 1402 "89" "89" 0x00000900] + Pad[-3937 -35008 -3937 -30110 1102 3000 1402 "90" "90" 0x00000900] + Pad[-5905 -35008 -5905 -30110 1102 3000 1402 "91" "91" 0x00000900] + Pad[-7874 -35008 -7874 -30110 1102 3000 1402 "92" "92" 0x00000900] + Pad[-9842 -35008 -9842 -30110 1102 3000 1402 "93" "93" 0x00000900] + Pad[-11811 -35008 -11811 -30110 1102 3000 1402 "94" "94" 0x00000900] + Pad[-13779 -35008 -13779 -30110 1102 3000 1402 "95" "95" 0x00000900] + Pad[-15748 -35008 -15748 -30110 1102 3000 1402 "96" "96" 0x00000900] + Pad[-17716 -35008 -17716 -30110 1102 3000 1402 "97" "97" 0x00000900] + Pad[-19685 -35008 -19685 -30110 1102 3000 1402 "98" "98" 0x00000900] + Pad[-21653 -35008 -21653 -30110 1102 3000 1402 "99" "99" 0x00000900] + Pad[-23622 -35008 -23622 -30110 1102 3000 1402 "100" "100" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP100_R.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP100_R.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP100_R.fp (revision 1033) @@ -0,0 +1,119 @@ +Element[0x00000000 "Rectangular Quad-side flat pack" "" "QFP100_R" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-36772 -37105 -30346 -37105 1574 3000 1874 "1" "1" 0x00000100] + Pad[-36772 -34546 -30346 -34546 1574 3000 1874 "2" "2" 0x00000100] + Pad[-36772 -31987 -30346 -31987 1574 3000 1874 "3" "3" 0x00000100] + Pad[-36772 -29428 -30346 -29428 1574 3000 1874 "4" "4" 0x00000100] + Pad[-36772 -26869 -30346 -26869 1574 3000 1874 "5" "5" 0x00000100] + Pad[-36772 -24310 -30346 -24310 1574 3000 1874 "6" "6" 0x00000100] + Pad[-36772 -21751 -30346 -21751 1574 3000 1874 "7" "7" 0x00000100] + Pad[-36772 -19192 -30346 -19192 1574 3000 1874 "8" "8" 0x00000100] + Pad[-36772 -16633 -30346 -16633 1574 3000 1874 "9" "9" 0x00000100] + Pad[-36772 -14074 -30346 -14074 1574 3000 1874 "10" "10" 0x00000100] + Pad[-36772 -11515 -30346 -11515 1574 3000 1874 "11" "11" 0x00000100] + Pad[-36772 -8956 -30346 -8956 1574 3000 1874 "12" "12" 0x00000100] + Pad[-36772 -6397 -30346 -6397 1574 3000 1874 "13" "13" 0x00000100] + Pad[-36772 -3838 -30346 -3838 1574 3000 1874 "14" "14" 0x00000100] + Pad[-36772 -1279 -30346 -1279 1574 3000 1874 "15" "15" 0x00000100] + Pad[-36772 1280 -30346 1280 1574 3000 1874 "16" "16" 0x00000100] + Pad[-36772 3839 -30346 3839 1574 3000 1874 "17" "17" 0x00000100] + Pad[-36772 6398 -30346 6398 1574 3000 1874 "18" "18" 0x00000100] + Pad[-36772 8957 -30346 8957 1574 3000 1874 "19" "19" 0x00000100] + Pad[-36772 11516 -30346 11516 1574 3000 1874 "20" "20" 0x00000100] + Pad[-36772 14075 -30346 14075 1574 3000 1874 "21" "21" 0x00000100] + Pad[-36772 16634 -30346 16634 1574 3000 1874 "22" "22" 0x00000100] + Pad[-36772 19193 -30346 19193 1574 3000 1874 "23" "23" 0x00000100] + Pad[-36772 21752 -30346 21752 1574 3000 1874 "24" "24" 0x00000100] + Pad[-36772 24311 -30346 24311 1574 3000 1874 "25" "25" 0x00000100] + Pad[-36772 26870 -30346 26870 1574 3000 1874 "26" "26" 0x00000100] + Pad[-36772 29429 -30346 29429 1574 3000 1874 "27" "27" 0x00000100] + Pad[-36772 31988 -30346 31988 1574 3000 1874 "28" "28" 0x00000100] + Pad[-36772 34547 -30346 34547 1574 3000 1874 "29" "29" 0x00000100] + Pad[-36772 37106 -30346 37106 1574 3000 1874 "30" "30" 0x00000100] + # bottom row + Pad[-24310 48583 -24310 42157 1574 3000 1874 "31" "31" 0x00000900] + Pad[-21751 48583 -21751 42157 1574 3000 1874 "32" "32" 0x00000900] + Pad[-19192 48583 -19192 42157 1574 3000 1874 "33" "33" 0x00000900] + Pad[-16633 48583 -16633 42157 1574 3000 1874 "34" "34" 0x00000900] + Pad[-14074 48583 -14074 42157 1574 3000 1874 "35" "35" 0x00000900] + Pad[-11515 48583 -11515 42157 1574 3000 1874 "36" "36" 0x00000900] + Pad[-8956 48583 -8956 42157 1574 3000 1874 "37" "37" 0x00000900] + Pad[-6397 48583 -6397 42157 1574 3000 1874 "38" "38" 0x00000900] + Pad[-3838 48583 -3838 42157 1574 3000 1874 "39" "39" 0x00000900] + Pad[-1279 48583 -1279 42157 1574 3000 1874 "40" "40" 0x00000900] + Pad[1280 48583 1280 42157 1574 3000 1874 "41" "41" 0x00000900] + Pad[3839 48583 3839 42157 1574 3000 1874 "42" "42" 0x00000900] + Pad[6398 48583 6398 42157 1574 3000 1874 "43" "43" 0x00000900] + Pad[8957 48583 8957 42157 1574 3000 1874 "44" "44" 0x00000900] + Pad[11516 48583 11516 42157 1574 3000 1874 "45" "45" 0x00000900] + Pad[14075 48583 14075 42157 1574 3000 1874 "46" "46" 0x00000900] + Pad[16634 48583 16634 42157 1574 3000 1874 "47" "47" 0x00000900] + Pad[19193 48583 19193 42157 1574 3000 1874 "48" "48" 0x00000900] + Pad[21752 48583 21752 42157 1574 3000 1874 "49" "49" 0x00000900] + Pad[24311 48583 24311 42157 1574 3000 1874 "50" "50" 0x00000900] + # right row + Pad[36772 37105 30346 37105 1574 3000 1874 "51" "51" 0x00000100] + Pad[36772 34546 30346 34546 1574 3000 1874 "52" "52" 0x00000100] + Pad[36772 31987 30346 31987 1574 3000 1874 "53" "53" 0x00000100] + Pad[36772 29428 30346 29428 1574 3000 1874 "54" "54" 0x00000100] + Pad[36772 26869 30346 26869 1574 3000 1874 "55" "55" 0x00000100] + Pad[36772 24310 30346 24310 1574 3000 1874 "56" "56" 0x00000100] + Pad[36772 21751 30346 21751 1574 3000 1874 "57" "57" 0x00000100] + Pad[36772 19192 30346 19192 1574 3000 1874 "58" "58" 0x00000100] + Pad[36772 16633 30346 16633 1574 3000 1874 "59" "59" 0x00000100] + Pad[36772 14074 30346 14074 1574 3000 1874 "60" "60" 0x00000100] + Pad[36772 11515 30346 11515 1574 3000 1874 "61" "61" 0x00000100] + Pad[36772 8956 30346 8956 1574 3000 1874 "62" "62" 0x00000100] + Pad[36772 6397 30346 6397 1574 3000 1874 "63" "63" 0x00000100] + Pad[36772 3838 30346 3838 1574 3000 1874 "64" "64" 0x00000100] + Pad[36772 1279 30346 1279 1574 3000 1874 "65" "65" 0x00000100] + Pad[36772 -1280 30346 -1280 1574 3000 1874 "66" "66" 0x00000100] + Pad[36772 -3839 30346 -3839 1574 3000 1874 "67" "67" 0x00000100] + Pad[36772 -6398 30346 -6398 1574 3000 1874 "68" "68" 0x00000100] + Pad[36772 -8957 30346 -8957 1574 3000 1874 "69" "69" 0x00000100] + Pad[36772 -11516 30346 -11516 1574 3000 1874 "70" "70" 0x00000100] + Pad[36772 -14075 30346 -14075 1574 3000 1874 "71" "71" 0x00000100] + Pad[36772 -16634 30346 -16634 1574 3000 1874 "72" "72" 0x00000100] + Pad[36772 -19193 30346 -19193 1574 3000 1874 "73" "73" 0x00000100] + Pad[36772 -21752 30346 -21752 1574 3000 1874 "74" "74" 0x00000100] + Pad[36772 -24311 30346 -24311 1574 3000 1874 "75" "75" 0x00000100] + Pad[36772 -26870 30346 -26870 1574 3000 1874 "76" "76" 0x00000100] + Pad[36772 -29429 30346 -29429 1574 3000 1874 "77" "77" 0x00000100] + Pad[36772 -31988 30346 -31988 1574 3000 1874 "78" "78" 0x00000100] + Pad[36772 -34547 30346 -34547 1574 3000 1874 "79" "79" 0x00000100] + Pad[36772 -37106 30346 -37106 1574 3000 1874 "80" "80" 0x00000100] + # top row + Pad[24310 -48583 24310 -42157 1574 3000 1874 "81" "81" 0x00000900] + Pad[21751 -48583 21751 -42157 1574 3000 1874 "82" "82" 0x00000900] + Pad[19192 -48583 19192 -42157 1574 3000 1874 "83" "83" 0x00000900] + Pad[16633 -48583 16633 -42157 1574 3000 1874 "84" "84" 0x00000900] + Pad[14074 -48583 14074 -42157 1574 3000 1874 "85" "85" 0x00000900] + Pad[11515 -48583 11515 -42157 1574 3000 1874 "86" "86" 0x00000900] + Pad[8956 -48583 8956 -42157 1574 3000 1874 "87" "87" 0x00000900] + Pad[6397 -48583 6397 -42157 1574 3000 1874 "88" "88" 0x00000900] + Pad[3838 -48583 3838 -42157 1574 3000 1874 "89" "89" 0x00000900] + Pad[1279 -48583 1279 -42157 1574 3000 1874 "90" "90" 0x00000900] + Pad[-1280 -48583 -1280 -42157 1574 3000 1874 "91" "91" 0x00000900] + Pad[-3839 -48583 -3839 -42157 1574 3000 1874 "92" "92" 0x00000900] + Pad[-6398 -48583 -6398 -42157 1574 3000 1874 "93" "93" 0x00000900] + Pad[-8957 -48583 -8957 -42157 1574 3000 1874 "94" "94" 0x00000900] + Pad[-11516 -48583 -11516 -42157 1574 3000 1874 "95" "95" 0x00000900] + Pad[-14075 -48583 -14075 -42157 1574 3000 1874 "96" "96" 0x00000900] + Pad[-16634 -48583 -16634 -42157 1574 3000 1874 "97" "97" 0x00000900] + Pad[-19193 -48583 -19193 -42157 1574 3000 1874 "98" "98" 0x00000900] + Pad[-21752 -48583 -21752 -42157 1574 3000 1874 "99" "99" 0x00000900] + Pad[-24311 -48583 -24311 -42157 1574 3000 1874 "100" "100" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -38970 27159 -38970 800] + # right + ElementLine[27159 -38970 27159 38970 800] + # bottom + ElementLine[27159 38970 -27159 38970 800] + # left + ElementLine[-27159 38970 -27159 -36070 800] + # angled corner + ElementLine[-27159 -36070 -24259 -38970 800] + ElementArc[-24259 -36070 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP120_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP120_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP120_28.fp (revision 1033) @@ -0,0 +1,139 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP120_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -45669 -57905 -45669 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -42520 -57905 -42520 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -39370 -57905 -39370 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -36221 -57905 -36221 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -33071 -57905 -33071 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -29921 -57905 -29921 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -26772 -57905 -26772 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -23622 -57905 -23622 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -20473 -57905 -20473 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -17323 -57905 -17323 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -14173 -57905 -14173 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -11024 -57905 -11024 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -7874 -57905 -7874 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -4725 -57905 -4725 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -1575 -57905 -1575 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 1575 -57905 1575 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 4724 -57905 4724 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 7874 -57905 7874 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 11023 -57905 11023 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 14173 -57905 14173 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 17323 -57905 17323 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 20472 -57905 20472 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 23622 -57905 23622 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 26771 -57905 26771 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 29921 -57905 29921 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 33071 -57905 33071 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 36220 -57905 36220 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 39370 -57905 39370 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 42519 -57905 42519 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 45669 -57905 45669 1574 3000 1874 "30" "30" 0x00000100] + # bottom row + Pad[-45669 62331 -45669 57905 1574 3000 1874 "31" "31" 0x00000900] + Pad[-42520 62331 -42520 57905 1574 3000 1874 "32" "32" 0x00000900] + Pad[-39370 62331 -39370 57905 1574 3000 1874 "33" "33" 0x00000900] + Pad[-36221 62331 -36221 57905 1574 3000 1874 "34" "34" 0x00000900] + Pad[-33071 62331 -33071 57905 1574 3000 1874 "35" "35" 0x00000900] + Pad[-29921 62331 -29921 57905 1574 3000 1874 "36" "36" 0x00000900] + Pad[-26772 62331 -26772 57905 1574 3000 1874 "37" "37" 0x00000900] + Pad[-23622 62331 -23622 57905 1574 3000 1874 "38" "38" 0x00000900] + Pad[-20473 62331 -20473 57905 1574 3000 1874 "39" "39" 0x00000900] + Pad[-17323 62331 -17323 57905 1574 3000 1874 "40" "40" 0x00000900] + Pad[-14173 62331 -14173 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-11024 62331 -11024 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-7874 62331 -7874 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-4725 62331 -4725 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-1575 62331 -1575 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[1575 62331 1575 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[4724 62331 4724 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[7874 62331 7874 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[11023 62331 11023 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[14173 62331 14173 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[17323 62331 17323 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[20472 62331 20472 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[23622 62331 23622 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[26771 62331 26771 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[29921 62331 29921 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[33071 62331 33071 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[36220 62331 36220 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[39370 62331 39370 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[42519 62331 42519 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[45669 62331 45669 57905 1574 3000 1874 "60" "60" 0x00000900] + # right row + Pad[62331 45669 57905 45669 1574 3000 1874 "61" "61" 0x00000100] + Pad[62331 42520 57905 42520 1574 3000 1874 "62" "62" 0x00000100] + Pad[62331 39370 57905 39370 1574 3000 1874 "63" "63" 0x00000100] + Pad[62331 36221 57905 36221 1574 3000 1874 "64" "64" 0x00000100] + Pad[62331 33071 57905 33071 1574 3000 1874 "65" "65" 0x00000100] + Pad[62331 29921 57905 29921 1574 3000 1874 "66" "66" 0x00000100] + Pad[62331 26772 57905 26772 1574 3000 1874 "67" "67" 0x00000100] + Pad[62331 23622 57905 23622 1574 3000 1874 "68" "68" 0x00000100] + Pad[62331 20473 57905 20473 1574 3000 1874 "69" "69" 0x00000100] + Pad[62331 17323 57905 17323 1574 3000 1874 "70" "70" 0x00000100] + Pad[62331 14173 57905 14173 1574 3000 1874 "71" "71" 0x00000100] + Pad[62331 11024 57905 11024 1574 3000 1874 "72" "72" 0x00000100] + Pad[62331 7874 57905 7874 1574 3000 1874 "73" "73" 0x00000100] + Pad[62331 4725 57905 4725 1574 3000 1874 "74" "74" 0x00000100] + Pad[62331 1575 57905 1575 1574 3000 1874 "75" "75" 0x00000100] + Pad[62331 -1575 57905 -1575 1574 3000 1874 "76" "76" 0x00000100] + Pad[62331 -4724 57905 -4724 1574 3000 1874 "77" "77" 0x00000100] + Pad[62331 -7874 57905 -7874 1574 3000 1874 "78" "78" 0x00000100] + Pad[62331 -11023 57905 -11023 1574 3000 1874 "79" "79" 0x00000100] + Pad[62331 -14173 57905 -14173 1574 3000 1874 "80" "80" 0x00000100] + Pad[62331 -17323 57905 -17323 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 -20472 57905 -20472 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 -23622 57905 -23622 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 -26771 57905 -26771 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 -29921 57905 -29921 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 -33071 57905 -33071 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 -36220 57905 -36220 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 -39370 57905 -39370 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 -42519 57905 -42519 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 -45669 57905 -45669 1574 3000 1874 "90" "90" 0x00000100] + # top row + Pad[45669 -62331 45669 -57905 1574 3000 1874 "91" "91" 0x00000900] + Pad[42520 -62331 42520 -57905 1574 3000 1874 "92" "92" 0x00000900] + Pad[39370 -62331 39370 -57905 1574 3000 1874 "93" "93" 0x00000900] + Pad[36221 -62331 36221 -57905 1574 3000 1874 "94" "94" 0x00000900] + Pad[33071 -62331 33071 -57905 1574 3000 1874 "95" "95" 0x00000900] + Pad[29921 -62331 29921 -57905 1574 3000 1874 "96" "96" 0x00000900] + Pad[26772 -62331 26772 -57905 1574 3000 1874 "97" "97" 0x00000900] + Pad[23622 -62331 23622 -57905 1574 3000 1874 "98" "98" 0x00000900] + Pad[20473 -62331 20473 -57905 1574 3000 1874 "99" "99" 0x00000900] + Pad[17323 -62331 17323 -57905 1574 3000 1874 "100" "100" 0x00000900] + Pad[14173 -62331 14173 -57905 1574 3000 1874 "101" "101" 0x00000900] + Pad[11024 -62331 11024 -57905 1574 3000 1874 "102" "102" 0x00000900] + Pad[7874 -62331 7874 -57905 1574 3000 1874 "103" "103" 0x00000900] + Pad[4725 -62331 4725 -57905 1574 3000 1874 "104" "104" 0x00000900] + Pad[1575 -62331 1575 -57905 1574 3000 1874 "105" "105" 0x00000900] + Pad[-1575 -62331 -1575 -57905 1574 3000 1874 "106" "106" 0x00000900] + Pad[-4724 -62331 -4724 -57905 1574 3000 1874 "107" "107" 0x00000900] + Pad[-7874 -62331 -7874 -57905 1574 3000 1874 "108" "108" 0x00000900] + Pad[-11023 -62331 -11023 -57905 1574 3000 1874 "109" "109" 0x00000900] + Pad[-14173 -62331 -14173 -57905 1574 3000 1874 "110" "110" 0x00000900] + Pad[-17323 -62331 -17323 -57905 1574 3000 1874 "111" "111" 0x00000900] + Pad[-20472 -62331 -20472 -57905 1574 3000 1874 "112" "112" 0x00000900] + Pad[-23622 -62331 -23622 -57905 1574 3000 1874 "113" "113" 0x00000900] + Pad[-26771 -62331 -26771 -57905 1574 3000 1874 "114" "114" 0x00000900] + Pad[-29921 -62331 -29921 -57905 1574 3000 1874 "115" "115" 0x00000900] + Pad[-33071 -62331 -33071 -57905 1574 3000 1874 "116" "116" 0x00000900] + Pad[-36220 -62331 -36220 -57905 1574 3000 1874 "117" "117" 0x00000900] + Pad[-39370 -62331 -39370 -57905 1574 3000 1874 "118" "118" 0x00000900] + Pad[-42519 -62331 -42519 -57905 1574 3000 1874 "119" "119" 0x00000900] + Pad[-45669 -62331 -45669 -57905 1574 3000 1874 "120" "120" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP128_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP128_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP128_28.fp (revision 1033) @@ -0,0 +1,147 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP128_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -48818 -57905 -48818 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -45669 -57905 -45669 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -42519 -57905 -42519 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -39370 -57905 -39370 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -36220 -57905 -36220 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -33070 -57905 -33070 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -29921 -57905 -29921 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -26771 -57905 -26771 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -23622 -57905 -23622 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -20472 -57905 -20472 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -17322 -57905 -17322 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -14173 -57905 -14173 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -11023 -57905 -11023 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -7874 -57905 -7874 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -4724 -57905 -4724 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 -1574 -57905 -1574 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 1575 -57905 1575 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 4725 -57905 4725 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 7874 -57905 7874 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 11024 -57905 11024 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 14174 -57905 14174 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 17323 -57905 17323 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 20473 -57905 20473 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 23622 -57905 23622 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 26772 -57905 26772 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 29922 -57905 29922 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 33071 -57905 33071 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 36221 -57905 36221 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 39370 -57905 39370 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 42520 -57905 42520 1574 3000 1874 "30" "30" 0x00000100] + Pad[-62331 45670 -57905 45670 1574 3000 1874 "31" "31" 0x00000100] + Pad[-62331 48819 -57905 48819 1574 3000 1874 "32" "32" 0x00000100] + # bottom row + Pad[-48818 62331 -48818 57905 1574 3000 1874 "33" "33" 0x00000900] + Pad[-45669 62331 -45669 57905 1574 3000 1874 "34" "34" 0x00000900] + Pad[-42519 62331 -42519 57905 1574 3000 1874 "35" "35" 0x00000900] + Pad[-39370 62331 -39370 57905 1574 3000 1874 "36" "36" 0x00000900] + Pad[-36220 62331 -36220 57905 1574 3000 1874 "37" "37" 0x00000900] + Pad[-33070 62331 -33070 57905 1574 3000 1874 "38" "38" 0x00000900] + Pad[-29921 62331 -29921 57905 1574 3000 1874 "39" "39" 0x00000900] + Pad[-26771 62331 -26771 57905 1574 3000 1874 "40" "40" 0x00000900] + Pad[-23622 62331 -23622 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-20472 62331 -20472 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-17322 62331 -17322 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-14173 62331 -14173 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-11023 62331 -11023 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[-7874 62331 -7874 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[-4724 62331 -4724 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[-1574 62331 -1574 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[1575 62331 1575 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[4725 62331 4725 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[7874 62331 7874 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[11024 62331 11024 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[14174 62331 14174 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[17323 62331 17323 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[20473 62331 20473 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[23622 62331 23622 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[26772 62331 26772 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[29922 62331 29922 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[33071 62331 33071 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[36221 62331 36221 57905 1574 3000 1874 "60" "60" 0x00000900] + Pad[39370 62331 39370 57905 1574 3000 1874 "61" "61" 0x00000900] + Pad[42520 62331 42520 57905 1574 3000 1874 "62" "62" 0x00000900] + Pad[45670 62331 45670 57905 1574 3000 1874 "63" "63" 0x00000900] + Pad[48819 62331 48819 57905 1574 3000 1874 "64" "64" 0x00000900] + # right row + Pad[62331 48818 57905 48818 1574 3000 1874 "65" "65" 0x00000100] + Pad[62331 45669 57905 45669 1574 3000 1874 "66" "66" 0x00000100] + Pad[62331 42519 57905 42519 1574 3000 1874 "67" "67" 0x00000100] + Pad[62331 39370 57905 39370 1574 3000 1874 "68" "68" 0x00000100] + Pad[62331 36220 57905 36220 1574 3000 1874 "69" "69" 0x00000100] + Pad[62331 33070 57905 33070 1574 3000 1874 "70" "70" 0x00000100] + Pad[62331 29921 57905 29921 1574 3000 1874 "71" "71" 0x00000100] + Pad[62331 26771 57905 26771 1574 3000 1874 "72" "72" 0x00000100] + Pad[62331 23622 57905 23622 1574 3000 1874 "73" "73" 0x00000100] + Pad[62331 20472 57905 20472 1574 3000 1874 "74" "74" 0x00000100] + Pad[62331 17322 57905 17322 1574 3000 1874 "75" "75" 0x00000100] + Pad[62331 14173 57905 14173 1574 3000 1874 "76" "76" 0x00000100] + Pad[62331 11023 57905 11023 1574 3000 1874 "77" "77" 0x00000100] + Pad[62331 7874 57905 7874 1574 3000 1874 "78" "78" 0x00000100] + Pad[62331 4724 57905 4724 1574 3000 1874 "79" "79" 0x00000100] + Pad[62331 1574 57905 1574 1574 3000 1874 "80" "80" 0x00000100] + Pad[62331 -1575 57905 -1575 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 -4725 57905 -4725 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 -7874 57905 -7874 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 -11024 57905 -11024 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 -14174 57905 -14174 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 -17323 57905 -17323 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 -20473 57905 -20473 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 -23622 57905 -23622 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 -26772 57905 -26772 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 -29922 57905 -29922 1574 3000 1874 "90" "90" 0x00000100] + Pad[62331 -33071 57905 -33071 1574 3000 1874 "91" "91" 0x00000100] + Pad[62331 -36221 57905 -36221 1574 3000 1874 "92" "92" 0x00000100] + Pad[62331 -39370 57905 -39370 1574 3000 1874 "93" "93" 0x00000100] + Pad[62331 -42520 57905 -42520 1574 3000 1874 "94" "94" 0x00000100] + Pad[62331 -45670 57905 -45670 1574 3000 1874 "95" "95" 0x00000100] + Pad[62331 -48819 57905 -48819 1574 3000 1874 "96" "96" 0x00000100] + # top row + Pad[48818 -62331 48818 -57905 1574 3000 1874 "97" "97" 0x00000900] + Pad[45669 -62331 45669 -57905 1574 3000 1874 "98" "98" 0x00000900] + Pad[42519 -62331 42519 -57905 1574 3000 1874 "99" "99" 0x00000900] + Pad[39370 -62331 39370 -57905 1574 3000 1874 "100" "100" 0x00000900] + Pad[36220 -62331 36220 -57905 1574 3000 1874 "101" "101" 0x00000900] + Pad[33070 -62331 33070 -57905 1574 3000 1874 "102" "102" 0x00000900] + Pad[29921 -62331 29921 -57905 1574 3000 1874 "103" "103" 0x00000900] + Pad[26771 -62331 26771 -57905 1574 3000 1874 "104" "104" 0x00000900] + Pad[23622 -62331 23622 -57905 1574 3000 1874 "105" "105" 0x00000900] + Pad[20472 -62331 20472 -57905 1574 3000 1874 "106" "106" 0x00000900] + Pad[17322 -62331 17322 -57905 1574 3000 1874 "107" "107" 0x00000900] + Pad[14173 -62331 14173 -57905 1574 3000 1874 "108" "108" 0x00000900] + Pad[11023 -62331 11023 -57905 1574 3000 1874 "109" "109" 0x00000900] + Pad[7874 -62331 7874 -57905 1574 3000 1874 "110" "110" 0x00000900] + Pad[4724 -62331 4724 -57905 1574 3000 1874 "111" "111" 0x00000900] + Pad[1574 -62331 1574 -57905 1574 3000 1874 "112" "112" 0x00000900] + Pad[-1575 -62331 -1575 -57905 1574 3000 1874 "113" "113" 0x00000900] + Pad[-4725 -62331 -4725 -57905 1574 3000 1874 "114" "114" 0x00000900] + Pad[-7874 -62331 -7874 -57905 1574 3000 1874 "115" "115" 0x00000900] + Pad[-11024 -62331 -11024 -57905 1574 3000 1874 "116" "116" 0x00000900] + Pad[-14174 -62331 -14174 -57905 1574 3000 1874 "117" "117" 0x00000900] + Pad[-17323 -62331 -17323 -57905 1574 3000 1874 "118" "118" 0x00000900] + Pad[-20473 -62331 -20473 -57905 1574 3000 1874 "119" "119" 0x00000900] + Pad[-23622 -62331 -23622 -57905 1574 3000 1874 "120" "120" 0x00000900] + Pad[-26772 -62331 -26772 -57905 1574 3000 1874 "121" "121" 0x00000900] + Pad[-29922 -62331 -29922 -57905 1574 3000 1874 "122" "122" 0x00000900] + Pad[-33071 -62331 -33071 -57905 1574 3000 1874 "123" "123" 0x00000900] + Pad[-36221 -62331 -36221 -57905 1574 3000 1874 "124" "124" 0x00000900] + Pad[-39370 -62331 -39370 -57905 1574 3000 1874 "125" "125" 0x00000900] + Pad[-42520 -62331 -42520 -57905 1574 3000 1874 "126" "126" 0x00000900] + Pad[-45670 -62331 -45670 -57905 1574 3000 1874 "127" "127" 0x00000900] + Pad[-48819 -62331 -48819 -57905 1574 3000 1874 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP128_R.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP128_R.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP128_R.fp (revision 1033) @@ -0,0 +1,147 @@ +Element[0x00000000 "Rectangular Quad-side flat pack" "" "QFP128_R" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-37008 -36417 -30110 -36417 1102 3000 1402 "1" "1" 0x00000100] + Pad[-37008 -34449 -30110 -34449 1102 3000 1402 "2" "2" 0x00000100] + Pad[-37008 -32480 -30110 -32480 1102 3000 1402 "3" "3" 0x00000100] + Pad[-37008 -30512 -30110 -30512 1102 3000 1402 "4" "4" 0x00000100] + Pad[-37008 -28543 -30110 -28543 1102 3000 1402 "5" "5" 0x00000100] + Pad[-37008 -26575 -30110 -26575 1102 3000 1402 "6" "6" 0x00000100] + Pad[-37008 -24606 -30110 -24606 1102 3000 1402 "7" "7" 0x00000100] + Pad[-37008 -22638 -30110 -22638 1102 3000 1402 "8" "8" 0x00000100] + Pad[-37008 -20669 -30110 -20669 1102 3000 1402 "9" "9" 0x00000100] + Pad[-37008 -18701 -30110 -18701 1102 3000 1402 "10" "10" 0x00000100] + Pad[-37008 -16732 -30110 -16732 1102 3000 1402 "11" "11" 0x00000100] + Pad[-37008 -14764 -30110 -14764 1102 3000 1402 "12" "12" 0x00000100] + Pad[-37008 -12795 -30110 -12795 1102 3000 1402 "13" "13" 0x00000100] + Pad[-37008 -10827 -30110 -10827 1102 3000 1402 "14" "14" 0x00000100] + Pad[-37008 -8858 -30110 -8858 1102 3000 1402 "15" "15" 0x00000100] + Pad[-37008 -6890 -30110 -6890 1102 3000 1402 "16" "16" 0x00000100] + Pad[-37008 -4921 -30110 -4921 1102 3000 1402 "17" "17" 0x00000100] + Pad[-37008 -2953 -30110 -2953 1102 3000 1402 "18" "18" 0x00000100] + Pad[-37008 -984 -30110 -984 1102 3000 1402 "19" "19" 0x00000100] + Pad[-37008 984 -30110 984 1102 3000 1402 "20" "20" 0x00000100] + Pad[-37008 2953 -30110 2953 1102 3000 1402 "21" "21" 0x00000100] + Pad[-37008 4921 -30110 4921 1102 3000 1402 "22" "22" 0x00000100] + Pad[-37008 6890 -30110 6890 1102 3000 1402 "23" "23" 0x00000100] + Pad[-37008 8858 -30110 8858 1102 3000 1402 "24" "24" 0x00000100] + Pad[-37008 10827 -30110 10827 1102 3000 1402 "25" "25" 0x00000100] + Pad[-37008 12795 -30110 12795 1102 3000 1402 "26" "26" 0x00000100] + Pad[-37008 14764 -30110 14764 1102 3000 1402 "27" "27" 0x00000100] + Pad[-37008 16732 -30110 16732 1102 3000 1402 "28" "28" 0x00000100] + Pad[-37008 18701 -30110 18701 1102 3000 1402 "29" "29" 0x00000100] + Pad[-37008 20669 -30110 20669 1102 3000 1402 "30" "30" 0x00000100] + Pad[-37008 22638 -30110 22638 1102 3000 1402 "31" "31" 0x00000100] + Pad[-37008 24606 -30110 24606 1102 3000 1402 "32" "32" 0x00000100] + Pad[-37008 26575 -30110 26575 1102 3000 1402 "33" "33" 0x00000100] + Pad[-37008 28543 -30110 28543 1102 3000 1402 "34" "34" 0x00000100] + Pad[-37008 30512 -30110 30512 1102 3000 1402 "35" "35" 0x00000100] + Pad[-37008 32480 -30110 32480 1102 3000 1402 "36" "36" 0x00000100] + Pad[-37008 34449 -30110 34449 1102 3000 1402 "37" "37" 0x00000100] + Pad[-37008 36417 -30110 36417 1102 3000 1402 "38" "38" 0x00000100] + # bottom row + Pad[-24606 48819 -24606 41921 1102 3000 1402 "39" "39" 0x00000900] + Pad[-22638 48819 -22638 41921 1102 3000 1402 "40" "40" 0x00000900] + Pad[-20669 48819 -20669 41921 1102 3000 1402 "41" "41" 0x00000900] + Pad[-18701 48819 -18701 41921 1102 3000 1402 "42" "42" 0x00000900] + Pad[-16732 48819 -16732 41921 1102 3000 1402 "43" "43" 0x00000900] + Pad[-14764 48819 -14764 41921 1102 3000 1402 "44" "44" 0x00000900] + Pad[-12795 48819 -12795 41921 1102 3000 1402 "45" "45" 0x00000900] + Pad[-10827 48819 -10827 41921 1102 3000 1402 "46" "46" 0x00000900] + Pad[-8858 48819 -8858 41921 1102 3000 1402 "47" "47" 0x00000900] + Pad[-6890 48819 -6890 41921 1102 3000 1402 "48" "48" 0x00000900] + Pad[-4921 48819 -4921 41921 1102 3000 1402 "49" "49" 0x00000900] + Pad[-2953 48819 -2953 41921 1102 3000 1402 "50" "50" 0x00000900] + Pad[-984 48819 -984 41921 1102 3000 1402 "51" "51" 0x00000900] + Pad[984 48819 984 41921 1102 3000 1402 "52" "52" 0x00000900] + Pad[2953 48819 2953 41921 1102 3000 1402 "53" "53" 0x00000900] + Pad[4921 48819 4921 41921 1102 3000 1402 "54" "54" 0x00000900] + Pad[6890 48819 6890 41921 1102 3000 1402 "55" "55" 0x00000900] + Pad[8858 48819 8858 41921 1102 3000 1402 "56" "56" 0x00000900] + Pad[10827 48819 10827 41921 1102 3000 1402 "57" "57" 0x00000900] + Pad[12795 48819 12795 41921 1102 3000 1402 "58" "58" 0x00000900] + Pad[14764 48819 14764 41921 1102 3000 1402 "59" "59" 0x00000900] + Pad[16732 48819 16732 41921 1102 3000 1402 "60" "60" 0x00000900] + Pad[18701 48819 18701 41921 1102 3000 1402 "61" "61" 0x00000900] + Pad[20669 48819 20669 41921 1102 3000 1402 "62" "62" 0x00000900] + Pad[22638 48819 22638 41921 1102 3000 1402 "63" "63" 0x00000900] + Pad[24606 48819 24606 41921 1102 3000 1402 "64" "64" 0x00000900] + # right row + Pad[37008 36417 30110 36417 1102 3000 1402 "65" "65" 0x00000100] + Pad[37008 34449 30110 34449 1102 3000 1402 "66" "66" 0x00000100] + Pad[37008 32480 30110 32480 1102 3000 1402 "67" "67" 0x00000100] + Pad[37008 30512 30110 30512 1102 3000 1402 "68" "68" 0x00000100] + Pad[37008 28543 30110 28543 1102 3000 1402 "69" "69" 0x00000100] + Pad[37008 26575 30110 26575 1102 3000 1402 "70" "70" 0x00000100] + Pad[37008 24606 30110 24606 1102 3000 1402 "71" "71" 0x00000100] + Pad[37008 22638 30110 22638 1102 3000 1402 "72" "72" 0x00000100] + Pad[37008 20669 30110 20669 1102 3000 1402 "73" "73" 0x00000100] + Pad[37008 18701 30110 18701 1102 3000 1402 "74" "74" 0x00000100] + Pad[37008 16732 30110 16732 1102 3000 1402 "75" "75" 0x00000100] + Pad[37008 14764 30110 14764 1102 3000 1402 "76" "76" 0x00000100] + Pad[37008 12795 30110 12795 1102 3000 1402 "77" "77" 0x00000100] + Pad[37008 10827 30110 10827 1102 3000 1402 "78" "78" 0x00000100] + Pad[37008 8858 30110 8858 1102 3000 1402 "79" "79" 0x00000100] + Pad[37008 6890 30110 6890 1102 3000 1402 "80" "80" 0x00000100] + Pad[37008 4921 30110 4921 1102 3000 1402 "81" "81" 0x00000100] + Pad[37008 2953 30110 2953 1102 3000 1402 "82" "82" 0x00000100] + Pad[37008 984 30110 984 1102 3000 1402 "83" "83" 0x00000100] + Pad[37008 -984 30110 -984 1102 3000 1402 "84" "84" 0x00000100] + Pad[37008 -2953 30110 -2953 1102 3000 1402 "85" "85" 0x00000100] + Pad[37008 -4921 30110 -4921 1102 3000 1402 "86" "86" 0x00000100] + Pad[37008 -6890 30110 -6890 1102 3000 1402 "87" "87" 0x00000100] + Pad[37008 -8858 30110 -8858 1102 3000 1402 "88" "88" 0x00000100] + Pad[37008 -10827 30110 -10827 1102 3000 1402 "89" "89" 0x00000100] + Pad[37008 -12795 30110 -12795 1102 3000 1402 "90" "90" 0x00000100] + Pad[37008 -14764 30110 -14764 1102 3000 1402 "91" "91" 0x00000100] + Pad[37008 -16732 30110 -16732 1102 3000 1402 "92" "92" 0x00000100] + Pad[37008 -18701 30110 -18701 1102 3000 1402 "93" "93" 0x00000100] + Pad[37008 -20669 30110 -20669 1102 3000 1402 "94" "94" 0x00000100] + Pad[37008 -22638 30110 -22638 1102 3000 1402 "95" "95" 0x00000100] + Pad[37008 -24606 30110 -24606 1102 3000 1402 "96" "96" 0x00000100] + Pad[37008 -26575 30110 -26575 1102 3000 1402 "97" "97" 0x00000100] + Pad[37008 -28543 30110 -28543 1102 3000 1402 "98" "98" 0x00000100] + Pad[37008 -30512 30110 -30512 1102 3000 1402 "99" "99" 0x00000100] + Pad[37008 -32480 30110 -32480 1102 3000 1402 "100" "100" 0x00000100] + Pad[37008 -34449 30110 -34449 1102 3000 1402 "101" "101" 0x00000100] + Pad[37008 -36417 30110 -36417 1102 3000 1402 "102" "102" 0x00000100] + # top row + Pad[24606 -48819 24606 -41921 1102 3000 1402 "103" "103" 0x00000900] + Pad[22638 -48819 22638 -41921 1102 3000 1402 "104" "104" 0x00000900] + Pad[20669 -48819 20669 -41921 1102 3000 1402 "105" "105" 0x00000900] + Pad[18701 -48819 18701 -41921 1102 3000 1402 "106" "106" 0x00000900] + Pad[16732 -48819 16732 -41921 1102 3000 1402 "107" "107" 0x00000900] + Pad[14764 -48819 14764 -41921 1102 3000 1402 "108" "108" 0x00000900] + Pad[12795 -48819 12795 -41921 1102 3000 1402 "109" "109" 0x00000900] + Pad[10827 -48819 10827 -41921 1102 3000 1402 "110" "110" 0x00000900] + Pad[8858 -48819 8858 -41921 1102 3000 1402 "111" "111" 0x00000900] + Pad[6890 -48819 6890 -41921 1102 3000 1402 "112" "112" 0x00000900] + Pad[4921 -48819 4921 -41921 1102 3000 1402 "113" "113" 0x00000900] + Pad[2953 -48819 2953 -41921 1102 3000 1402 "114" "114" 0x00000900] + Pad[984 -48819 984 -41921 1102 3000 1402 "115" "115" 0x00000900] + Pad[-984 -48819 -984 -41921 1102 3000 1402 "116" "116" 0x00000900] + Pad[-2953 -48819 -2953 -41921 1102 3000 1402 "117" "117" 0x00000900] + Pad[-4921 -48819 -4921 -41921 1102 3000 1402 "118" "118" 0x00000900] + Pad[-6890 -48819 -6890 -41921 1102 3000 1402 "119" "119" 0x00000900] + Pad[-8858 -48819 -8858 -41921 1102 3000 1402 "120" "120" 0x00000900] + Pad[-10827 -48819 -10827 -41921 1102 3000 1402 "121" "121" 0x00000900] + Pad[-12795 -48819 -12795 -41921 1102 3000 1402 "122" "122" 0x00000900] + Pad[-14764 -48819 -14764 -41921 1102 3000 1402 "123" "123" 0x00000900] + Pad[-16732 -48819 -16732 -41921 1102 3000 1402 "124" "124" 0x00000900] + Pad[-18701 -48819 -18701 -41921 1102 3000 1402 "125" "125" 0x00000900] + Pad[-20669 -48819 -20669 -41921 1102 3000 1402 "126" "126" 0x00000900] + Pad[-22638 -48819 -22638 -41921 1102 3000 1402 "127" "127" 0x00000900] + Pad[-24606 -48819 -24606 -41921 1102 3000 1402 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -38970 27159 -38970 800] + # right + ElementLine[27159 -38970 27159 38970 800] + # bottom + ElementLine[27159 38970 -27159 38970 800] + # left + ElementLine[-27159 38970 -27159 -36070 800] + # angled corner + ElementLine[-27159 -36070 -24259 -38970 800] + ElementArc[-24259 -36070 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP144_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP144_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP144_28.fp (revision 1033) @@ -0,0 +1,163 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP144_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -44782 -57905 -44782 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -42223 -57905 -42223 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -39664 -57905 -39664 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -37105 -57905 -37105 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -34546 -57905 -34546 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -31987 -57905 -31987 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -29428 -57905 -29428 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -26869 -57905 -26869 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -24310 -57905 -24310 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -21751 -57905 -21751 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -19192 -57905 -19192 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -16633 -57905 -16633 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -14074 -57905 -14074 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -11515 -57905 -11515 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -8956 -57905 -8956 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 -6397 -57905 -6397 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 -3838 -57905 -3838 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 -1279 -57905 -1279 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 1280 -57905 1280 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 3839 -57905 3839 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 6398 -57905 6398 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 8957 -57905 8957 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 11516 -57905 11516 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 14075 -57905 14075 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 16634 -57905 16634 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 19193 -57905 19193 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 21752 -57905 21752 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 24311 -57905 24311 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 26870 -57905 26870 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 29429 -57905 29429 1574 3000 1874 "30" "30" 0x00000100] + Pad[-62331 31988 -57905 31988 1574 3000 1874 "31" "31" 0x00000100] + Pad[-62331 34547 -57905 34547 1574 3000 1874 "32" "32" 0x00000100] + Pad[-62331 37106 -57905 37106 1574 3000 1874 "33" "33" 0x00000100] + Pad[-62331 39665 -57905 39665 1574 3000 1874 "34" "34" 0x00000100] + Pad[-62331 42224 -57905 42224 1574 3000 1874 "35" "35" 0x00000100] + Pad[-62331 44783 -57905 44783 1574 3000 1874 "36" "36" 0x00000100] + # bottom row + Pad[-44782 62331 -44782 57905 1574 3000 1874 "37" "37" 0x00000900] + Pad[-42223 62331 -42223 57905 1574 3000 1874 "38" "38" 0x00000900] + Pad[-39664 62331 -39664 57905 1574 3000 1874 "39" "39" 0x00000900] + Pad[-37105 62331 -37105 57905 1574 3000 1874 "40" "40" 0x00000900] + Pad[-34546 62331 -34546 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-31987 62331 -31987 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-29428 62331 -29428 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-26869 62331 -26869 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-24310 62331 -24310 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[-21751 62331 -21751 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[-19192 62331 -19192 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[-16633 62331 -16633 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[-14074 62331 -14074 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[-11515 62331 -11515 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[-8956 62331 -8956 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[-6397 62331 -6397 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[-3838 62331 -3838 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[-1279 62331 -1279 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[1280 62331 1280 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[3839 62331 3839 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[6398 62331 6398 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[8957 62331 8957 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[11516 62331 11516 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[14075 62331 14075 57905 1574 3000 1874 "60" "60" 0x00000900] + Pad[16634 62331 16634 57905 1574 3000 1874 "61" "61" 0x00000900] + Pad[19193 62331 19193 57905 1574 3000 1874 "62" "62" 0x00000900] + Pad[21752 62331 21752 57905 1574 3000 1874 "63" "63" 0x00000900] + Pad[24311 62331 24311 57905 1574 3000 1874 "64" "64" 0x00000900] + Pad[26870 62331 26870 57905 1574 3000 1874 "65" "65" 0x00000900] + Pad[29429 62331 29429 57905 1574 3000 1874 "66" "66" 0x00000900] + Pad[31988 62331 31988 57905 1574 3000 1874 "67" "67" 0x00000900] + Pad[34547 62331 34547 57905 1574 3000 1874 "68" "68" 0x00000900] + Pad[37106 62331 37106 57905 1574 3000 1874 "69" "69" 0x00000900] + Pad[39665 62331 39665 57905 1574 3000 1874 "70" "70" 0x00000900] + Pad[42224 62331 42224 57905 1574 3000 1874 "71" "71" 0x00000900] + Pad[44783 62331 44783 57905 1574 3000 1874 "72" "72" 0x00000900] + # right row + Pad[62331 44782 57905 44782 1574 3000 1874 "73" "73" 0x00000100] + Pad[62331 42223 57905 42223 1574 3000 1874 "74" "74" 0x00000100] + Pad[62331 39664 57905 39664 1574 3000 1874 "75" "75" 0x00000100] + Pad[62331 37105 57905 37105 1574 3000 1874 "76" "76" 0x00000100] + Pad[62331 34546 57905 34546 1574 3000 1874 "77" "77" 0x00000100] + Pad[62331 31987 57905 31987 1574 3000 1874 "78" "78" 0x00000100] + Pad[62331 29428 57905 29428 1574 3000 1874 "79" "79" 0x00000100] + Pad[62331 26869 57905 26869 1574 3000 1874 "80" "80" 0x00000100] + Pad[62331 24310 57905 24310 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 21751 57905 21751 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 19192 57905 19192 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 16633 57905 16633 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 14074 57905 14074 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 11515 57905 11515 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 8956 57905 8956 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 6397 57905 6397 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 3838 57905 3838 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 1279 57905 1279 1574 3000 1874 "90" "90" 0x00000100] + Pad[62331 -1280 57905 -1280 1574 3000 1874 "91" "91" 0x00000100] + Pad[62331 -3839 57905 -3839 1574 3000 1874 "92" "92" 0x00000100] + Pad[62331 -6398 57905 -6398 1574 3000 1874 "93" "93" 0x00000100] + Pad[62331 -8957 57905 -8957 1574 3000 1874 "94" "94" 0x00000100] + Pad[62331 -11516 57905 -11516 1574 3000 1874 "95" "95" 0x00000100] + Pad[62331 -14075 57905 -14075 1574 3000 1874 "96" "96" 0x00000100] + Pad[62331 -16634 57905 -16634 1574 3000 1874 "97" "97" 0x00000100] + Pad[62331 -19193 57905 -19193 1574 3000 1874 "98" "98" 0x00000100] + Pad[62331 -21752 57905 -21752 1574 3000 1874 "99" "99" 0x00000100] + Pad[62331 -24311 57905 -24311 1574 3000 1874 "100" "100" 0x00000100] + Pad[62331 -26870 57905 -26870 1574 3000 1874 "101" "101" 0x00000100] + Pad[62331 -29429 57905 -29429 1574 3000 1874 "102" "102" 0x00000100] + Pad[62331 -31988 57905 -31988 1574 3000 1874 "103" "103" 0x00000100] + Pad[62331 -34547 57905 -34547 1574 3000 1874 "104" "104" 0x00000100] + Pad[62331 -37106 57905 -37106 1574 3000 1874 "105" "105" 0x00000100] + Pad[62331 -39665 57905 -39665 1574 3000 1874 "106" "106" 0x00000100] + Pad[62331 -42224 57905 -42224 1574 3000 1874 "107" "107" 0x00000100] + Pad[62331 -44783 57905 -44783 1574 3000 1874 "108" "108" 0x00000100] + # top row + Pad[44782 -62331 44782 -57905 1574 3000 1874 "109" "109" 0x00000900] + Pad[42223 -62331 42223 -57905 1574 3000 1874 "110" "110" 0x00000900] + Pad[39664 -62331 39664 -57905 1574 3000 1874 "111" "111" 0x00000900] + Pad[37105 -62331 37105 -57905 1574 3000 1874 "112" "112" 0x00000900] + Pad[34546 -62331 34546 -57905 1574 3000 1874 "113" "113" 0x00000900] + Pad[31987 -62331 31987 -57905 1574 3000 1874 "114" "114" 0x00000900] + Pad[29428 -62331 29428 -57905 1574 3000 1874 "115" "115" 0x00000900] + Pad[26869 -62331 26869 -57905 1574 3000 1874 "116" "116" 0x00000900] + Pad[24310 -62331 24310 -57905 1574 3000 1874 "117" "117" 0x00000900] + Pad[21751 -62331 21751 -57905 1574 3000 1874 "118" "118" 0x00000900] + Pad[19192 -62331 19192 -57905 1574 3000 1874 "119" "119" 0x00000900] + Pad[16633 -62331 16633 -57905 1574 3000 1874 "120" "120" 0x00000900] + Pad[14074 -62331 14074 -57905 1574 3000 1874 "121" "121" 0x00000900] + Pad[11515 -62331 11515 -57905 1574 3000 1874 "122" "122" 0x00000900] + Pad[8956 -62331 8956 -57905 1574 3000 1874 "123" "123" 0x00000900] + Pad[6397 -62331 6397 -57905 1574 3000 1874 "124" "124" 0x00000900] + Pad[3838 -62331 3838 -57905 1574 3000 1874 "125" "125" 0x00000900] + Pad[1279 -62331 1279 -57905 1574 3000 1874 "126" "126" 0x00000900] + Pad[-1280 -62331 -1280 -57905 1574 3000 1874 "127" "127" 0x00000900] + Pad[-3839 -62331 -3839 -57905 1574 3000 1874 "128" "128" 0x00000900] + Pad[-6398 -62331 -6398 -57905 1574 3000 1874 "129" "129" 0x00000900] + Pad[-8957 -62331 -8957 -57905 1574 3000 1874 "130" "130" 0x00000900] + Pad[-11516 -62331 -11516 -57905 1574 3000 1874 "131" "131" 0x00000900] + Pad[-14075 -62331 -14075 -57905 1574 3000 1874 "132" "132" 0x00000900] + Pad[-16634 -62331 -16634 -57905 1574 3000 1874 "133" "133" 0x00000900] + Pad[-19193 -62331 -19193 -57905 1574 3000 1874 "134" "134" 0x00000900] + Pad[-21752 -62331 -21752 -57905 1574 3000 1874 "135" "135" 0x00000900] + Pad[-24311 -62331 -24311 -57905 1574 3000 1874 "136" "136" 0x00000900] + Pad[-26870 -62331 -26870 -57905 1574 3000 1874 "137" "137" 0x00000900] + Pad[-29429 -62331 -29429 -57905 1574 3000 1874 "138" "138" 0x00000900] + Pad[-31988 -62331 -31988 -57905 1574 3000 1874 "139" "139" 0x00000900] + Pad[-34547 -62331 -34547 -57905 1574 3000 1874 "140" "140" 0x00000900] + Pad[-37106 -62331 -37106 -57905 1574 3000 1874 "141" "141" 0x00000900] + Pad[-39665 -62331 -39665 -57905 1574 3000 1874 "142" "142" 0x00000900] + Pad[-42224 -62331 -42224 -57905 1574 3000 1874 "143" "143" 0x00000900] + Pad[-44783 -62331 -44783 -57905 1574 3000 1874 "144" "144" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP160_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP160_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP160_28.fp (revision 1033) @@ -0,0 +1,179 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP160_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -49900 -57905 -49900 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -47341 -57905 -47341 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -44782 -57905 -44782 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -42223 -57905 -42223 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -39664 -57905 -39664 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -37105 -57905 -37105 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -34546 -57905 -34546 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -31987 -57905 -31987 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -29428 -57905 -29428 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -26869 -57905 -26869 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -24310 -57905 -24310 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -21751 -57905 -21751 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -19192 -57905 -19192 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -16633 -57905 -16633 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -14074 -57905 -14074 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 -11515 -57905 -11515 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 -8956 -57905 -8956 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 -6397 -57905 -6397 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 -3838 -57905 -3838 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 -1279 -57905 -1279 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 1280 -57905 1280 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 3839 -57905 3839 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 6398 -57905 6398 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 8957 -57905 8957 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 11516 -57905 11516 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 14075 -57905 14075 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 16634 -57905 16634 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 19193 -57905 19193 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 21752 -57905 21752 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 24311 -57905 24311 1574 3000 1874 "30" "30" 0x00000100] + Pad[-62331 26870 -57905 26870 1574 3000 1874 "31" "31" 0x00000100] + Pad[-62331 29429 -57905 29429 1574 3000 1874 "32" "32" 0x00000100] + Pad[-62331 31988 -57905 31988 1574 3000 1874 "33" "33" 0x00000100] + Pad[-62331 34547 -57905 34547 1574 3000 1874 "34" "34" 0x00000100] + Pad[-62331 37106 -57905 37106 1574 3000 1874 "35" "35" 0x00000100] + Pad[-62331 39665 -57905 39665 1574 3000 1874 "36" "36" 0x00000100] + Pad[-62331 42224 -57905 42224 1574 3000 1874 "37" "37" 0x00000100] + Pad[-62331 44783 -57905 44783 1574 3000 1874 "38" "38" 0x00000100] + Pad[-62331 47342 -57905 47342 1574 3000 1874 "39" "39" 0x00000100] + Pad[-62331 49901 -57905 49901 1574 3000 1874 "40" "40" 0x00000100] + # bottom row + Pad[-49900 62331 -49900 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-47341 62331 -47341 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-44782 62331 -44782 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-42223 62331 -42223 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-39664 62331 -39664 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[-37105 62331 -37105 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[-34546 62331 -34546 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[-31987 62331 -31987 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[-29428 62331 -29428 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[-26869 62331 -26869 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[-24310 62331 -24310 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[-21751 62331 -21751 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[-19192 62331 -19192 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[-16633 62331 -16633 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[-14074 62331 -14074 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[-11515 62331 -11515 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[-8956 62331 -8956 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[-6397 62331 -6397 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[-3838 62331 -3838 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[-1279 62331 -1279 57905 1574 3000 1874 "60" "60" 0x00000900] + Pad[1280 62331 1280 57905 1574 3000 1874 "61" "61" 0x00000900] + Pad[3839 62331 3839 57905 1574 3000 1874 "62" "62" 0x00000900] + Pad[6398 62331 6398 57905 1574 3000 1874 "63" "63" 0x00000900] + Pad[8957 62331 8957 57905 1574 3000 1874 "64" "64" 0x00000900] + Pad[11516 62331 11516 57905 1574 3000 1874 "65" "65" 0x00000900] + Pad[14075 62331 14075 57905 1574 3000 1874 "66" "66" 0x00000900] + Pad[16634 62331 16634 57905 1574 3000 1874 "67" "67" 0x00000900] + Pad[19193 62331 19193 57905 1574 3000 1874 "68" "68" 0x00000900] + Pad[21752 62331 21752 57905 1574 3000 1874 "69" "69" 0x00000900] + Pad[24311 62331 24311 57905 1574 3000 1874 "70" "70" 0x00000900] + Pad[26870 62331 26870 57905 1574 3000 1874 "71" "71" 0x00000900] + Pad[29429 62331 29429 57905 1574 3000 1874 "72" "72" 0x00000900] + Pad[31988 62331 31988 57905 1574 3000 1874 "73" "73" 0x00000900] + Pad[34547 62331 34547 57905 1574 3000 1874 "74" "74" 0x00000900] + Pad[37106 62331 37106 57905 1574 3000 1874 "75" "75" 0x00000900] + Pad[39665 62331 39665 57905 1574 3000 1874 "76" "76" 0x00000900] + Pad[42224 62331 42224 57905 1574 3000 1874 "77" "77" 0x00000900] + Pad[44783 62331 44783 57905 1574 3000 1874 "78" "78" 0x00000900] + Pad[47342 62331 47342 57905 1574 3000 1874 "79" "79" 0x00000900] + Pad[49901 62331 49901 57905 1574 3000 1874 "80" "80" 0x00000900] + # right row + Pad[62331 49900 57905 49900 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 47341 57905 47341 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 44782 57905 44782 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 42223 57905 42223 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 39664 57905 39664 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 37105 57905 37105 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 34546 57905 34546 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 31987 57905 31987 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 29428 57905 29428 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 26869 57905 26869 1574 3000 1874 "90" "90" 0x00000100] + Pad[62331 24310 57905 24310 1574 3000 1874 "91" "91" 0x00000100] + Pad[62331 21751 57905 21751 1574 3000 1874 "92" "92" 0x00000100] + Pad[62331 19192 57905 19192 1574 3000 1874 "93" "93" 0x00000100] + Pad[62331 16633 57905 16633 1574 3000 1874 "94" "94" 0x00000100] + Pad[62331 14074 57905 14074 1574 3000 1874 "95" "95" 0x00000100] + Pad[62331 11515 57905 11515 1574 3000 1874 "96" "96" 0x00000100] + Pad[62331 8956 57905 8956 1574 3000 1874 "97" "97" 0x00000100] + Pad[62331 6397 57905 6397 1574 3000 1874 "98" "98" 0x00000100] + Pad[62331 3838 57905 3838 1574 3000 1874 "99" "99" 0x00000100] + Pad[62331 1279 57905 1279 1574 3000 1874 "100" "100" 0x00000100] + Pad[62331 -1280 57905 -1280 1574 3000 1874 "101" "101" 0x00000100] + Pad[62331 -3839 57905 -3839 1574 3000 1874 "102" "102" 0x00000100] + Pad[62331 -6398 57905 -6398 1574 3000 1874 "103" "103" 0x00000100] + Pad[62331 -8957 57905 -8957 1574 3000 1874 "104" "104" 0x00000100] + Pad[62331 -11516 57905 -11516 1574 3000 1874 "105" "105" 0x00000100] + Pad[62331 -14075 57905 -14075 1574 3000 1874 "106" "106" 0x00000100] + Pad[62331 -16634 57905 -16634 1574 3000 1874 "107" "107" 0x00000100] + Pad[62331 -19193 57905 -19193 1574 3000 1874 "108" "108" 0x00000100] + Pad[62331 -21752 57905 -21752 1574 3000 1874 "109" "109" 0x00000100] + Pad[62331 -24311 57905 -24311 1574 3000 1874 "110" "110" 0x00000100] + Pad[62331 -26870 57905 -26870 1574 3000 1874 "111" "111" 0x00000100] + Pad[62331 -29429 57905 -29429 1574 3000 1874 "112" "112" 0x00000100] + Pad[62331 -31988 57905 -31988 1574 3000 1874 "113" "113" 0x00000100] + Pad[62331 -34547 57905 -34547 1574 3000 1874 "114" "114" 0x00000100] + Pad[62331 -37106 57905 -37106 1574 3000 1874 "115" "115" 0x00000100] + Pad[62331 -39665 57905 -39665 1574 3000 1874 "116" "116" 0x00000100] + Pad[62331 -42224 57905 -42224 1574 3000 1874 "117" "117" 0x00000100] + Pad[62331 -44783 57905 -44783 1574 3000 1874 "118" "118" 0x00000100] + Pad[62331 -47342 57905 -47342 1574 3000 1874 "119" "119" 0x00000100] + Pad[62331 -49901 57905 -49901 1574 3000 1874 "120" "120" 0x00000100] + # top row + Pad[49900 -62331 49900 -57905 1574 3000 1874 "121" "121" 0x00000900] + Pad[47341 -62331 47341 -57905 1574 3000 1874 "122" "122" 0x00000900] + Pad[44782 -62331 44782 -57905 1574 3000 1874 "123" "123" 0x00000900] + Pad[42223 -62331 42223 -57905 1574 3000 1874 "124" "124" 0x00000900] + Pad[39664 -62331 39664 -57905 1574 3000 1874 "125" "125" 0x00000900] + Pad[37105 -62331 37105 -57905 1574 3000 1874 "126" "126" 0x00000900] + Pad[34546 -62331 34546 -57905 1574 3000 1874 "127" "127" 0x00000900] + Pad[31987 -62331 31987 -57905 1574 3000 1874 "128" "128" 0x00000900] + Pad[29428 -62331 29428 -57905 1574 3000 1874 "129" "129" 0x00000900] + Pad[26869 -62331 26869 -57905 1574 3000 1874 "130" "130" 0x00000900] + Pad[24310 -62331 24310 -57905 1574 3000 1874 "131" "131" 0x00000900] + Pad[21751 -62331 21751 -57905 1574 3000 1874 "132" "132" 0x00000900] + Pad[19192 -62331 19192 -57905 1574 3000 1874 "133" "133" 0x00000900] + Pad[16633 -62331 16633 -57905 1574 3000 1874 "134" "134" 0x00000900] + Pad[14074 -62331 14074 -57905 1574 3000 1874 "135" "135" 0x00000900] + Pad[11515 -62331 11515 -57905 1574 3000 1874 "136" "136" 0x00000900] + Pad[8956 -62331 8956 -57905 1574 3000 1874 "137" "137" 0x00000900] + Pad[6397 -62331 6397 -57905 1574 3000 1874 "138" "138" 0x00000900] + Pad[3838 -62331 3838 -57905 1574 3000 1874 "139" "139" 0x00000900] + Pad[1279 -62331 1279 -57905 1574 3000 1874 "140" "140" 0x00000900] + Pad[-1280 -62331 -1280 -57905 1574 3000 1874 "141" "141" 0x00000900] + Pad[-3839 -62331 -3839 -57905 1574 3000 1874 "142" "142" 0x00000900] + Pad[-6398 -62331 -6398 -57905 1574 3000 1874 "143" "143" 0x00000900] + Pad[-8957 -62331 -8957 -57905 1574 3000 1874 "144" "144" 0x00000900] + Pad[-11516 -62331 -11516 -57905 1574 3000 1874 "145" "145" 0x00000900] + Pad[-14075 -62331 -14075 -57905 1574 3000 1874 "146" "146" 0x00000900] + Pad[-16634 -62331 -16634 -57905 1574 3000 1874 "147" "147" 0x00000900] + Pad[-19193 -62331 -19193 -57905 1574 3000 1874 "148" "148" 0x00000900] + Pad[-21752 -62331 -21752 -57905 1574 3000 1874 "149" "149" 0x00000900] + Pad[-24311 -62331 -24311 -57905 1574 3000 1874 "150" "150" 0x00000900] + Pad[-26870 -62331 -26870 -57905 1574 3000 1874 "151" "151" 0x00000900] + Pad[-29429 -62331 -29429 -57905 1574 3000 1874 "152" "152" 0x00000900] + Pad[-31988 -62331 -31988 -57905 1574 3000 1874 "153" "153" 0x00000900] + Pad[-34547 -62331 -34547 -57905 1574 3000 1874 "154" "154" 0x00000900] + Pad[-37106 -62331 -37106 -57905 1574 3000 1874 "155" "155" 0x00000900] + Pad[-39665 -62331 -39665 -57905 1574 3000 1874 "156" "156" 0x00000900] + Pad[-42224 -62331 -42224 -57905 1574 3000 1874 "157" "157" 0x00000900] + Pad[-44783 -62331 -44783 -57905 1574 3000 1874 "158" "158" 0x00000900] + Pad[-47342 -62331 -47342 -57905 1574 3000 1874 "159" "159" 0x00000900] + Pad[-49901 -62331 -49901 -57905 1574 3000 1874 "160" "160" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP208_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP208_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP208_28.fp (revision 1033) @@ -0,0 +1,227 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP208_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62567 -50196 -57669 -50196 1102 3000 1402 "1" "1" 0x00000100] + Pad[-62567 -48228 -57669 -48228 1102 3000 1402 "2" "2" 0x00000100] + Pad[-62567 -46259 -57669 -46259 1102 3000 1402 "3" "3" 0x00000100] + Pad[-62567 -44291 -57669 -44291 1102 3000 1402 "4" "4" 0x00000100] + Pad[-62567 -42322 -57669 -42322 1102 3000 1402 "5" "5" 0x00000100] + Pad[-62567 -40354 -57669 -40354 1102 3000 1402 "6" "6" 0x00000100] + Pad[-62567 -38385 -57669 -38385 1102 3000 1402 "7" "7" 0x00000100] + Pad[-62567 -36417 -57669 -36417 1102 3000 1402 "8" "8" 0x00000100] + Pad[-62567 -34448 -57669 -34448 1102 3000 1402 "9" "9" 0x00000100] + Pad[-62567 -32480 -57669 -32480 1102 3000 1402 "10" "10" 0x00000100] + Pad[-62567 -30511 -57669 -30511 1102 3000 1402 "11" "11" 0x00000100] + Pad[-62567 -28543 -57669 -28543 1102 3000 1402 "12" "12" 0x00000100] + Pad[-62567 -26574 -57669 -26574 1102 3000 1402 "13" "13" 0x00000100] + Pad[-62567 -24606 -57669 -24606 1102 3000 1402 "14" "14" 0x00000100] + Pad[-62567 -22637 -57669 -22637 1102 3000 1402 "15" "15" 0x00000100] + Pad[-62567 -20669 -57669 -20669 1102 3000 1402 "16" "16" 0x00000100] + Pad[-62567 -18700 -57669 -18700 1102 3000 1402 "17" "17" 0x00000100] + Pad[-62567 -16732 -57669 -16732 1102 3000 1402 "18" "18" 0x00000100] + Pad[-62567 -14763 -57669 -14763 1102 3000 1402 "19" "19" 0x00000100] + Pad[-62567 -12795 -57669 -12795 1102 3000 1402 "20" "20" 0x00000100] + Pad[-62567 -10826 -57669 -10826 1102 3000 1402 "21" "21" 0x00000100] + Pad[-62567 -8858 -57669 -8858 1102 3000 1402 "22" "22" 0x00000100] + Pad[-62567 -6889 -57669 -6889 1102 3000 1402 "23" "23" 0x00000100] + Pad[-62567 -4921 -57669 -4921 1102 3000 1402 "24" "24" 0x00000100] + Pad[-62567 -2952 -57669 -2952 1102 3000 1402 "25" "25" 0x00000100] + Pad[-62567 -984 -57669 -984 1102 3000 1402 "26" "26" 0x00000100] + Pad[-62567 985 -57669 985 1102 3000 1402 "27" "27" 0x00000100] + Pad[-62567 2953 -57669 2953 1102 3000 1402 "28" "28" 0x00000100] + Pad[-62567 4922 -57669 4922 1102 3000 1402 "29" "29" 0x00000100] + Pad[-62567 6890 -57669 6890 1102 3000 1402 "30" "30" 0x00000100] + Pad[-62567 8859 -57669 8859 1102 3000 1402 "31" "31" 0x00000100] + Pad[-62567 10827 -57669 10827 1102 3000 1402 "32" "32" 0x00000100] + Pad[-62567 12796 -57669 12796 1102 3000 1402 "33" "33" 0x00000100] + Pad[-62567 14764 -57669 14764 1102 3000 1402 "34" "34" 0x00000100] + Pad[-62567 16733 -57669 16733 1102 3000 1402 "35" "35" 0x00000100] + Pad[-62567 18701 -57669 18701 1102 3000 1402 "36" "36" 0x00000100] + Pad[-62567 20670 -57669 20670 1102 3000 1402 "37" "37" 0x00000100] + Pad[-62567 22638 -57669 22638 1102 3000 1402 "38" "38" 0x00000100] + Pad[-62567 24607 -57669 24607 1102 3000 1402 "39" "39" 0x00000100] + Pad[-62567 26575 -57669 26575 1102 3000 1402 "40" "40" 0x00000100] + Pad[-62567 28544 -57669 28544 1102 3000 1402 "41" "41" 0x00000100] + Pad[-62567 30512 -57669 30512 1102 3000 1402 "42" "42" 0x00000100] + Pad[-62567 32481 -57669 32481 1102 3000 1402 "43" "43" 0x00000100] + Pad[-62567 34449 -57669 34449 1102 3000 1402 "44" "44" 0x00000100] + Pad[-62567 36418 -57669 36418 1102 3000 1402 "45" "45" 0x00000100] + Pad[-62567 38386 -57669 38386 1102 3000 1402 "46" "46" 0x00000100] + Pad[-62567 40355 -57669 40355 1102 3000 1402 "47" "47" 0x00000100] + Pad[-62567 42323 -57669 42323 1102 3000 1402 "48" "48" 0x00000100] + Pad[-62567 44292 -57669 44292 1102 3000 1402 "49" "49" 0x00000100] + Pad[-62567 46260 -57669 46260 1102 3000 1402 "50" "50" 0x00000100] + Pad[-62567 48229 -57669 48229 1102 3000 1402 "51" "51" 0x00000100] + Pad[-62567 50197 -57669 50197 1102 3000 1402 "52" "52" 0x00000100] + # bottom row + Pad[-50196 62567 -50196 57669 1102 3000 1402 "53" "53" 0x00000900] + Pad[-48228 62567 -48228 57669 1102 3000 1402 "54" "54" 0x00000900] + Pad[-46259 62567 -46259 57669 1102 3000 1402 "55" "55" 0x00000900] + Pad[-44291 62567 -44291 57669 1102 3000 1402 "56" "56" 0x00000900] + Pad[-42322 62567 -42322 57669 1102 3000 1402 "57" "57" 0x00000900] + Pad[-40354 62567 -40354 57669 1102 3000 1402 "58" "58" 0x00000900] + Pad[-38385 62567 -38385 57669 1102 3000 1402 "59" "59" 0x00000900] + Pad[-36417 62567 -36417 57669 1102 3000 1402 "60" "60" 0x00000900] + Pad[-34448 62567 -34448 57669 1102 3000 1402 "61" "61" 0x00000900] + Pad[-32480 62567 -32480 57669 1102 3000 1402 "62" "62" 0x00000900] + Pad[-30511 62567 -30511 57669 1102 3000 1402 "63" "63" 0x00000900] + Pad[-28543 62567 -28543 57669 1102 3000 1402 "64" "64" 0x00000900] + Pad[-26574 62567 -26574 57669 1102 3000 1402 "65" "65" 0x00000900] + Pad[-24606 62567 -24606 57669 1102 3000 1402 "66" "66" 0x00000900] + Pad[-22637 62567 -22637 57669 1102 3000 1402 "67" "67" 0x00000900] + Pad[-20669 62567 -20669 57669 1102 3000 1402 "68" "68" 0x00000900] + Pad[-18700 62567 -18700 57669 1102 3000 1402 "69" "69" 0x00000900] + Pad[-16732 62567 -16732 57669 1102 3000 1402 "70" "70" 0x00000900] + Pad[-14763 62567 -14763 57669 1102 3000 1402 "71" "71" 0x00000900] + Pad[-12795 62567 -12795 57669 1102 3000 1402 "72" "72" 0x00000900] + Pad[-10826 62567 -10826 57669 1102 3000 1402 "73" "73" 0x00000900] + Pad[-8858 62567 -8858 57669 1102 3000 1402 "74" "74" 0x00000900] + Pad[-6889 62567 -6889 57669 1102 3000 1402 "75" "75" 0x00000900] + Pad[-4921 62567 -4921 57669 1102 3000 1402 "76" "76" 0x00000900] + Pad[-2952 62567 -2952 57669 1102 3000 1402 "77" "77" 0x00000900] + Pad[-984 62567 -984 57669 1102 3000 1402 "78" "78" 0x00000900] + Pad[985 62567 985 57669 1102 3000 1402 "79" "79" 0x00000900] + Pad[2953 62567 2953 57669 1102 3000 1402 "80" "80" 0x00000900] + Pad[4922 62567 4922 57669 1102 3000 1402 "81" "81" 0x00000900] + Pad[6890 62567 6890 57669 1102 3000 1402 "82" "82" 0x00000900] + Pad[8859 62567 8859 57669 1102 3000 1402 "83" "83" 0x00000900] + Pad[10827 62567 10827 57669 1102 3000 1402 "84" "84" 0x00000900] + Pad[12796 62567 12796 57669 1102 3000 1402 "85" "85" 0x00000900] + Pad[14764 62567 14764 57669 1102 3000 1402 "86" "86" 0x00000900] + Pad[16733 62567 16733 57669 1102 3000 1402 "87" "87" 0x00000900] + Pad[18701 62567 18701 57669 1102 3000 1402 "88" "88" 0x00000900] + Pad[20670 62567 20670 57669 1102 3000 1402 "89" "89" 0x00000900] + Pad[22638 62567 22638 57669 1102 3000 1402 "90" "90" 0x00000900] + Pad[24607 62567 24607 57669 1102 3000 1402 "91" "91" 0x00000900] + Pad[26575 62567 26575 57669 1102 3000 1402 "92" "92" 0x00000900] + Pad[28544 62567 28544 57669 1102 3000 1402 "93" "93" 0x00000900] + Pad[30512 62567 30512 57669 1102 3000 1402 "94" "94" 0x00000900] + Pad[32481 62567 32481 57669 1102 3000 1402 "95" "95" 0x00000900] + Pad[34449 62567 34449 57669 1102 3000 1402 "96" "96" 0x00000900] + Pad[36418 62567 36418 57669 1102 3000 1402 "97" "97" 0x00000900] + Pad[38386 62567 38386 57669 1102 3000 1402 "98" "98" 0x00000900] + Pad[40355 62567 40355 57669 1102 3000 1402 "99" "99" 0x00000900] + Pad[42323 62567 42323 57669 1102 3000 1402 "100" "100" 0x00000900] + Pad[44292 62567 44292 57669 1102 3000 1402 "101" "101" 0x00000900] + Pad[46260 62567 46260 57669 1102 3000 1402 "102" "102" 0x00000900] + Pad[48229 62567 48229 57669 1102 3000 1402 "103" "103" 0x00000900] + Pad[50197 62567 50197 57669 1102 3000 1402 "104" "104" 0x00000900] + # right row + Pad[62567 50196 57669 50196 1102 3000 1402 "105" "105" 0x00000100] + Pad[62567 48228 57669 48228 1102 3000 1402 "106" "106" 0x00000100] + Pad[62567 46259 57669 46259 1102 3000 1402 "107" "107" 0x00000100] + Pad[62567 44291 57669 44291 1102 3000 1402 "108" "108" 0x00000100] + Pad[62567 42322 57669 42322 1102 3000 1402 "109" "109" 0x00000100] + Pad[62567 40354 57669 40354 1102 3000 1402 "110" "110" 0x00000100] + Pad[62567 38385 57669 38385 1102 3000 1402 "111" "111" 0x00000100] + Pad[62567 36417 57669 36417 1102 3000 1402 "112" "112" 0x00000100] + Pad[62567 34448 57669 34448 1102 3000 1402 "113" "113" 0x00000100] + Pad[62567 32480 57669 32480 1102 3000 1402 "114" "114" 0x00000100] + Pad[62567 30511 57669 30511 1102 3000 1402 "115" "115" 0x00000100] + Pad[62567 28543 57669 28543 1102 3000 1402 "116" "116" 0x00000100] + Pad[62567 26574 57669 26574 1102 3000 1402 "117" "117" 0x00000100] + Pad[62567 24606 57669 24606 1102 3000 1402 "118" "118" 0x00000100] + Pad[62567 22637 57669 22637 1102 3000 1402 "119" "119" 0x00000100] + Pad[62567 20669 57669 20669 1102 3000 1402 "120" "120" 0x00000100] + Pad[62567 18700 57669 18700 1102 3000 1402 "121" "121" 0x00000100] + Pad[62567 16732 57669 16732 1102 3000 1402 "122" "122" 0x00000100] + Pad[62567 14763 57669 14763 1102 3000 1402 "123" "123" 0x00000100] + Pad[62567 12795 57669 12795 1102 3000 1402 "124" "124" 0x00000100] + Pad[62567 10826 57669 10826 1102 3000 1402 "125" "125" 0x00000100] + Pad[62567 8858 57669 8858 1102 3000 1402 "126" "126" 0x00000100] + Pad[62567 6889 57669 6889 1102 3000 1402 "127" "127" 0x00000100] + Pad[62567 4921 57669 4921 1102 3000 1402 "128" "128" 0x00000100] + Pad[62567 2952 57669 2952 1102 3000 1402 "129" "129" 0x00000100] + Pad[62567 984 57669 984 1102 3000 1402 "130" "130" 0x00000100] + Pad[62567 -985 57669 -985 1102 3000 1402 "131" "131" 0x00000100] + Pad[62567 -2953 57669 -2953 1102 3000 1402 "132" "132" 0x00000100] + Pad[62567 -4922 57669 -4922 1102 3000 1402 "133" "133" 0x00000100] + Pad[62567 -6890 57669 -6890 1102 3000 1402 "134" "134" 0x00000100] + Pad[62567 -8859 57669 -8859 1102 3000 1402 "135" "135" 0x00000100] + Pad[62567 -10827 57669 -10827 1102 3000 1402 "136" "136" 0x00000100] + Pad[62567 -12796 57669 -12796 1102 3000 1402 "137" "137" 0x00000100] + Pad[62567 -14764 57669 -14764 1102 3000 1402 "138" "138" 0x00000100] + Pad[62567 -16733 57669 -16733 1102 3000 1402 "139" "139" 0x00000100] + Pad[62567 -18701 57669 -18701 1102 3000 1402 "140" "140" 0x00000100] + Pad[62567 -20670 57669 -20670 1102 3000 1402 "141" "141" 0x00000100] + Pad[62567 -22638 57669 -22638 1102 3000 1402 "142" "142" 0x00000100] + Pad[62567 -24607 57669 -24607 1102 3000 1402 "143" "143" 0x00000100] + Pad[62567 -26575 57669 -26575 1102 3000 1402 "144" "144" 0x00000100] + Pad[62567 -28544 57669 -28544 1102 3000 1402 "145" "145" 0x00000100] + Pad[62567 -30512 57669 -30512 1102 3000 1402 "146" "146" 0x00000100] + Pad[62567 -32481 57669 -32481 1102 3000 1402 "147" "147" 0x00000100] + Pad[62567 -34449 57669 -34449 1102 3000 1402 "148" "148" 0x00000100] + Pad[62567 -36418 57669 -36418 1102 3000 1402 "149" "149" 0x00000100] + Pad[62567 -38386 57669 -38386 1102 3000 1402 "150" "150" 0x00000100] + Pad[62567 -40355 57669 -40355 1102 3000 1402 "151" "151" 0x00000100] + Pad[62567 -42323 57669 -42323 1102 3000 1402 "152" "152" 0x00000100] + Pad[62567 -44292 57669 -44292 1102 3000 1402 "153" "153" 0x00000100] + Pad[62567 -46260 57669 -46260 1102 3000 1402 "154" "154" 0x00000100] + Pad[62567 -48229 57669 -48229 1102 3000 1402 "155" "155" 0x00000100] + Pad[62567 -50197 57669 -50197 1102 3000 1402 "156" "156" 0x00000100] + # top row + Pad[50196 -62567 50196 -57669 1102 3000 1402 "157" "157" 0x00000900] + Pad[48228 -62567 48228 -57669 1102 3000 1402 "158" "158" 0x00000900] + Pad[46259 -62567 46259 -57669 1102 3000 1402 "159" "159" 0x00000900] + Pad[44291 -62567 44291 -57669 1102 3000 1402 "160" "160" 0x00000900] + Pad[42322 -62567 42322 -57669 1102 3000 1402 "161" "161" 0x00000900] + Pad[40354 -62567 40354 -57669 1102 3000 1402 "162" "162" 0x00000900] + Pad[38385 -62567 38385 -57669 1102 3000 1402 "163" "163" 0x00000900] + Pad[36417 -62567 36417 -57669 1102 3000 1402 "164" "164" 0x00000900] + Pad[34448 -62567 34448 -57669 1102 3000 1402 "165" "165" 0x00000900] + Pad[32480 -62567 32480 -57669 1102 3000 1402 "166" "166" 0x00000900] + Pad[30511 -62567 30511 -57669 1102 3000 1402 "167" "167" 0x00000900] + Pad[28543 -62567 28543 -57669 1102 3000 1402 "168" "168" 0x00000900] + Pad[26574 -62567 26574 -57669 1102 3000 1402 "169" "169" 0x00000900] + Pad[24606 -62567 24606 -57669 1102 3000 1402 "170" "170" 0x00000900] + Pad[22637 -62567 22637 -57669 1102 3000 1402 "171" "171" 0x00000900] + Pad[20669 -62567 20669 -57669 1102 3000 1402 "172" "172" 0x00000900] + Pad[18700 -62567 18700 -57669 1102 3000 1402 "173" "173" 0x00000900] + Pad[16732 -62567 16732 -57669 1102 3000 1402 "174" "174" 0x00000900] + Pad[14763 -62567 14763 -57669 1102 3000 1402 "175" "175" 0x00000900] + Pad[12795 -62567 12795 -57669 1102 3000 1402 "176" "176" 0x00000900] + Pad[10826 -62567 10826 -57669 1102 3000 1402 "177" "177" 0x00000900] + Pad[8858 -62567 8858 -57669 1102 3000 1402 "178" "178" 0x00000900] + Pad[6889 -62567 6889 -57669 1102 3000 1402 "179" "179" 0x00000900] + Pad[4921 -62567 4921 -57669 1102 3000 1402 "180" "180" 0x00000900] + Pad[2952 -62567 2952 -57669 1102 3000 1402 "181" "181" 0x00000900] + Pad[984 -62567 984 -57669 1102 3000 1402 "182" "182" 0x00000900] + Pad[-985 -62567 -985 -57669 1102 3000 1402 "183" "183" 0x00000900] + Pad[-2953 -62567 -2953 -57669 1102 3000 1402 "184" "184" 0x00000900] + Pad[-4922 -62567 -4922 -57669 1102 3000 1402 "185" "185" 0x00000900] + Pad[-6890 -62567 -6890 -57669 1102 3000 1402 "186" "186" 0x00000900] + Pad[-8859 -62567 -8859 -57669 1102 3000 1402 "187" "187" 0x00000900] + Pad[-10827 -62567 -10827 -57669 1102 3000 1402 "188" "188" 0x00000900] + Pad[-12796 -62567 -12796 -57669 1102 3000 1402 "189" "189" 0x00000900] + Pad[-14764 -62567 -14764 -57669 1102 3000 1402 "190" "190" 0x00000900] + Pad[-16733 -62567 -16733 -57669 1102 3000 1402 "191" "191" 0x00000900] + Pad[-18701 -62567 -18701 -57669 1102 3000 1402 "192" "192" 0x00000900] + Pad[-20670 -62567 -20670 -57669 1102 3000 1402 "193" "193" 0x00000900] + Pad[-22638 -62567 -22638 -57669 1102 3000 1402 "194" "194" 0x00000900] + Pad[-24607 -62567 -24607 -57669 1102 3000 1402 "195" "195" 0x00000900] + Pad[-26575 -62567 -26575 -57669 1102 3000 1402 "196" "196" 0x00000900] + Pad[-28544 -62567 -28544 -57669 1102 3000 1402 "197" "197" 0x00000900] + Pad[-30512 -62567 -30512 -57669 1102 3000 1402 "198" "198" 0x00000900] + Pad[-32481 -62567 -32481 -57669 1102 3000 1402 "199" "199" 0x00000900] + Pad[-34449 -62567 -34449 -57669 1102 3000 1402 "200" "200" 0x00000900] + Pad[-36418 -62567 -36418 -57669 1102 3000 1402 "201" "201" 0x00000900] + Pad[-38386 -62567 -38386 -57669 1102 3000 1402 "202" "202" 0x00000900] + Pad[-40355 -62567 -40355 -57669 1102 3000 1402 "203" "203" 0x00000900] + Pad[-42323 -62567 -42323 -57669 1102 3000 1402 "204" "204" 0x00000900] + Pad[-44292 -62567 -44292 -57669 1102 3000 1402 "205" "205" 0x00000900] + Pad[-46260 -62567 -46260 -57669 1102 3000 1402 "206" "206" 0x00000900] + Pad[-48229 -62567 -48229 -57669 1102 3000 1402 "207" "207" 0x00000900] + Pad[-50197 -62567 -50197 -57669 1102 3000 1402 "208" "208" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP240_32.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP240_32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP240_32.fp (revision 1033) @@ -0,0 +1,259 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP240_32" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-70441 -58070 -65543 -58070 1102 3000 1402 "1" "1" 0x00000100] + Pad[-70441 -56102 -65543 -56102 1102 3000 1402 "2" "2" 0x00000100] + Pad[-70441 -54133 -65543 -54133 1102 3000 1402 "3" "3" 0x00000100] + Pad[-70441 -52165 -65543 -52165 1102 3000 1402 "4" "4" 0x00000100] + Pad[-70441 -50196 -65543 -50196 1102 3000 1402 "5" "5" 0x00000100] + Pad[-70441 -48228 -65543 -48228 1102 3000 1402 "6" "6" 0x00000100] + Pad[-70441 -46259 -65543 -46259 1102 3000 1402 "7" "7" 0x00000100] + Pad[-70441 -44291 -65543 -44291 1102 3000 1402 "8" "8" 0x00000100] + Pad[-70441 -42322 -65543 -42322 1102 3000 1402 "9" "9" 0x00000100] + Pad[-70441 -40354 -65543 -40354 1102 3000 1402 "10" "10" 0x00000100] + Pad[-70441 -38385 -65543 -38385 1102 3000 1402 "11" "11" 0x00000100] + Pad[-70441 -36417 -65543 -36417 1102 3000 1402 "12" "12" 0x00000100] + Pad[-70441 -34448 -65543 -34448 1102 3000 1402 "13" "13" 0x00000100] + Pad[-70441 -32480 -65543 -32480 1102 3000 1402 "14" "14" 0x00000100] + Pad[-70441 -30511 -65543 -30511 1102 3000 1402 "15" "15" 0x00000100] + Pad[-70441 -28543 -65543 -28543 1102 3000 1402 "16" "16" 0x00000100] + Pad[-70441 -26574 -65543 -26574 1102 3000 1402 "17" "17" 0x00000100] + Pad[-70441 -24606 -65543 -24606 1102 3000 1402 "18" "18" 0x00000100] + Pad[-70441 -22637 -65543 -22637 1102 3000 1402 "19" "19" 0x00000100] + Pad[-70441 -20669 -65543 -20669 1102 3000 1402 "20" "20" 0x00000100] + Pad[-70441 -18700 -65543 -18700 1102 3000 1402 "21" "21" 0x00000100] + Pad[-70441 -16732 -65543 -16732 1102 3000 1402 "22" "22" 0x00000100] + Pad[-70441 -14763 -65543 -14763 1102 3000 1402 "23" "23" 0x00000100] + Pad[-70441 -12795 -65543 -12795 1102 3000 1402 "24" "24" 0x00000100] + Pad[-70441 -10826 -65543 -10826 1102 3000 1402 "25" "25" 0x00000100] + Pad[-70441 -8858 -65543 -8858 1102 3000 1402 "26" "26" 0x00000100] + Pad[-70441 -6889 -65543 -6889 1102 3000 1402 "27" "27" 0x00000100] + Pad[-70441 -4921 -65543 -4921 1102 3000 1402 "28" "28" 0x00000100] + Pad[-70441 -2952 -65543 -2952 1102 3000 1402 "29" "29" 0x00000100] + Pad[-70441 -984 -65543 -984 1102 3000 1402 "30" "30" 0x00000100] + Pad[-70441 985 -65543 985 1102 3000 1402 "31" "31" 0x00000100] + Pad[-70441 2953 -65543 2953 1102 3000 1402 "32" "32" 0x00000100] + Pad[-70441 4922 -65543 4922 1102 3000 1402 "33" "33" 0x00000100] + Pad[-70441 6890 -65543 6890 1102 3000 1402 "34" "34" 0x00000100] + Pad[-70441 8859 -65543 8859 1102 3000 1402 "35" "35" 0x00000100] + Pad[-70441 10827 -65543 10827 1102 3000 1402 "36" "36" 0x00000100] + Pad[-70441 12796 -65543 12796 1102 3000 1402 "37" "37" 0x00000100] + Pad[-70441 14764 -65543 14764 1102 3000 1402 "38" "38" 0x00000100] + Pad[-70441 16733 -65543 16733 1102 3000 1402 "39" "39" 0x00000100] + Pad[-70441 18701 -65543 18701 1102 3000 1402 "40" "40" 0x00000100] + Pad[-70441 20670 -65543 20670 1102 3000 1402 "41" "41" 0x00000100] + Pad[-70441 22638 -65543 22638 1102 3000 1402 "42" "42" 0x00000100] + Pad[-70441 24607 -65543 24607 1102 3000 1402 "43" "43" 0x00000100] + Pad[-70441 26575 -65543 26575 1102 3000 1402 "44" "44" 0x00000100] + Pad[-70441 28544 -65543 28544 1102 3000 1402 "45" "45" 0x00000100] + Pad[-70441 30512 -65543 30512 1102 3000 1402 "46" "46" 0x00000100] + Pad[-70441 32481 -65543 32481 1102 3000 1402 "47" "47" 0x00000100] + Pad[-70441 34449 -65543 34449 1102 3000 1402 "48" "48" 0x00000100] + Pad[-70441 36418 -65543 36418 1102 3000 1402 "49" "49" 0x00000100] + Pad[-70441 38386 -65543 38386 1102 3000 1402 "50" "50" 0x00000100] + Pad[-70441 40355 -65543 40355 1102 3000 1402 "51" "51" 0x00000100] + Pad[-70441 42323 -65543 42323 1102 3000 1402 "52" "52" 0x00000100] + Pad[-70441 44292 -65543 44292 1102 3000 1402 "53" "53" 0x00000100] + Pad[-70441 46260 -65543 46260 1102 3000 1402 "54" "54" 0x00000100] + Pad[-70441 48229 -65543 48229 1102 3000 1402 "55" "55" 0x00000100] + Pad[-70441 50197 -65543 50197 1102 3000 1402 "56" "56" 0x00000100] + Pad[-70441 52166 -65543 52166 1102 3000 1402 "57" "57" 0x00000100] + Pad[-70441 54134 -65543 54134 1102 3000 1402 "58" "58" 0x00000100] + Pad[-70441 56103 -65543 56103 1102 3000 1402 "59" "59" 0x00000100] + Pad[-70441 58071 -65543 58071 1102 3000 1402 "60" "60" 0x00000100] + # bottom row + Pad[-58070 70441 -58070 65543 1102 3000 1402 "61" "61" 0x00000900] + Pad[-56102 70441 -56102 65543 1102 3000 1402 "62" "62" 0x00000900] + Pad[-54133 70441 -54133 65543 1102 3000 1402 "63" "63" 0x00000900] + Pad[-52165 70441 -52165 65543 1102 3000 1402 "64" "64" 0x00000900] + Pad[-50196 70441 -50196 65543 1102 3000 1402 "65" "65" 0x00000900] + Pad[-48228 70441 -48228 65543 1102 3000 1402 "66" "66" 0x00000900] + Pad[-46259 70441 -46259 65543 1102 3000 1402 "67" "67" 0x00000900] + Pad[-44291 70441 -44291 65543 1102 3000 1402 "68" "68" 0x00000900] + Pad[-42322 70441 -42322 65543 1102 3000 1402 "69" "69" 0x00000900] + Pad[-40354 70441 -40354 65543 1102 3000 1402 "70" "70" 0x00000900] + Pad[-38385 70441 -38385 65543 1102 3000 1402 "71" "71" 0x00000900] + Pad[-36417 70441 -36417 65543 1102 3000 1402 "72" "72" 0x00000900] + Pad[-34448 70441 -34448 65543 1102 3000 1402 "73" "73" 0x00000900] + Pad[-32480 70441 -32480 65543 1102 3000 1402 "74" "74" 0x00000900] + Pad[-30511 70441 -30511 65543 1102 3000 1402 "75" "75" 0x00000900] + Pad[-28543 70441 -28543 65543 1102 3000 1402 "76" "76" 0x00000900] + Pad[-26574 70441 -26574 65543 1102 3000 1402 "77" "77" 0x00000900] + Pad[-24606 70441 -24606 65543 1102 3000 1402 "78" "78" 0x00000900] + Pad[-22637 70441 -22637 65543 1102 3000 1402 "79" "79" 0x00000900] + Pad[-20669 70441 -20669 65543 1102 3000 1402 "80" "80" 0x00000900] + Pad[-18700 70441 -18700 65543 1102 3000 1402 "81" "81" 0x00000900] + Pad[-16732 70441 -16732 65543 1102 3000 1402 "82" "82" 0x00000900] + Pad[-14763 70441 -14763 65543 1102 3000 1402 "83" "83" 0x00000900] + Pad[-12795 70441 -12795 65543 1102 3000 1402 "84" "84" 0x00000900] + Pad[-10826 70441 -10826 65543 1102 3000 1402 "85" "85" 0x00000900] + Pad[-8858 70441 -8858 65543 1102 3000 1402 "86" "86" 0x00000900] + Pad[-6889 70441 -6889 65543 1102 3000 1402 "87" "87" 0x00000900] + Pad[-4921 70441 -4921 65543 1102 3000 1402 "88" "88" 0x00000900] + Pad[-2952 70441 -2952 65543 1102 3000 1402 "89" "89" 0x00000900] + Pad[-984 70441 -984 65543 1102 3000 1402 "90" "90" 0x00000900] + Pad[985 70441 985 65543 1102 3000 1402 "91" "91" 0x00000900] + Pad[2953 70441 2953 65543 1102 3000 1402 "92" "92" 0x00000900] + Pad[4922 70441 4922 65543 1102 3000 1402 "93" "93" 0x00000900] + Pad[6890 70441 6890 65543 1102 3000 1402 "94" "94" 0x00000900] + Pad[8859 70441 8859 65543 1102 3000 1402 "95" "95" 0x00000900] + Pad[10827 70441 10827 65543 1102 3000 1402 "96" "96" 0x00000900] + Pad[12796 70441 12796 65543 1102 3000 1402 "97" "97" 0x00000900] + Pad[14764 70441 14764 65543 1102 3000 1402 "98" "98" 0x00000900] + Pad[16733 70441 16733 65543 1102 3000 1402 "99" "99" 0x00000900] + Pad[18701 70441 18701 65543 1102 3000 1402 "100" "100" 0x00000900] + Pad[20670 70441 20670 65543 1102 3000 1402 "101" "101" 0x00000900] + Pad[22638 70441 22638 65543 1102 3000 1402 "102" "102" 0x00000900] + Pad[24607 70441 24607 65543 1102 3000 1402 "103" "103" 0x00000900] + Pad[26575 70441 26575 65543 1102 3000 1402 "104" "104" 0x00000900] + Pad[28544 70441 28544 65543 1102 3000 1402 "105" "105" 0x00000900] + Pad[30512 70441 30512 65543 1102 3000 1402 "106" "106" 0x00000900] + Pad[32481 70441 32481 65543 1102 3000 1402 "107" "107" 0x00000900] + Pad[34449 70441 34449 65543 1102 3000 1402 "108" "108" 0x00000900] + Pad[36418 70441 36418 65543 1102 3000 1402 "109" "109" 0x00000900] + Pad[38386 70441 38386 65543 1102 3000 1402 "110" "110" 0x00000900] + Pad[40355 70441 40355 65543 1102 3000 1402 "111" "111" 0x00000900] + Pad[42323 70441 42323 65543 1102 3000 1402 "112" "112" 0x00000900] + Pad[44292 70441 44292 65543 1102 3000 1402 "113" "113" 0x00000900] + Pad[46260 70441 46260 65543 1102 3000 1402 "114" "114" 0x00000900] + Pad[48229 70441 48229 65543 1102 3000 1402 "115" "115" 0x00000900] + Pad[50197 70441 50197 65543 1102 3000 1402 "116" "116" 0x00000900] + Pad[52166 70441 52166 65543 1102 3000 1402 "117" "117" 0x00000900] + Pad[54134 70441 54134 65543 1102 3000 1402 "118" "118" 0x00000900] + Pad[56103 70441 56103 65543 1102 3000 1402 "119" "119" 0x00000900] + Pad[58071 70441 58071 65543 1102 3000 1402 "120" "120" 0x00000900] + # right row + Pad[70441 58070 65543 58070 1102 3000 1402 "121" "121" 0x00000100] + Pad[70441 56102 65543 56102 1102 3000 1402 "122" "122" 0x00000100] + Pad[70441 54133 65543 54133 1102 3000 1402 "123" "123" 0x00000100] + Pad[70441 52165 65543 52165 1102 3000 1402 "124" "124" 0x00000100] + Pad[70441 50196 65543 50196 1102 3000 1402 "125" "125" 0x00000100] + Pad[70441 48228 65543 48228 1102 3000 1402 "126" "126" 0x00000100] + Pad[70441 46259 65543 46259 1102 3000 1402 "127" "127" 0x00000100] + Pad[70441 44291 65543 44291 1102 3000 1402 "128" "128" 0x00000100] + Pad[70441 42322 65543 42322 1102 3000 1402 "129" "129" 0x00000100] + Pad[70441 40354 65543 40354 1102 3000 1402 "130" "130" 0x00000100] + Pad[70441 38385 65543 38385 1102 3000 1402 "131" "131" 0x00000100] + Pad[70441 36417 65543 36417 1102 3000 1402 "132" "132" 0x00000100] + Pad[70441 34448 65543 34448 1102 3000 1402 "133" "133" 0x00000100] + Pad[70441 32480 65543 32480 1102 3000 1402 "134" "134" 0x00000100] + Pad[70441 30511 65543 30511 1102 3000 1402 "135" "135" 0x00000100] + Pad[70441 28543 65543 28543 1102 3000 1402 "136" "136" 0x00000100] + Pad[70441 26574 65543 26574 1102 3000 1402 "137" "137" 0x00000100] + Pad[70441 24606 65543 24606 1102 3000 1402 "138" "138" 0x00000100] + Pad[70441 22637 65543 22637 1102 3000 1402 "139" "139" 0x00000100] + Pad[70441 20669 65543 20669 1102 3000 1402 "140" "140" 0x00000100] + Pad[70441 18700 65543 18700 1102 3000 1402 "141" "141" 0x00000100] + Pad[70441 16732 65543 16732 1102 3000 1402 "142" "142" 0x00000100] + Pad[70441 14763 65543 14763 1102 3000 1402 "143" "143" 0x00000100] + Pad[70441 12795 65543 12795 1102 3000 1402 "144" "144" 0x00000100] + Pad[70441 10826 65543 10826 1102 3000 1402 "145" "145" 0x00000100] + Pad[70441 8858 65543 8858 1102 3000 1402 "146" "146" 0x00000100] + Pad[70441 6889 65543 6889 1102 3000 1402 "147" "147" 0x00000100] + Pad[70441 4921 65543 4921 1102 3000 1402 "148" "148" 0x00000100] + Pad[70441 2952 65543 2952 1102 3000 1402 "149" "149" 0x00000100] + Pad[70441 984 65543 984 1102 3000 1402 "150" "150" 0x00000100] + Pad[70441 -985 65543 -985 1102 3000 1402 "151" "151" 0x00000100] + Pad[70441 -2953 65543 -2953 1102 3000 1402 "152" "152" 0x00000100] + Pad[70441 -4922 65543 -4922 1102 3000 1402 "153" "153" 0x00000100] + Pad[70441 -6890 65543 -6890 1102 3000 1402 "154" "154" 0x00000100] + Pad[70441 -8859 65543 -8859 1102 3000 1402 "155" "155" 0x00000100] + Pad[70441 -10827 65543 -10827 1102 3000 1402 "156" "156" 0x00000100] + Pad[70441 -12796 65543 -12796 1102 3000 1402 "157" "157" 0x00000100] + Pad[70441 -14764 65543 -14764 1102 3000 1402 "158" "158" 0x00000100] + Pad[70441 -16733 65543 -16733 1102 3000 1402 "159" "159" 0x00000100] + Pad[70441 -18701 65543 -18701 1102 3000 1402 "160" "160" 0x00000100] + Pad[70441 -20670 65543 -20670 1102 3000 1402 "161" "161" 0x00000100] + Pad[70441 -22638 65543 -22638 1102 3000 1402 "162" "162" 0x00000100] + Pad[70441 -24607 65543 -24607 1102 3000 1402 "163" "163" 0x00000100] + Pad[70441 -26575 65543 -26575 1102 3000 1402 "164" "164" 0x00000100] + Pad[70441 -28544 65543 -28544 1102 3000 1402 "165" "165" 0x00000100] + Pad[70441 -30512 65543 -30512 1102 3000 1402 "166" "166" 0x00000100] + Pad[70441 -32481 65543 -32481 1102 3000 1402 "167" "167" 0x00000100] + Pad[70441 -34449 65543 -34449 1102 3000 1402 "168" "168" 0x00000100] + Pad[70441 -36418 65543 -36418 1102 3000 1402 "169" "169" 0x00000100] + Pad[70441 -38386 65543 -38386 1102 3000 1402 "170" "170" 0x00000100] + Pad[70441 -40355 65543 -40355 1102 3000 1402 "171" "171" 0x00000100] + Pad[70441 -42323 65543 -42323 1102 3000 1402 "172" "172" 0x00000100] + Pad[70441 -44292 65543 -44292 1102 3000 1402 "173" "173" 0x00000100] + Pad[70441 -46260 65543 -46260 1102 3000 1402 "174" "174" 0x00000100] + Pad[70441 -48229 65543 -48229 1102 3000 1402 "175" "175" 0x00000100] + Pad[70441 -50197 65543 -50197 1102 3000 1402 "176" "176" 0x00000100] + Pad[70441 -52166 65543 -52166 1102 3000 1402 "177" "177" 0x00000100] + Pad[70441 -54134 65543 -54134 1102 3000 1402 "178" "178" 0x00000100] + Pad[70441 -56103 65543 -56103 1102 3000 1402 "179" "179" 0x00000100] + Pad[70441 -58071 65543 -58071 1102 3000 1402 "180" "180" 0x00000100] + # top row + Pad[58070 -70441 58070 -65543 1102 3000 1402 "181" "181" 0x00000900] + Pad[56102 -70441 56102 -65543 1102 3000 1402 "182" "182" 0x00000900] + Pad[54133 -70441 54133 -65543 1102 3000 1402 "183" "183" 0x00000900] + Pad[52165 -70441 52165 -65543 1102 3000 1402 "184" "184" 0x00000900] + Pad[50196 -70441 50196 -65543 1102 3000 1402 "185" "185" 0x00000900] + Pad[48228 -70441 48228 -65543 1102 3000 1402 "186" "186" 0x00000900] + Pad[46259 -70441 46259 -65543 1102 3000 1402 "187" "187" 0x00000900] + Pad[44291 -70441 44291 -65543 1102 3000 1402 "188" "188" 0x00000900] + Pad[42322 -70441 42322 -65543 1102 3000 1402 "189" "189" 0x00000900] + Pad[40354 -70441 40354 -65543 1102 3000 1402 "190" "190" 0x00000900] + Pad[38385 -70441 38385 -65543 1102 3000 1402 "191" "191" 0x00000900] + Pad[36417 -70441 36417 -65543 1102 3000 1402 "192" "192" 0x00000900] + Pad[34448 -70441 34448 -65543 1102 3000 1402 "193" "193" 0x00000900] + Pad[32480 -70441 32480 -65543 1102 3000 1402 "194" "194" 0x00000900] + Pad[30511 -70441 30511 -65543 1102 3000 1402 "195" "195" 0x00000900] + Pad[28543 -70441 28543 -65543 1102 3000 1402 "196" "196" 0x00000900] + Pad[26574 -70441 26574 -65543 1102 3000 1402 "197" "197" 0x00000900] + Pad[24606 -70441 24606 -65543 1102 3000 1402 "198" "198" 0x00000900] + Pad[22637 -70441 22637 -65543 1102 3000 1402 "199" "199" 0x00000900] + Pad[20669 -70441 20669 -65543 1102 3000 1402 "200" "200" 0x00000900] + Pad[18700 -70441 18700 -65543 1102 3000 1402 "201" "201" 0x00000900] + Pad[16732 -70441 16732 -65543 1102 3000 1402 "202" "202" 0x00000900] + Pad[14763 -70441 14763 -65543 1102 3000 1402 "203" "203" 0x00000900] + Pad[12795 -70441 12795 -65543 1102 3000 1402 "204" "204" 0x00000900] + Pad[10826 -70441 10826 -65543 1102 3000 1402 "205" "205" 0x00000900] + Pad[8858 -70441 8858 -65543 1102 3000 1402 "206" "206" 0x00000900] + Pad[6889 -70441 6889 -65543 1102 3000 1402 "207" "207" 0x00000900] + Pad[4921 -70441 4921 -65543 1102 3000 1402 "208" "208" 0x00000900] + Pad[2952 -70441 2952 -65543 1102 3000 1402 "209" "209" 0x00000900] + Pad[984 -70441 984 -65543 1102 3000 1402 "210" "210" 0x00000900] + Pad[-985 -70441 -985 -65543 1102 3000 1402 "211" "211" 0x00000900] + Pad[-2953 -70441 -2953 -65543 1102 3000 1402 "212" "212" 0x00000900] + Pad[-4922 -70441 -4922 -65543 1102 3000 1402 "213" "213" 0x00000900] + Pad[-6890 -70441 -6890 -65543 1102 3000 1402 "214" "214" 0x00000900] + Pad[-8859 -70441 -8859 -65543 1102 3000 1402 "215" "215" 0x00000900] + Pad[-10827 -70441 -10827 -65543 1102 3000 1402 "216" "216" 0x00000900] + Pad[-12796 -70441 -12796 -65543 1102 3000 1402 "217" "217" 0x00000900] + Pad[-14764 -70441 -14764 -65543 1102 3000 1402 "218" "218" 0x00000900] + Pad[-16733 -70441 -16733 -65543 1102 3000 1402 "219" "219" 0x00000900] + Pad[-18701 -70441 -18701 -65543 1102 3000 1402 "220" "220" 0x00000900] + Pad[-20670 -70441 -20670 -65543 1102 3000 1402 "221" "221" 0x00000900] + Pad[-22638 -70441 -22638 -65543 1102 3000 1402 "222" "222" 0x00000900] + Pad[-24607 -70441 -24607 -65543 1102 3000 1402 "223" "223" 0x00000900] + Pad[-26575 -70441 -26575 -65543 1102 3000 1402 "224" "224" 0x00000900] + Pad[-28544 -70441 -28544 -65543 1102 3000 1402 "225" "225" 0x00000900] + Pad[-30512 -70441 -30512 -65543 1102 3000 1402 "226" "226" 0x00000900] + Pad[-32481 -70441 -32481 -65543 1102 3000 1402 "227" "227" 0x00000900] + Pad[-34449 -70441 -34449 -65543 1102 3000 1402 "228" "228" 0x00000900] + Pad[-36418 -70441 -36418 -65543 1102 3000 1402 "229" "229" 0x00000900] + Pad[-38386 -70441 -38386 -65543 1102 3000 1402 "230" "230" 0x00000900] + Pad[-40355 -70441 -40355 -65543 1102 3000 1402 "231" "231" 0x00000900] + Pad[-42323 -70441 -42323 -65543 1102 3000 1402 "232" "232" 0x00000900] + Pad[-44292 -70441 -44292 -65543 1102 3000 1402 "233" "233" 0x00000900] + Pad[-46260 -70441 -46260 -65543 1102 3000 1402 "234" "234" 0x00000900] + Pad[-48229 -70441 -48229 -65543 1102 3000 1402 "235" "235" 0x00000900] + Pad[-50197 -70441 -50197 -65543 1102 3000 1402 "236" "236" 0x00000900] + Pad[-52166 -70441 -52166 -65543 1102 3000 1402 "237" "237" 0x00000900] + Pad[-54134 -70441 -54134 -65543 1102 3000 1402 "238" "238" 0x00000900] + Pad[-56103 -70441 -56103 -65543 1102 3000 1402 "239" "239" 0x00000900] + Pad[-58071 -70441 -58071 -65543 1102 3000 1402 "240" "240" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-59692 -62592 62592 -62592 800] + # right + ElementLine[62592 -62592 62592 62592 800] + # bottom + ElementLine[62592 62592 -62592 62592 800] + # left + ElementLine[-62592 62592 -62592 -59692 800] + # angled corner + ElementLine[-62592 -59692 -59692 -62592 800] + ElementArc[-59692 -59692 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP304_40.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP304_40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP304_40.fp (revision 1033) @@ -0,0 +1,323 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP304_40" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-86189 -73818 -81291 -73818 1102 3000 1402 "1" "1" 0x00000100] + Pad[-86189 -71850 -81291 -71850 1102 3000 1402 "2" "2" 0x00000100] + Pad[-86189 -69881 -81291 -69881 1102 3000 1402 "3" "3" 0x00000100] + Pad[-86189 -67913 -81291 -67913 1102 3000 1402 "4" "4" 0x00000100] + Pad[-86189 -65944 -81291 -65944 1102 3000 1402 "5" "5" 0x00000100] + Pad[-86189 -63976 -81291 -63976 1102 3000 1402 "6" "6" 0x00000100] + Pad[-86189 -62007 -81291 -62007 1102 3000 1402 "7" "7" 0x00000100] + Pad[-86189 -60039 -81291 -60039 1102 3000 1402 "8" "8" 0x00000100] + Pad[-86189 -58070 -81291 -58070 1102 3000 1402 "9" "9" 0x00000100] + Pad[-86189 -56102 -81291 -56102 1102 3000 1402 "10" "10" 0x00000100] + Pad[-86189 -54133 -81291 -54133 1102 3000 1402 "11" "11" 0x00000100] + Pad[-86189 -52165 -81291 -52165 1102 3000 1402 "12" "12" 0x00000100] + Pad[-86189 -50196 -81291 -50196 1102 3000 1402 "13" "13" 0x00000100] + Pad[-86189 -48228 -81291 -48228 1102 3000 1402 "14" "14" 0x00000100] + Pad[-86189 -46259 -81291 -46259 1102 3000 1402 "15" "15" 0x00000100] + Pad[-86189 -44291 -81291 -44291 1102 3000 1402 "16" "16" 0x00000100] + Pad[-86189 -42322 -81291 -42322 1102 3000 1402 "17" "17" 0x00000100] + Pad[-86189 -40354 -81291 -40354 1102 3000 1402 "18" "18" 0x00000100] + Pad[-86189 -38385 -81291 -38385 1102 3000 1402 "19" "19" 0x00000100] + Pad[-86189 -36417 -81291 -36417 1102 3000 1402 "20" "20" 0x00000100] + Pad[-86189 -34448 -81291 -34448 1102 3000 1402 "21" "21" 0x00000100] + Pad[-86189 -32480 -81291 -32480 1102 3000 1402 "22" "22" 0x00000100] + Pad[-86189 -30511 -81291 -30511 1102 3000 1402 "23" "23" 0x00000100] + Pad[-86189 -28543 -81291 -28543 1102 3000 1402 "24" "24" 0x00000100] + Pad[-86189 -26574 -81291 -26574 1102 3000 1402 "25" "25" 0x00000100] + Pad[-86189 -24606 -81291 -24606 1102 3000 1402 "26" "26" 0x00000100] + Pad[-86189 -22637 -81291 -22637 1102 3000 1402 "27" "27" 0x00000100] + Pad[-86189 -20669 -81291 -20669 1102 3000 1402 "28" "28" 0x00000100] + Pad[-86189 -18700 -81291 -18700 1102 3000 1402 "29" "29" 0x00000100] + Pad[-86189 -16732 -81291 -16732 1102 3000 1402 "30" "30" 0x00000100] + Pad[-86189 -14763 -81291 -14763 1102 3000 1402 "31" "31" 0x00000100] + Pad[-86189 -12795 -81291 -12795 1102 3000 1402 "32" "32" 0x00000100] + Pad[-86189 -10826 -81291 -10826 1102 3000 1402 "33" "33" 0x00000100] + Pad[-86189 -8858 -81291 -8858 1102 3000 1402 "34" "34" 0x00000100] + Pad[-86189 -6889 -81291 -6889 1102 3000 1402 "35" "35" 0x00000100] + Pad[-86189 -4921 -81291 -4921 1102 3000 1402 "36" "36" 0x00000100] + Pad[-86189 -2952 -81291 -2952 1102 3000 1402 "37" "37" 0x00000100] + Pad[-86189 -984 -81291 -984 1102 3000 1402 "38" "38" 0x00000100] + Pad[-86189 985 -81291 985 1102 3000 1402 "39" "39" 0x00000100] + Pad[-86189 2953 -81291 2953 1102 3000 1402 "40" "40" 0x00000100] + Pad[-86189 4922 -81291 4922 1102 3000 1402 "41" "41" 0x00000100] + Pad[-86189 6890 -81291 6890 1102 3000 1402 "42" "42" 0x00000100] + Pad[-86189 8859 -81291 8859 1102 3000 1402 "43" "43" 0x00000100] + Pad[-86189 10827 -81291 10827 1102 3000 1402 "44" "44" 0x00000100] + Pad[-86189 12796 -81291 12796 1102 3000 1402 "45" "45" 0x00000100] + Pad[-86189 14764 -81291 14764 1102 3000 1402 "46" "46" 0x00000100] + Pad[-86189 16733 -81291 16733 1102 3000 1402 "47" "47" 0x00000100] + Pad[-86189 18701 -81291 18701 1102 3000 1402 "48" "48" 0x00000100] + Pad[-86189 20670 -81291 20670 1102 3000 1402 "49" "49" 0x00000100] + Pad[-86189 22638 -81291 22638 1102 3000 1402 "50" "50" 0x00000100] + Pad[-86189 24607 -81291 24607 1102 3000 1402 "51" "51" 0x00000100] + Pad[-86189 26575 -81291 26575 1102 3000 1402 "52" "52" 0x00000100] + Pad[-86189 28544 -81291 28544 1102 3000 1402 "53" "53" 0x00000100] + Pad[-86189 30512 -81291 30512 1102 3000 1402 "54" "54" 0x00000100] + Pad[-86189 32481 -81291 32481 1102 3000 1402 "55" "55" 0x00000100] + Pad[-86189 34449 -81291 34449 1102 3000 1402 "56" "56" 0x00000100] + Pad[-86189 36418 -81291 36418 1102 3000 1402 "57" "57" 0x00000100] + Pad[-86189 38386 -81291 38386 1102 3000 1402 "58" "58" 0x00000100] + Pad[-86189 40355 -81291 40355 1102 3000 1402 "59" "59" 0x00000100] + Pad[-86189 42323 -81291 42323 1102 3000 1402 "60" "60" 0x00000100] + Pad[-86189 44292 -81291 44292 1102 3000 1402 "61" "61" 0x00000100] + Pad[-86189 46260 -81291 46260 1102 3000 1402 "62" "62" 0x00000100] + Pad[-86189 48229 -81291 48229 1102 3000 1402 "63" "63" 0x00000100] + Pad[-86189 50197 -81291 50197 1102 3000 1402 "64" "64" 0x00000100] + Pad[-86189 52166 -81291 52166 1102 3000 1402 "65" "65" 0x00000100] + Pad[-86189 54134 -81291 54134 1102 3000 1402 "66" "66" 0x00000100] + Pad[-86189 56103 -81291 56103 1102 3000 1402 "67" "67" 0x00000100] + Pad[-86189 58071 -81291 58071 1102 3000 1402 "68" "68" 0x00000100] + Pad[-86189 60040 -81291 60040 1102 3000 1402 "69" "69" 0x00000100] + Pad[-86189 62008 -81291 62008 1102 3000 1402 "70" "70" 0x00000100] + Pad[-86189 63977 -81291 63977 1102 3000 1402 "71" "71" 0x00000100] + Pad[-86189 65945 -81291 65945 1102 3000 1402 "72" "72" 0x00000100] + Pad[-86189 67914 -81291 67914 1102 3000 1402 "73" "73" 0x00000100] + Pad[-86189 69882 -81291 69882 1102 3000 1402 "74" "74" 0x00000100] + Pad[-86189 71851 -81291 71851 1102 3000 1402 "75" "75" 0x00000100] + Pad[-86189 73819 -81291 73819 1102 3000 1402 "76" "76" 0x00000100] + # bottom row + Pad[-73818 86189 -73818 81291 1102 3000 1402 "77" "77" 0x00000900] + Pad[-71850 86189 -71850 81291 1102 3000 1402 "78" "78" 0x00000900] + Pad[-69881 86189 -69881 81291 1102 3000 1402 "79" "79" 0x00000900] + Pad[-67913 86189 -67913 81291 1102 3000 1402 "80" "80" 0x00000900] + Pad[-65944 86189 -65944 81291 1102 3000 1402 "81" "81" 0x00000900] + Pad[-63976 86189 -63976 81291 1102 3000 1402 "82" "82" 0x00000900] + Pad[-62007 86189 -62007 81291 1102 3000 1402 "83" "83" 0x00000900] + Pad[-60039 86189 -60039 81291 1102 3000 1402 "84" "84" 0x00000900] + Pad[-58070 86189 -58070 81291 1102 3000 1402 "85" "85" 0x00000900] + Pad[-56102 86189 -56102 81291 1102 3000 1402 "86" "86" 0x00000900] + Pad[-54133 86189 -54133 81291 1102 3000 1402 "87" "87" 0x00000900] + Pad[-52165 86189 -52165 81291 1102 3000 1402 "88" "88" 0x00000900] + Pad[-50196 86189 -50196 81291 1102 3000 1402 "89" "89" 0x00000900] + Pad[-48228 86189 -48228 81291 1102 3000 1402 "90" "90" 0x00000900] + Pad[-46259 86189 -46259 81291 1102 3000 1402 "91" "91" 0x00000900] + Pad[-44291 86189 -44291 81291 1102 3000 1402 "92" "92" 0x00000900] + Pad[-42322 86189 -42322 81291 1102 3000 1402 "93" "93" 0x00000900] + Pad[-40354 86189 -40354 81291 1102 3000 1402 "94" "94" 0x00000900] + Pad[-38385 86189 -38385 81291 1102 3000 1402 "95" "95" 0x00000900] + Pad[-36417 86189 -36417 81291 1102 3000 1402 "96" "96" 0x00000900] + Pad[-34448 86189 -34448 81291 1102 3000 1402 "97" "97" 0x00000900] + Pad[-32480 86189 -32480 81291 1102 3000 1402 "98" "98" 0x00000900] + Pad[-30511 86189 -30511 81291 1102 3000 1402 "99" "99" 0x00000900] + Pad[-28543 86189 -28543 81291 1102 3000 1402 "100" "100" 0x00000900] + Pad[-26574 86189 -26574 81291 1102 3000 1402 "101" "101" 0x00000900] + Pad[-24606 86189 -24606 81291 1102 3000 1402 "102" "102" 0x00000900] + Pad[-22637 86189 -22637 81291 1102 3000 1402 "103" "103" 0x00000900] + Pad[-20669 86189 -20669 81291 1102 3000 1402 "104" "104" 0x00000900] + Pad[-18700 86189 -18700 81291 1102 3000 1402 "105" "105" 0x00000900] + Pad[-16732 86189 -16732 81291 1102 3000 1402 "106" "106" 0x00000900] + Pad[-14763 86189 -14763 81291 1102 3000 1402 "107" "107" 0x00000900] + Pad[-12795 86189 -12795 81291 1102 3000 1402 "108" "108" 0x00000900] + Pad[-10826 86189 -10826 81291 1102 3000 1402 "109" "109" 0x00000900] + Pad[-8858 86189 -8858 81291 1102 3000 1402 "110" "110" 0x00000900] + Pad[-6889 86189 -6889 81291 1102 3000 1402 "111" "111" 0x00000900] + Pad[-4921 86189 -4921 81291 1102 3000 1402 "112" "112" 0x00000900] + Pad[-2952 86189 -2952 81291 1102 3000 1402 "113" "113" 0x00000900] + Pad[-984 86189 -984 81291 1102 3000 1402 "114" "114" 0x00000900] + Pad[985 86189 985 81291 1102 3000 1402 "115" "115" 0x00000900] + Pad[2953 86189 2953 81291 1102 3000 1402 "116" "116" 0x00000900] + Pad[4922 86189 4922 81291 1102 3000 1402 "117" "117" 0x00000900] + Pad[6890 86189 6890 81291 1102 3000 1402 "118" "118" 0x00000900] + Pad[8859 86189 8859 81291 1102 3000 1402 "119" "119" 0x00000900] + Pad[10827 86189 10827 81291 1102 3000 1402 "120" "120" 0x00000900] + Pad[12796 86189 12796 81291 1102 3000 1402 "121" "121" 0x00000900] + Pad[14764 86189 14764 81291 1102 3000 1402 "122" "122" 0x00000900] + Pad[16733 86189 16733 81291 1102 3000 1402 "123" "123" 0x00000900] + Pad[18701 86189 18701 81291 1102 3000 1402 "124" "124" 0x00000900] + Pad[20670 86189 20670 81291 1102 3000 1402 "125" "125" 0x00000900] + Pad[22638 86189 22638 81291 1102 3000 1402 "126" "126" 0x00000900] + Pad[24607 86189 24607 81291 1102 3000 1402 "127" "127" 0x00000900] + Pad[26575 86189 26575 81291 1102 3000 1402 "128" "128" 0x00000900] + Pad[28544 86189 28544 81291 1102 3000 1402 "129" "129" 0x00000900] + Pad[30512 86189 30512 81291 1102 3000 1402 "130" "130" 0x00000900] + Pad[32481 86189 32481 81291 1102 3000 1402 "131" "131" 0x00000900] + Pad[34449 86189 34449 81291 1102 3000 1402 "132" "132" 0x00000900] + Pad[36418 86189 36418 81291 1102 3000 1402 "133" "133" 0x00000900] + Pad[38386 86189 38386 81291 1102 3000 1402 "134" "134" 0x00000900] + Pad[40355 86189 40355 81291 1102 3000 1402 "135" "135" 0x00000900] + Pad[42323 86189 42323 81291 1102 3000 1402 "136" "136" 0x00000900] + Pad[44292 86189 44292 81291 1102 3000 1402 "137" "137" 0x00000900] + Pad[46260 86189 46260 81291 1102 3000 1402 "138" "138" 0x00000900] + Pad[48229 86189 48229 81291 1102 3000 1402 "139" "139" 0x00000900] + Pad[50197 86189 50197 81291 1102 3000 1402 "140" "140" 0x00000900] + Pad[52166 86189 52166 81291 1102 3000 1402 "141" "141" 0x00000900] + Pad[54134 86189 54134 81291 1102 3000 1402 "142" "142" 0x00000900] + Pad[56103 86189 56103 81291 1102 3000 1402 "143" "143" 0x00000900] + Pad[58071 86189 58071 81291 1102 3000 1402 "144" "144" 0x00000900] + Pad[60040 86189 60040 81291 1102 3000 1402 "145" "145" 0x00000900] + Pad[62008 86189 62008 81291 1102 3000 1402 "146" "146" 0x00000900] + Pad[63977 86189 63977 81291 1102 3000 1402 "147" "147" 0x00000900] + Pad[65945 86189 65945 81291 1102 3000 1402 "148" "148" 0x00000900] + Pad[67914 86189 67914 81291 1102 3000 1402 "149" "149" 0x00000900] + Pad[69882 86189 69882 81291 1102 3000 1402 "150" "150" 0x00000900] + Pad[71851 86189 71851 81291 1102 3000 1402 "151" "151" 0x00000900] + Pad[73819 86189 73819 81291 1102 3000 1402 "152" "152" 0x00000900] + # right row + Pad[86189 73818 81291 73818 1102 3000 1402 "153" "153" 0x00000100] + Pad[86189 71850 81291 71850 1102 3000 1402 "154" "154" 0x00000100] + Pad[86189 69881 81291 69881 1102 3000 1402 "155" "155" 0x00000100] + Pad[86189 67913 81291 67913 1102 3000 1402 "156" "156" 0x00000100] + Pad[86189 65944 81291 65944 1102 3000 1402 "157" "157" 0x00000100] + Pad[86189 63976 81291 63976 1102 3000 1402 "158" "158" 0x00000100] + Pad[86189 62007 81291 62007 1102 3000 1402 "159" "159" 0x00000100] + Pad[86189 60039 81291 60039 1102 3000 1402 "160" "160" 0x00000100] + Pad[86189 58070 81291 58070 1102 3000 1402 "161" "161" 0x00000100] + Pad[86189 56102 81291 56102 1102 3000 1402 "162" "162" 0x00000100] + Pad[86189 54133 81291 54133 1102 3000 1402 "163" "163" 0x00000100] + Pad[86189 52165 81291 52165 1102 3000 1402 "164" "164" 0x00000100] + Pad[86189 50196 81291 50196 1102 3000 1402 "165" "165" 0x00000100] + Pad[86189 48228 81291 48228 1102 3000 1402 "166" "166" 0x00000100] + Pad[86189 46259 81291 46259 1102 3000 1402 "167" "167" 0x00000100] + Pad[86189 44291 81291 44291 1102 3000 1402 "168" "168" 0x00000100] + Pad[86189 42322 81291 42322 1102 3000 1402 "169" "169" 0x00000100] + Pad[86189 40354 81291 40354 1102 3000 1402 "170" "170" 0x00000100] + Pad[86189 38385 81291 38385 1102 3000 1402 "171" "171" 0x00000100] + Pad[86189 36417 81291 36417 1102 3000 1402 "172" "172" 0x00000100] + Pad[86189 34448 81291 34448 1102 3000 1402 "173" "173" 0x00000100] + Pad[86189 32480 81291 32480 1102 3000 1402 "174" "174" 0x00000100] + Pad[86189 30511 81291 30511 1102 3000 1402 "175" "175" 0x00000100] + Pad[86189 28543 81291 28543 1102 3000 1402 "176" "176" 0x00000100] + Pad[86189 26574 81291 26574 1102 3000 1402 "177" "177" 0x00000100] + Pad[86189 24606 81291 24606 1102 3000 1402 "178" "178" 0x00000100] + Pad[86189 22637 81291 22637 1102 3000 1402 "179" "179" 0x00000100] + Pad[86189 20669 81291 20669 1102 3000 1402 "180" "180" 0x00000100] + Pad[86189 18700 81291 18700 1102 3000 1402 "181" "181" 0x00000100] + Pad[86189 16732 81291 16732 1102 3000 1402 "182" "182" 0x00000100] + Pad[86189 14763 81291 14763 1102 3000 1402 "183" "183" 0x00000100] + Pad[86189 12795 81291 12795 1102 3000 1402 "184" "184" 0x00000100] + Pad[86189 10826 81291 10826 1102 3000 1402 "185" "185" 0x00000100] + Pad[86189 8858 81291 8858 1102 3000 1402 "186" "186" 0x00000100] + Pad[86189 6889 81291 6889 1102 3000 1402 "187" "187" 0x00000100] + Pad[86189 4921 81291 4921 1102 3000 1402 "188" "188" 0x00000100] + Pad[86189 2952 81291 2952 1102 3000 1402 "189" "189" 0x00000100] + Pad[86189 984 81291 984 1102 3000 1402 "190" "190" 0x00000100] + Pad[86189 -985 81291 -985 1102 3000 1402 "191" "191" 0x00000100] + Pad[86189 -2953 81291 -2953 1102 3000 1402 "192" "192" 0x00000100] + Pad[86189 -4922 81291 -4922 1102 3000 1402 "193" "193" 0x00000100] + Pad[86189 -6890 81291 -6890 1102 3000 1402 "194" "194" 0x00000100] + Pad[86189 -8859 81291 -8859 1102 3000 1402 "195" "195" 0x00000100] + Pad[86189 -10827 81291 -10827 1102 3000 1402 "196" "196" 0x00000100] + Pad[86189 -12796 81291 -12796 1102 3000 1402 "197" "197" 0x00000100] + Pad[86189 -14764 81291 -14764 1102 3000 1402 "198" "198" 0x00000100] + Pad[86189 -16733 81291 -16733 1102 3000 1402 "199" "199" 0x00000100] + Pad[86189 -18701 81291 -18701 1102 3000 1402 "200" "200" 0x00000100] + Pad[86189 -20670 81291 -20670 1102 3000 1402 "201" "201" 0x00000100] + Pad[86189 -22638 81291 -22638 1102 3000 1402 "202" "202" 0x00000100] + Pad[86189 -24607 81291 -24607 1102 3000 1402 "203" "203" 0x00000100] + Pad[86189 -26575 81291 -26575 1102 3000 1402 "204" "204" 0x00000100] + Pad[86189 -28544 81291 -28544 1102 3000 1402 "205" "205" 0x00000100] + Pad[86189 -30512 81291 -30512 1102 3000 1402 "206" "206" 0x00000100] + Pad[86189 -32481 81291 -32481 1102 3000 1402 "207" "207" 0x00000100] + Pad[86189 -34449 81291 -34449 1102 3000 1402 "208" "208" 0x00000100] + Pad[86189 -36418 81291 -36418 1102 3000 1402 "209" "209" 0x00000100] + Pad[86189 -38386 81291 -38386 1102 3000 1402 "210" "210" 0x00000100] + Pad[86189 -40355 81291 -40355 1102 3000 1402 "211" "211" 0x00000100] + Pad[86189 -42323 81291 -42323 1102 3000 1402 "212" "212" 0x00000100] + Pad[86189 -44292 81291 -44292 1102 3000 1402 "213" "213" 0x00000100] + Pad[86189 -46260 81291 -46260 1102 3000 1402 "214" "214" 0x00000100] + Pad[86189 -48229 81291 -48229 1102 3000 1402 "215" "215" 0x00000100] + Pad[86189 -50197 81291 -50197 1102 3000 1402 "216" "216" 0x00000100] + Pad[86189 -52166 81291 -52166 1102 3000 1402 "217" "217" 0x00000100] + Pad[86189 -54134 81291 -54134 1102 3000 1402 "218" "218" 0x00000100] + Pad[86189 -56103 81291 -56103 1102 3000 1402 "219" "219" 0x00000100] + Pad[86189 -58071 81291 -58071 1102 3000 1402 "220" "220" 0x00000100] + Pad[86189 -60040 81291 -60040 1102 3000 1402 "221" "221" 0x00000100] + Pad[86189 -62008 81291 -62008 1102 3000 1402 "222" "222" 0x00000100] + Pad[86189 -63977 81291 -63977 1102 3000 1402 "223" "223" 0x00000100] + Pad[86189 -65945 81291 -65945 1102 3000 1402 "224" "224" 0x00000100] + Pad[86189 -67914 81291 -67914 1102 3000 1402 "225" "225" 0x00000100] + Pad[86189 -69882 81291 -69882 1102 3000 1402 "226" "226" 0x00000100] + Pad[86189 -71851 81291 -71851 1102 3000 1402 "227" "227" 0x00000100] + Pad[86189 -73819 81291 -73819 1102 3000 1402 "228" "228" 0x00000100] + # top row + Pad[73818 -86189 73818 -81291 1102 3000 1402 "229" "229" 0x00000900] + Pad[71850 -86189 71850 -81291 1102 3000 1402 "230" "230" 0x00000900] + Pad[69881 -86189 69881 -81291 1102 3000 1402 "231" "231" 0x00000900] + Pad[67913 -86189 67913 -81291 1102 3000 1402 "232" "232" 0x00000900] + Pad[65944 -86189 65944 -81291 1102 3000 1402 "233" "233" 0x00000900] + Pad[63976 -86189 63976 -81291 1102 3000 1402 "234" "234" 0x00000900] + Pad[62007 -86189 62007 -81291 1102 3000 1402 "235" "235" 0x00000900] + Pad[60039 -86189 60039 -81291 1102 3000 1402 "236" "236" 0x00000900] + Pad[58070 -86189 58070 -81291 1102 3000 1402 "237" "237" 0x00000900] + Pad[56102 -86189 56102 -81291 1102 3000 1402 "238" "238" 0x00000900] + Pad[54133 -86189 54133 -81291 1102 3000 1402 "239" "239" 0x00000900] + Pad[52165 -86189 52165 -81291 1102 3000 1402 "240" "240" 0x00000900] + Pad[50196 -86189 50196 -81291 1102 3000 1402 "241" "241" 0x00000900] + Pad[48228 -86189 48228 -81291 1102 3000 1402 "242" "242" 0x00000900] + Pad[46259 -86189 46259 -81291 1102 3000 1402 "243" "243" 0x00000900] + Pad[44291 -86189 44291 -81291 1102 3000 1402 "244" "244" 0x00000900] + Pad[42322 -86189 42322 -81291 1102 3000 1402 "245" "245" 0x00000900] + Pad[40354 -86189 40354 -81291 1102 3000 1402 "246" "246" 0x00000900] + Pad[38385 -86189 38385 -81291 1102 3000 1402 "247" "247" 0x00000900] + Pad[36417 -86189 36417 -81291 1102 3000 1402 "248" "248" 0x00000900] + Pad[34448 -86189 34448 -81291 1102 3000 1402 "249" "249" 0x00000900] + Pad[32480 -86189 32480 -81291 1102 3000 1402 "250" "250" 0x00000900] + Pad[30511 -86189 30511 -81291 1102 3000 1402 "251" "251" 0x00000900] + Pad[28543 -86189 28543 -81291 1102 3000 1402 "252" "252" 0x00000900] + Pad[26574 -86189 26574 -81291 1102 3000 1402 "253" "253" 0x00000900] + Pad[24606 -86189 24606 -81291 1102 3000 1402 "254" "254" 0x00000900] + Pad[22637 -86189 22637 -81291 1102 3000 1402 "255" "255" 0x00000900] + Pad[20669 -86189 20669 -81291 1102 3000 1402 "256" "256" 0x00000900] + Pad[18700 -86189 18700 -81291 1102 3000 1402 "257" "257" 0x00000900] + Pad[16732 -86189 16732 -81291 1102 3000 1402 "258" "258" 0x00000900] + Pad[14763 -86189 14763 -81291 1102 3000 1402 "259" "259" 0x00000900] + Pad[12795 -86189 12795 -81291 1102 3000 1402 "260" "260" 0x00000900] + Pad[10826 -86189 10826 -81291 1102 3000 1402 "261" "261" 0x00000900] + Pad[8858 -86189 8858 -81291 1102 3000 1402 "262" "262" 0x00000900] + Pad[6889 -86189 6889 -81291 1102 3000 1402 "263" "263" 0x00000900] + Pad[4921 -86189 4921 -81291 1102 3000 1402 "264" "264" 0x00000900] + Pad[2952 -86189 2952 -81291 1102 3000 1402 "265" "265" 0x00000900] + Pad[984 -86189 984 -81291 1102 3000 1402 "266" "266" 0x00000900] + Pad[-985 -86189 -985 -81291 1102 3000 1402 "267" "267" 0x00000900] + Pad[-2953 -86189 -2953 -81291 1102 3000 1402 "268" "268" 0x00000900] + Pad[-4922 -86189 -4922 -81291 1102 3000 1402 "269" "269" 0x00000900] + Pad[-6890 -86189 -6890 -81291 1102 3000 1402 "270" "270" 0x00000900] + Pad[-8859 -86189 -8859 -81291 1102 3000 1402 "271" "271" 0x00000900] + Pad[-10827 -86189 -10827 -81291 1102 3000 1402 "272" "272" 0x00000900] + Pad[-12796 -86189 -12796 -81291 1102 3000 1402 "273" "273" 0x00000900] + Pad[-14764 -86189 -14764 -81291 1102 3000 1402 "274" "274" 0x00000900] + Pad[-16733 -86189 -16733 -81291 1102 3000 1402 "275" "275" 0x00000900] + Pad[-18701 -86189 -18701 -81291 1102 3000 1402 "276" "276" 0x00000900] + Pad[-20670 -86189 -20670 -81291 1102 3000 1402 "277" "277" 0x00000900] + Pad[-22638 -86189 -22638 -81291 1102 3000 1402 "278" "278" 0x00000900] + Pad[-24607 -86189 -24607 -81291 1102 3000 1402 "279" "279" 0x00000900] + Pad[-26575 -86189 -26575 -81291 1102 3000 1402 "280" "280" 0x00000900] + Pad[-28544 -86189 -28544 -81291 1102 3000 1402 "281" "281" 0x00000900] + Pad[-30512 -86189 -30512 -81291 1102 3000 1402 "282" "282" 0x00000900] + Pad[-32481 -86189 -32481 -81291 1102 3000 1402 "283" "283" 0x00000900] + Pad[-34449 -86189 -34449 -81291 1102 3000 1402 "284" "284" 0x00000900] + Pad[-36418 -86189 -36418 -81291 1102 3000 1402 "285" "285" 0x00000900] + Pad[-38386 -86189 -38386 -81291 1102 3000 1402 "286" "286" 0x00000900] + Pad[-40355 -86189 -40355 -81291 1102 3000 1402 "287" "287" 0x00000900] + Pad[-42323 -86189 -42323 -81291 1102 3000 1402 "288" "288" 0x00000900] + Pad[-44292 -86189 -44292 -81291 1102 3000 1402 "289" "289" 0x00000900] + Pad[-46260 -86189 -46260 -81291 1102 3000 1402 "290" "290" 0x00000900] + Pad[-48229 -86189 -48229 -81291 1102 3000 1402 "291" "291" 0x00000900] + Pad[-50197 -86189 -50197 -81291 1102 3000 1402 "292" "292" 0x00000900] + Pad[-52166 -86189 -52166 -81291 1102 3000 1402 "293" "293" 0x00000900] + Pad[-54134 -86189 -54134 -81291 1102 3000 1402 "294" "294" 0x00000900] + Pad[-56103 -86189 -56103 -81291 1102 3000 1402 "295" "295" 0x00000900] + Pad[-58071 -86189 -58071 -81291 1102 3000 1402 "296" "296" 0x00000900] + Pad[-60040 -86189 -60040 -81291 1102 3000 1402 "297" "297" 0x00000900] + Pad[-62008 -86189 -62008 -81291 1102 3000 1402 "298" "298" 0x00000900] + Pad[-63977 -86189 -63977 -81291 1102 3000 1402 "299" "299" 0x00000900] + Pad[-65945 -86189 -65945 -81291 1102 3000 1402 "300" "300" 0x00000900] + Pad[-67914 -86189 -67914 -81291 1102 3000 1402 "301" "301" 0x00000900] + Pad[-69882 -86189 -69882 -81291 1102 3000 1402 "302" "302" 0x00000900] + Pad[-71851 -86189 -71851 -81291 1102 3000 1402 "303" "303" 0x00000900] + Pad[-73819 -86189 -73819 -81291 1102 3000 1402 "304" "304" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-75440 -78340 78340 -78340 800] + # right + ElementLine[78340 -78340 78340 78340 800] + # bottom + ElementLine[78340 78340 -78340 78340 800] + # left + ElementLine[-78340 78340 -78340 -75440 800] + # angled corner + ElementLine[-78340 -75440 -75440 -78340 800] + ElementArc[-75440 -75440 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP32_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP32_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP32_7.fp (revision 1033) @@ -0,0 +1,51 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP32_7" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-20992 -11023 -16566 -11023 1574 3000 1874 "1" "1" 0x00000100] + Pad[-20992 -7874 -16566 -7874 1574 3000 1874 "2" "2" 0x00000100] + Pad[-20992 -4724 -16566 -4724 1574 3000 1874 "3" "3" 0x00000100] + Pad[-20992 -1575 -16566 -1575 1574 3000 1874 "4" "4" 0x00000100] + Pad[-20992 1575 -16566 1575 1574 3000 1874 "5" "5" 0x00000100] + Pad[-20992 4725 -16566 4725 1574 3000 1874 "6" "6" 0x00000100] + Pad[-20992 7874 -16566 7874 1574 3000 1874 "7" "7" 0x00000100] + Pad[-20992 11024 -16566 11024 1574 3000 1874 "8" "8" 0x00000100] + # bottom row + Pad[-11023 20992 -11023 16566 1574 3000 1874 "9" "9" 0x00000900] + Pad[-7874 20992 -7874 16566 1574 3000 1874 "10" "10" 0x00000900] + Pad[-4724 20992 -4724 16566 1574 3000 1874 "11" "11" 0x00000900] + Pad[-1575 20992 -1575 16566 1574 3000 1874 "12" "12" 0x00000900] + Pad[1575 20992 1575 16566 1574 3000 1874 "13" "13" 0x00000900] + Pad[4725 20992 4725 16566 1574 3000 1874 "14" "14" 0x00000900] + Pad[7874 20992 7874 16566 1574 3000 1874 "15" "15" 0x00000900] + Pad[11024 20992 11024 16566 1574 3000 1874 "16" "16" 0x00000900] + # right row + Pad[20992 11023 16566 11023 1574 3000 1874 "17" "17" 0x00000100] + Pad[20992 7874 16566 7874 1574 3000 1874 "18" "18" 0x00000100] + Pad[20992 4724 16566 4724 1574 3000 1874 "19" "19" 0x00000100] + Pad[20992 1575 16566 1575 1574 3000 1874 "20" "20" 0x00000100] + Pad[20992 -1575 16566 -1575 1574 3000 1874 "21" "21" 0x00000100] + Pad[20992 -4725 16566 -4725 1574 3000 1874 "22" "22" 0x00000100] + Pad[20992 -7874 16566 -7874 1574 3000 1874 "23" "23" 0x00000100] + Pad[20992 -11024 16566 -11024 1574 3000 1874 "24" "24" 0x00000100] + # top row + Pad[11023 -20992 11023 -16566 1574 3000 1874 "25" "25" 0x00000900] + Pad[7874 -20992 7874 -16566 1574 3000 1874 "26" "26" 0x00000900] + Pad[4724 -20992 4724 -16566 1574 3000 1874 "27" "27" 0x00000900] + Pad[1575 -20992 1575 -16566 1574 3000 1874 "28" "28" 0x00000900] + Pad[-1575 -20992 -1575 -16566 1574 3000 1874 "29" "29" 0x00000900] + Pad[-4725 -20992 -4725 -16566 1574 3000 1874 "30" "30" 0x00000900] + Pad[-7874 -20992 -7874 -16566 1574 3000 1874 "31" "31" 0x00000900] + Pad[-11024 -20992 -11024 -16566 1574 3000 1874 "32" "32" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-10479 -13379 13379 -13379 800] + # right + ElementLine[13379 -13379 13379 13379 800] + # bottom + ElementLine[13379 13379 -13379 13379 800] + # left + ElementLine[-13379 13379 -13379 -10479 800] + # angled corner + ElementLine[-13379 -10479 -10479 -13379 800] + ElementArc[-10479 -10479 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP44_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP44_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP44_10.fp (revision 1033) @@ -0,0 +1,63 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP44_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-26898 -15748 -22472 -15748 1574 3000 1874 "1" "1" 0x00000100] + Pad[-26898 -12599 -22472 -12599 1574 3000 1874 "2" "2" 0x00000100] + Pad[-26898 -9449 -22472 -9449 1574 3000 1874 "3" "3" 0x00000100] + Pad[-26898 -6300 -22472 -6300 1574 3000 1874 "4" "4" 0x00000100] + Pad[-26898 -3150 -22472 -3150 1574 3000 1874 "5" "5" 0x00000100] + Pad[-26898 0 -22472 0 1574 3000 1874 "6" "6" 0x00000100] + Pad[-26898 3149 -22472 3149 1574 3000 1874 "7" "7" 0x00000100] + Pad[-26898 6299 -22472 6299 1574 3000 1874 "8" "8" 0x00000100] + Pad[-26898 9448 -22472 9448 1574 3000 1874 "9" "9" 0x00000100] + Pad[-26898 12598 -22472 12598 1574 3000 1874 "10" "10" 0x00000100] + Pad[-26898 15748 -22472 15748 1574 3000 1874 "11" "11" 0x00000100] + # bottom row + Pad[-15748 26898 -15748 22472 1574 3000 1874 "12" "12" 0x00000900] + Pad[-12599 26898 -12599 22472 1574 3000 1874 "13" "13" 0x00000900] + Pad[-9449 26898 -9449 22472 1574 3000 1874 "14" "14" 0x00000900] + Pad[-6300 26898 -6300 22472 1574 3000 1874 "15" "15" 0x00000900] + Pad[-3150 26898 -3150 22472 1574 3000 1874 "16" "16" 0x00000900] + Pad[0 26898 0 22472 1574 3000 1874 "17" "17" 0x00000900] + Pad[3149 26898 3149 22472 1574 3000 1874 "18" "18" 0x00000900] + Pad[6299 26898 6299 22472 1574 3000 1874 "19" "19" 0x00000900] + Pad[9448 26898 9448 22472 1574 3000 1874 "20" "20" 0x00000900] + Pad[12598 26898 12598 22472 1574 3000 1874 "21" "21" 0x00000900] + Pad[15748 26898 15748 22472 1574 3000 1874 "22" "22" 0x00000900] + # right row + Pad[26898 15748 22472 15748 1574 3000 1874 "23" "23" 0x00000100] + Pad[26898 12599 22472 12599 1574 3000 1874 "24" "24" 0x00000100] + Pad[26898 9449 22472 9449 1574 3000 1874 "25" "25" 0x00000100] + Pad[26898 6300 22472 6300 1574 3000 1874 "26" "26" 0x00000100] + Pad[26898 3150 22472 3150 1574 3000 1874 "27" "27" 0x00000100] + Pad[26898 0 22472 0 1574 3000 1874 "28" "28" 0x00000100] + Pad[26898 -3149 22472 -3149 1574 3000 1874 "29" "29" 0x00000100] + Pad[26898 -6299 22472 -6299 1574 3000 1874 "30" "30" 0x00000100] + Pad[26898 -9448 22472 -9448 1574 3000 1874 "31" "31" 0x00000100] + Pad[26898 -12598 22472 -12598 1574 3000 1874 "32" "32" 0x00000100] + Pad[26898 -15748 22472 -15748 1574 3000 1874 "33" "33" 0x00000100] + # top row + Pad[15748 -26898 15748 -22472 1574 3000 1874 "34" "34" 0x00000900] + Pad[12599 -26898 12599 -22472 1574 3000 1874 "35" "35" 0x00000900] + Pad[9449 -26898 9449 -22472 1574 3000 1874 "36" "36" 0x00000900] + Pad[6300 -26898 6300 -22472 1574 3000 1874 "37" "37" 0x00000900] + Pad[3150 -26898 3150 -22472 1574 3000 1874 "38" "38" 0x00000900] + Pad[0 -26898 0 -22472 1574 3000 1874 "39" "39" 0x00000900] + Pad[-3149 -26898 -3149 -22472 1574 3000 1874 "40" "40" 0x00000900] + Pad[-6299 -26898 -6299 -22472 1574 3000 1874 "41" "41" 0x00000900] + Pad[-9448 -26898 -9448 -22472 1574 3000 1874 "42" "42" 0x00000900] + Pad[-12598 -26898 -12598 -22472 1574 3000 1874 "43" "43" 0x00000900] + Pad[-15748 -26898 -15748 -22472 1574 3000 1874 "44" "44" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP52_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP52_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP52_10.fp (revision 1033) @@ -0,0 +1,71 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP52_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-26898 -15354 -22472 -15354 1574 3000 1874 "1" "1" 0x00000100] + Pad[-26898 -12795 -22472 -12795 1574 3000 1874 "2" "2" 0x00000100] + Pad[-26898 -10236 -22472 -10236 1574 3000 1874 "3" "3" 0x00000100] + Pad[-26898 -7677 -22472 -7677 1574 3000 1874 "4" "4" 0x00000100] + Pad[-26898 -5118 -22472 -5118 1574 3000 1874 "5" "5" 0x00000100] + Pad[-26898 -2559 -22472 -2559 1574 3000 1874 "6" "6" 0x00000100] + Pad[-26898 0 -22472 0 1574 3000 1874 "7" "7" 0x00000100] + Pad[-26898 2559 -22472 2559 1574 3000 1874 "8" "8" 0x00000100] + Pad[-26898 5118 -22472 5118 1574 3000 1874 "9" "9" 0x00000100] + Pad[-26898 7677 -22472 7677 1574 3000 1874 "10" "10" 0x00000100] + Pad[-26898 10236 -22472 10236 1574 3000 1874 "11" "11" 0x00000100] + Pad[-26898 12795 -22472 12795 1574 3000 1874 "12" "12" 0x00000100] + Pad[-26898 15354 -22472 15354 1574 3000 1874 "13" "13" 0x00000100] + # bottom row + Pad[-15354 26898 -15354 22472 1574 3000 1874 "14" "14" 0x00000900] + Pad[-12795 26898 -12795 22472 1574 3000 1874 "15" "15" 0x00000900] + Pad[-10236 26898 -10236 22472 1574 3000 1874 "16" "16" 0x00000900] + Pad[-7677 26898 -7677 22472 1574 3000 1874 "17" "17" 0x00000900] + Pad[-5118 26898 -5118 22472 1574 3000 1874 "18" "18" 0x00000900] + Pad[-2559 26898 -2559 22472 1574 3000 1874 "19" "19" 0x00000900] + Pad[0 26898 0 22472 1574 3000 1874 "20" "20" 0x00000900] + Pad[2559 26898 2559 22472 1574 3000 1874 "21" "21" 0x00000900] + Pad[5118 26898 5118 22472 1574 3000 1874 "22" "22" 0x00000900] + Pad[7677 26898 7677 22472 1574 3000 1874 "23" "23" 0x00000900] + Pad[10236 26898 10236 22472 1574 3000 1874 "24" "24" 0x00000900] + Pad[12795 26898 12795 22472 1574 3000 1874 "25" "25" 0x00000900] + Pad[15354 26898 15354 22472 1574 3000 1874 "26" "26" 0x00000900] + # right row + Pad[26898 15354 22472 15354 1574 3000 1874 "27" "27" 0x00000100] + Pad[26898 12795 22472 12795 1574 3000 1874 "28" "28" 0x00000100] + Pad[26898 10236 22472 10236 1574 3000 1874 "29" "29" 0x00000100] + Pad[26898 7677 22472 7677 1574 3000 1874 "30" "30" 0x00000100] + Pad[26898 5118 22472 5118 1574 3000 1874 "31" "31" 0x00000100] + Pad[26898 2559 22472 2559 1574 3000 1874 "32" "32" 0x00000100] + Pad[26898 0 22472 0 1574 3000 1874 "33" "33" 0x00000100] + Pad[26898 -2559 22472 -2559 1574 3000 1874 "34" "34" 0x00000100] + Pad[26898 -5118 22472 -5118 1574 3000 1874 "35" "35" 0x00000100] + Pad[26898 -7677 22472 -7677 1574 3000 1874 "36" "36" 0x00000100] + Pad[26898 -10236 22472 -10236 1574 3000 1874 "37" "37" 0x00000100] + Pad[26898 -12795 22472 -12795 1574 3000 1874 "38" "38" 0x00000100] + Pad[26898 -15354 22472 -15354 1574 3000 1874 "39" "39" 0x00000100] + # top row + Pad[15354 -26898 15354 -22472 1574 3000 1874 "40" "40" 0x00000900] + Pad[12795 -26898 12795 -22472 1574 3000 1874 "41" "41" 0x00000900] + Pad[10236 -26898 10236 -22472 1574 3000 1874 "42" "42" 0x00000900] + Pad[7677 -26898 7677 -22472 1574 3000 1874 "43" "43" 0x00000900] + Pad[5118 -26898 5118 -22472 1574 3000 1874 "44" "44" 0x00000900] + Pad[2559 -26898 2559 -22472 1574 3000 1874 "45" "45" 0x00000900] + Pad[0 -26898 0 -22472 1574 3000 1874 "46" "46" 0x00000900] + Pad[-2559 -26898 -2559 -22472 1574 3000 1874 "47" "47" 0x00000900] + Pad[-5118 -26898 -5118 -22472 1574 3000 1874 "48" "48" 0x00000900] + Pad[-7677 -26898 -7677 -22472 1574 3000 1874 "49" "49" 0x00000900] + Pad[-10236 -26898 -10236 -22472 1574 3000 1874 "50" "50" 0x00000900] + Pad[-12795 -26898 -12795 -22472 1574 3000 1874 "51" "51" 0x00000900] + Pad[-15354 -26898 -15354 -22472 1574 3000 1874 "52" "52" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP64_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP64_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP64_14.fp (revision 1033) @@ -0,0 +1,83 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP64_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-34772 -23622 -30346 -23622 1574 3000 1874 "1" "1" 0x00000100] + Pad[-34772 -20473 -30346 -20473 1574 3000 1874 "2" "2" 0x00000100] + Pad[-34772 -17323 -30346 -17323 1574 3000 1874 "3" "3" 0x00000100] + Pad[-34772 -14174 -30346 -14174 1574 3000 1874 "4" "4" 0x00000100] + Pad[-34772 -11024 -30346 -11024 1574 3000 1874 "5" "5" 0x00000100] + Pad[-34772 -7874 -30346 -7874 1574 3000 1874 "6" "6" 0x00000100] + Pad[-34772 -4725 -30346 -4725 1574 3000 1874 "7" "7" 0x00000100] + Pad[-34772 -1575 -30346 -1575 1574 3000 1874 "8" "8" 0x00000100] + Pad[-34772 1574 -30346 1574 1574 3000 1874 "9" "9" 0x00000100] + Pad[-34772 4724 -30346 4724 1574 3000 1874 "10" "10" 0x00000100] + Pad[-34772 7874 -30346 7874 1574 3000 1874 "11" "11" 0x00000100] + Pad[-34772 11023 -30346 11023 1574 3000 1874 "12" "12" 0x00000100] + Pad[-34772 14173 -30346 14173 1574 3000 1874 "13" "13" 0x00000100] + Pad[-34772 17322 -30346 17322 1574 3000 1874 "14" "14" 0x00000100] + Pad[-34772 20472 -30346 20472 1574 3000 1874 "15" "15" 0x00000100] + Pad[-34772 23622 -30346 23622 1574 3000 1874 "16" "16" 0x00000100] + # bottom row + Pad[-23622 34772 -23622 30346 1574 3000 1874 "17" "17" 0x00000900] + Pad[-20473 34772 -20473 30346 1574 3000 1874 "18" "18" 0x00000900] + Pad[-17323 34772 -17323 30346 1574 3000 1874 "19" "19" 0x00000900] + Pad[-14174 34772 -14174 30346 1574 3000 1874 "20" "20" 0x00000900] + Pad[-11024 34772 -11024 30346 1574 3000 1874 "21" "21" 0x00000900] + Pad[-7874 34772 -7874 30346 1574 3000 1874 "22" "22" 0x00000900] + Pad[-4725 34772 -4725 30346 1574 3000 1874 "23" "23" 0x00000900] + Pad[-1575 34772 -1575 30346 1574 3000 1874 "24" "24" 0x00000900] + Pad[1574 34772 1574 30346 1574 3000 1874 "25" "25" 0x00000900] + Pad[4724 34772 4724 30346 1574 3000 1874 "26" "26" 0x00000900] + Pad[7874 34772 7874 30346 1574 3000 1874 "27" "27" 0x00000900] + Pad[11023 34772 11023 30346 1574 3000 1874 "28" "28" 0x00000900] + Pad[14173 34772 14173 30346 1574 3000 1874 "29" "29" 0x00000900] + Pad[17322 34772 17322 30346 1574 3000 1874 "30" "30" 0x00000900] + Pad[20472 34772 20472 30346 1574 3000 1874 "31" "31" 0x00000900] + Pad[23622 34772 23622 30346 1574 3000 1874 "32" "32" 0x00000900] + # right row + Pad[34772 23622 30346 23622 1574 3000 1874 "33" "33" 0x00000100] + Pad[34772 20473 30346 20473 1574 3000 1874 "34" "34" 0x00000100] + Pad[34772 17323 30346 17323 1574 3000 1874 "35" "35" 0x00000100] + Pad[34772 14174 30346 14174 1574 3000 1874 "36" "36" 0x00000100] + Pad[34772 11024 30346 11024 1574 3000 1874 "37" "37" 0x00000100] + Pad[34772 7874 30346 7874 1574 3000 1874 "38" "38" 0x00000100] + Pad[34772 4725 30346 4725 1574 3000 1874 "39" "39" 0x00000100] + Pad[34772 1575 30346 1575 1574 3000 1874 "40" "40" 0x00000100] + Pad[34772 -1574 30346 -1574 1574 3000 1874 "41" "41" 0x00000100] + Pad[34772 -4724 30346 -4724 1574 3000 1874 "42" "42" 0x00000100] + Pad[34772 -7874 30346 -7874 1574 3000 1874 "43" "43" 0x00000100] + Pad[34772 -11023 30346 -11023 1574 3000 1874 "44" "44" 0x00000100] + Pad[34772 -14173 30346 -14173 1574 3000 1874 "45" "45" 0x00000100] + Pad[34772 -17322 30346 -17322 1574 3000 1874 "46" "46" 0x00000100] + Pad[34772 -20472 30346 -20472 1574 3000 1874 "47" "47" 0x00000100] + Pad[34772 -23622 30346 -23622 1574 3000 1874 "48" "48" 0x00000100] + # top row + Pad[23622 -34772 23622 -30346 1574 3000 1874 "49" "49" 0x00000900] + Pad[20473 -34772 20473 -30346 1574 3000 1874 "50" "50" 0x00000900] + Pad[17323 -34772 17323 -30346 1574 3000 1874 "51" "51" 0x00000900] + Pad[14174 -34772 14174 -30346 1574 3000 1874 "52" "52" 0x00000900] + Pad[11024 -34772 11024 -30346 1574 3000 1874 "53" "53" 0x00000900] + Pad[7874 -34772 7874 -30346 1574 3000 1874 "54" "54" 0x00000900] + Pad[4725 -34772 4725 -30346 1574 3000 1874 "55" "55" 0x00000900] + Pad[1575 -34772 1575 -30346 1574 3000 1874 "56" "56" 0x00000900] + Pad[-1574 -34772 -1574 -30346 1574 3000 1874 "57" "57" 0x00000900] + Pad[-4724 -34772 -4724 -30346 1574 3000 1874 "58" "58" 0x00000900] + Pad[-7874 -34772 -7874 -30346 1574 3000 1874 "59" "59" 0x00000900] + Pad[-11023 -34772 -11023 -30346 1574 3000 1874 "60" "60" 0x00000900] + Pad[-14173 -34772 -14173 -30346 1574 3000 1874 "61" "61" 0x00000900] + Pad[-17322 -34772 -17322 -30346 1574 3000 1874 "62" "62" 0x00000900] + Pad[-20472 -34772 -20472 -30346 1574 3000 1874 "63" "63" 0x00000900] + Pad[-23622 -34772 -23622 -30346 1574 3000 1874 "64" "64" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP64_R.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP64_R.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP64_R.fp (revision 1033) @@ -0,0 +1,83 @@ +Element[0x00000000 "Rectangular Quad-side flat pack" "" "QFP64_R" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-36575 -35433 -30543 -35433 1968 3000 2268 "1" "1" 0x00000100] + Pad[-36575 -31496 -30543 -31496 1968 3000 2268 "2" "2" 0x00000100] + Pad[-36575 -27559 -30543 -27559 1968 3000 2268 "3" "3" 0x00000100] + Pad[-36575 -23622 -30543 -23622 1968 3000 2268 "4" "4" 0x00000100] + Pad[-36575 -19685 -30543 -19685 1968 3000 2268 "5" "5" 0x00000100] + Pad[-36575 -15748 -30543 -15748 1968 3000 2268 "6" "6" 0x00000100] + Pad[-36575 -11811 -30543 -11811 1968 3000 2268 "7" "7" 0x00000100] + Pad[-36575 -7874 -30543 -7874 1968 3000 2268 "8" "8" 0x00000100] + Pad[-36575 -3937 -30543 -3937 1968 3000 2268 "9" "9" 0x00000100] + Pad[-36575 0 -30543 0 1968 3000 2268 "10" "10" 0x00000100] + Pad[-36575 3937 -30543 3937 1968 3000 2268 "11" "11" 0x00000100] + Pad[-36575 7874 -30543 7874 1968 3000 2268 "12" "12" 0x00000100] + Pad[-36575 11811 -30543 11811 1968 3000 2268 "13" "13" 0x00000100] + Pad[-36575 15748 -30543 15748 1968 3000 2268 "14" "14" 0x00000100] + Pad[-36575 19685 -30543 19685 1968 3000 2268 "15" "15" 0x00000100] + Pad[-36575 23622 -30543 23622 1968 3000 2268 "16" "16" 0x00000100] + Pad[-36575 27559 -30543 27559 1968 3000 2268 "17" "17" 0x00000100] + Pad[-36575 31496 -30543 31496 1968 3000 2268 "18" "18" 0x00000100] + Pad[-36575 35433 -30543 35433 1968 3000 2268 "19" "19" 0x00000100] + # bottom row + Pad[-23622 48386 -23622 42354 1968 3000 2268 "20" "20" 0x00000900] + Pad[-19685 48386 -19685 42354 1968 3000 2268 "21" "21" 0x00000900] + Pad[-15748 48386 -15748 42354 1968 3000 2268 "22" "22" 0x00000900] + Pad[-11811 48386 -11811 42354 1968 3000 2268 "23" "23" 0x00000900] + Pad[-7874 48386 -7874 42354 1968 3000 2268 "24" "24" 0x00000900] + Pad[-3937 48386 -3937 42354 1968 3000 2268 "25" "25" 0x00000900] + Pad[0 48386 0 42354 1968 3000 2268 "26" "26" 0x00000900] + Pad[3937 48386 3937 42354 1968 3000 2268 "27" "27" 0x00000900] + Pad[7874 48386 7874 42354 1968 3000 2268 "28" "28" 0x00000900] + Pad[11811 48386 11811 42354 1968 3000 2268 "29" "29" 0x00000900] + Pad[15748 48386 15748 42354 1968 3000 2268 "30" "30" 0x00000900] + Pad[19685 48386 19685 42354 1968 3000 2268 "31" "31" 0x00000900] + Pad[23622 48386 23622 42354 1968 3000 2268 "32" "32" 0x00000900] + # right row + Pad[36575 35433 30543 35433 1968 3000 2268 "33" "33" 0x00000100] + Pad[36575 31496 30543 31496 1968 3000 2268 "34" "34" 0x00000100] + Pad[36575 27559 30543 27559 1968 3000 2268 "35" "35" 0x00000100] + Pad[36575 23622 30543 23622 1968 3000 2268 "36" "36" 0x00000100] + Pad[36575 19685 30543 19685 1968 3000 2268 "37" "37" 0x00000100] + Pad[36575 15748 30543 15748 1968 3000 2268 "38" "38" 0x00000100] + Pad[36575 11811 30543 11811 1968 3000 2268 "39" "39" 0x00000100] + Pad[36575 7874 30543 7874 1968 3000 2268 "40" "40" 0x00000100] + Pad[36575 3937 30543 3937 1968 3000 2268 "41" "41" 0x00000100] + Pad[36575 0 30543 0 1968 3000 2268 "42" "42" 0x00000100] + Pad[36575 -3937 30543 -3937 1968 3000 2268 "43" "43" 0x00000100] + Pad[36575 -7874 30543 -7874 1968 3000 2268 "44" "44" 0x00000100] + Pad[36575 -11811 30543 -11811 1968 3000 2268 "45" "45" 0x00000100] + Pad[36575 -15748 30543 -15748 1968 3000 2268 "46" "46" 0x00000100] + Pad[36575 -19685 30543 -19685 1968 3000 2268 "47" "47" 0x00000100] + Pad[36575 -23622 30543 -23622 1968 3000 2268 "48" "48" 0x00000100] + Pad[36575 -27559 30543 -27559 1968 3000 2268 "49" "49" 0x00000100] + Pad[36575 -31496 30543 -31496 1968 3000 2268 "50" "50" 0x00000100] + Pad[36575 -35433 30543 -35433 1968 3000 2268 "51" "51" 0x00000100] + # top row + Pad[23622 -48386 23622 -42354 1968 3000 2268 "52" "52" 0x00000900] + Pad[19685 -48386 19685 -42354 1968 3000 2268 "53" "53" 0x00000900] + Pad[15748 -48386 15748 -42354 1968 3000 2268 "54" "54" 0x00000900] + Pad[11811 -48386 11811 -42354 1968 3000 2268 "55" "55" 0x00000900] + Pad[7874 -48386 7874 -42354 1968 3000 2268 "56" "56" 0x00000900] + Pad[3937 -48386 3937 -42354 1968 3000 2268 "57" "57" 0x00000900] + Pad[0 -48386 0 -42354 1968 3000 2268 "58" "58" 0x00000900] + Pad[-3937 -48386 -3937 -42354 1968 3000 2268 "59" "59" 0x00000900] + Pad[-7874 -48386 -7874 -42354 1968 3000 2268 "60" "60" 0x00000900] + Pad[-11811 -48386 -11811 -42354 1968 3000 2268 "61" "61" 0x00000900] + Pad[-15748 -48386 -15748 -42354 1968 3000 2268 "62" "62" 0x00000900] + Pad[-19685 -48386 -19685 -42354 1968 3000 2268 "63" "63" 0x00000900] + Pad[-23622 -48386 -23622 -42354 1968 3000 2268 "64" "64" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -38970 27159 -38970 800] + # right + ElementLine[27159 -38970 27159 38970 800] + # bottom + ElementLine[27159 38970 -27159 38970 800] + # left + ElementLine[-27159 38970 -27159 -36070 800] + # angled corner + ElementLine[-27159 -36070 -24259 -38970 800] + ElementArc[-24259 -36070 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP80_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP80_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP80_14.fp (revision 1033) @@ -0,0 +1,99 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "QFP80_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-34772 -24310 -30346 -24310 1574 3000 1874 "1" "1" 0x00000100] + Pad[-34772 -21751 -30346 -21751 1574 3000 1874 "2" "2" 0x00000100] + Pad[-34772 -19192 -30346 -19192 1574 3000 1874 "3" "3" 0x00000100] + Pad[-34772 -16633 -30346 -16633 1574 3000 1874 "4" "4" 0x00000100] + Pad[-34772 -14074 -30346 -14074 1574 3000 1874 "5" "5" 0x00000100] + Pad[-34772 -11515 -30346 -11515 1574 3000 1874 "6" "6" 0x00000100] + Pad[-34772 -8956 -30346 -8956 1574 3000 1874 "7" "7" 0x00000100] + Pad[-34772 -6397 -30346 -6397 1574 3000 1874 "8" "8" 0x00000100] + Pad[-34772 -3838 -30346 -3838 1574 3000 1874 "9" "9" 0x00000100] + Pad[-34772 -1279 -30346 -1279 1574 3000 1874 "10" "10" 0x00000100] + Pad[-34772 1280 -30346 1280 1574 3000 1874 "11" "11" 0x00000100] + Pad[-34772 3839 -30346 3839 1574 3000 1874 "12" "12" 0x00000100] + Pad[-34772 6398 -30346 6398 1574 3000 1874 "13" "13" 0x00000100] + Pad[-34772 8957 -30346 8957 1574 3000 1874 "14" "14" 0x00000100] + Pad[-34772 11516 -30346 11516 1574 3000 1874 "15" "15" 0x00000100] + Pad[-34772 14075 -30346 14075 1574 3000 1874 "16" "16" 0x00000100] + Pad[-34772 16634 -30346 16634 1574 3000 1874 "17" "17" 0x00000100] + Pad[-34772 19193 -30346 19193 1574 3000 1874 "18" "18" 0x00000100] + Pad[-34772 21752 -30346 21752 1574 3000 1874 "19" "19" 0x00000100] + Pad[-34772 24311 -30346 24311 1574 3000 1874 "20" "20" 0x00000100] + # bottom row + Pad[-24310 34772 -24310 30346 1574 3000 1874 "21" "21" 0x00000900] + Pad[-21751 34772 -21751 30346 1574 3000 1874 "22" "22" 0x00000900] + Pad[-19192 34772 -19192 30346 1574 3000 1874 "23" "23" 0x00000900] + Pad[-16633 34772 -16633 30346 1574 3000 1874 "24" "24" 0x00000900] + Pad[-14074 34772 -14074 30346 1574 3000 1874 "25" "25" 0x00000900] + Pad[-11515 34772 -11515 30346 1574 3000 1874 "26" "26" 0x00000900] + Pad[-8956 34772 -8956 30346 1574 3000 1874 "27" "27" 0x00000900] + Pad[-6397 34772 -6397 30346 1574 3000 1874 "28" "28" 0x00000900] + Pad[-3838 34772 -3838 30346 1574 3000 1874 "29" "29" 0x00000900] + Pad[-1279 34772 -1279 30346 1574 3000 1874 "30" "30" 0x00000900] + Pad[1280 34772 1280 30346 1574 3000 1874 "31" "31" 0x00000900] + Pad[3839 34772 3839 30346 1574 3000 1874 "32" "32" 0x00000900] + Pad[6398 34772 6398 30346 1574 3000 1874 "33" "33" 0x00000900] + Pad[8957 34772 8957 30346 1574 3000 1874 "34" "34" 0x00000900] + Pad[11516 34772 11516 30346 1574 3000 1874 "35" "35" 0x00000900] + Pad[14075 34772 14075 30346 1574 3000 1874 "36" "36" 0x00000900] + Pad[16634 34772 16634 30346 1574 3000 1874 "37" "37" 0x00000900] + Pad[19193 34772 19193 30346 1574 3000 1874 "38" "38" 0x00000900] + Pad[21752 34772 21752 30346 1574 3000 1874 "39" "39" 0x00000900] + Pad[24311 34772 24311 30346 1574 3000 1874 "40" "40" 0x00000900] + # right row + Pad[34772 24310 30346 24310 1574 3000 1874 "41" "41" 0x00000100] + Pad[34772 21751 30346 21751 1574 3000 1874 "42" "42" 0x00000100] + Pad[34772 19192 30346 19192 1574 3000 1874 "43" "43" 0x00000100] + Pad[34772 16633 30346 16633 1574 3000 1874 "44" "44" 0x00000100] + Pad[34772 14074 30346 14074 1574 3000 1874 "45" "45" 0x00000100] + Pad[34772 11515 30346 11515 1574 3000 1874 "46" "46" 0x00000100] + Pad[34772 8956 30346 8956 1574 3000 1874 "47" "47" 0x00000100] + Pad[34772 6397 30346 6397 1574 3000 1874 "48" "48" 0x00000100] + Pad[34772 3838 30346 3838 1574 3000 1874 "49" "49" 0x00000100] + Pad[34772 1279 30346 1279 1574 3000 1874 "50" "50" 0x00000100] + Pad[34772 -1280 30346 -1280 1574 3000 1874 "51" "51" 0x00000100] + Pad[34772 -3839 30346 -3839 1574 3000 1874 "52" "52" 0x00000100] + Pad[34772 -6398 30346 -6398 1574 3000 1874 "53" "53" 0x00000100] + Pad[34772 -8957 30346 -8957 1574 3000 1874 "54" "54" 0x00000100] + Pad[34772 -11516 30346 -11516 1574 3000 1874 "55" "55" 0x00000100] + Pad[34772 -14075 30346 -14075 1574 3000 1874 "56" "56" 0x00000100] + Pad[34772 -16634 30346 -16634 1574 3000 1874 "57" "57" 0x00000100] + Pad[34772 -19193 30346 -19193 1574 3000 1874 "58" "58" 0x00000100] + Pad[34772 -21752 30346 -21752 1574 3000 1874 "59" "59" 0x00000100] + Pad[34772 -24311 30346 -24311 1574 3000 1874 "60" "60" 0x00000100] + # top row + Pad[24310 -34772 24310 -30346 1574 3000 1874 "61" "61" 0x00000900] + Pad[21751 -34772 21751 -30346 1574 3000 1874 "62" "62" 0x00000900] + Pad[19192 -34772 19192 -30346 1574 3000 1874 "63" "63" 0x00000900] + Pad[16633 -34772 16633 -30346 1574 3000 1874 "64" "64" 0x00000900] + Pad[14074 -34772 14074 -30346 1574 3000 1874 "65" "65" 0x00000900] + Pad[11515 -34772 11515 -30346 1574 3000 1874 "66" "66" 0x00000900] + Pad[8956 -34772 8956 -30346 1574 3000 1874 "67" "67" 0x00000900] + Pad[6397 -34772 6397 -30346 1574 3000 1874 "68" "68" 0x00000900] + Pad[3838 -34772 3838 -30346 1574 3000 1874 "69" "69" 0x00000900] + Pad[1279 -34772 1279 -30346 1574 3000 1874 "70" "70" 0x00000900] + Pad[-1280 -34772 -1280 -30346 1574 3000 1874 "71" "71" 0x00000900] + Pad[-3839 -34772 -3839 -30346 1574 3000 1874 "72" "72" 0x00000900] + Pad[-6398 -34772 -6398 -30346 1574 3000 1874 "73" "73" 0x00000900] + Pad[-8957 -34772 -8957 -30346 1574 3000 1874 "74" "74" 0x00000900] + Pad[-11516 -34772 -11516 -30346 1574 3000 1874 "75" "75" 0x00000900] + Pad[-14075 -34772 -14075 -30346 1574 3000 1874 "76" "76" 0x00000900] + Pad[-16634 -34772 -16634 -30346 1574 3000 1874 "77" "77" 0x00000900] + Pad[-19193 -34772 -19193 -30346 1574 3000 1874 "78" "78" 0x00000900] + Pad[-21752 -34772 -21752 -30346 1574 3000 1874 "79" "79" 0x00000900] + Pad[-24311 -34772 -24311 -30346 1574 3000 1874 "80" "80" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QFP80_R.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QFP80_R.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QFP80_R.fp (revision 1033) @@ -0,0 +1,99 @@ +Element[0x00000000 "Rectangular Quad-side flat pack" "" "QFP80_R" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-36575 -36220 -30543 -36220 1968 3000 2268 "1" "1" 0x00000100] + Pad[-36575 -33071 -30543 -33071 1968 3000 2268 "2" "2" 0x00000100] + Pad[-36575 -29921 -30543 -29921 1968 3000 2268 "3" "3" 0x00000100] + Pad[-36575 -26772 -30543 -26772 1968 3000 2268 "4" "4" 0x00000100] + Pad[-36575 -23622 -30543 -23622 1968 3000 2268 "5" "5" 0x00000100] + Pad[-36575 -20472 -30543 -20472 1968 3000 2268 "6" "6" 0x00000100] + Pad[-36575 -17323 -30543 -17323 1968 3000 2268 "7" "7" 0x00000100] + Pad[-36575 -14173 -30543 -14173 1968 3000 2268 "8" "8" 0x00000100] + Pad[-36575 -11024 -30543 -11024 1968 3000 2268 "9" "9" 0x00000100] + Pad[-36575 -7874 -30543 -7874 1968 3000 2268 "10" "10" 0x00000100] + Pad[-36575 -4724 -30543 -4724 1968 3000 2268 "11" "11" 0x00000100] + Pad[-36575 -1575 -30543 -1575 1968 3000 2268 "12" "12" 0x00000100] + Pad[-36575 1575 -30543 1575 1968 3000 2268 "13" "13" 0x00000100] + Pad[-36575 4724 -30543 4724 1968 3000 2268 "14" "14" 0x00000100] + Pad[-36575 7874 -30543 7874 1968 3000 2268 "15" "15" 0x00000100] + Pad[-36575 11024 -30543 11024 1968 3000 2268 "16" "16" 0x00000100] + Pad[-36575 14173 -30543 14173 1968 3000 2268 "17" "17" 0x00000100] + Pad[-36575 17323 -30543 17323 1968 3000 2268 "18" "18" 0x00000100] + Pad[-36575 20472 -30543 20472 1968 3000 2268 "19" "19" 0x00000100] + Pad[-36575 23622 -30543 23622 1968 3000 2268 "20" "20" 0x00000100] + Pad[-36575 26772 -30543 26772 1968 3000 2268 "21" "21" 0x00000100] + Pad[-36575 29921 -30543 29921 1968 3000 2268 "22" "22" 0x00000100] + Pad[-36575 33071 -30543 33071 1968 3000 2268 "23" "23" 0x00000100] + Pad[-36575 36220 -30543 36220 1968 3000 2268 "24" "24" 0x00000100] + # bottom row + Pad[-23622 48386 -23622 42354 1968 3000 2268 "25" "25" 0x00000900] + Pad[-20473 48386 -20473 42354 1968 3000 2268 "26" "26" 0x00000900] + Pad[-17323 48386 -17323 42354 1968 3000 2268 "27" "27" 0x00000900] + Pad[-14174 48386 -14174 42354 1968 3000 2268 "28" "28" 0x00000900] + Pad[-11024 48386 -11024 42354 1968 3000 2268 "29" "29" 0x00000900] + Pad[-7874 48386 -7874 42354 1968 3000 2268 "30" "30" 0x00000900] + Pad[-4725 48386 -4725 42354 1968 3000 2268 "31" "31" 0x00000900] + Pad[-1575 48386 -1575 42354 1968 3000 2268 "32" "32" 0x00000900] + Pad[1574 48386 1574 42354 1968 3000 2268 "33" "33" 0x00000900] + Pad[4724 48386 4724 42354 1968 3000 2268 "34" "34" 0x00000900] + Pad[7874 48386 7874 42354 1968 3000 2268 "35" "35" 0x00000900] + Pad[11023 48386 11023 42354 1968 3000 2268 "36" "36" 0x00000900] + Pad[14173 48386 14173 42354 1968 3000 2268 "37" "37" 0x00000900] + Pad[17322 48386 17322 42354 1968 3000 2268 "38" "38" 0x00000900] + Pad[20472 48386 20472 42354 1968 3000 2268 "39" "39" 0x00000900] + Pad[23622 48386 23622 42354 1968 3000 2268 "40" "40" 0x00000900] + # right row + Pad[36575 36220 30543 36220 1968 3000 2268 "41" "41" 0x00000100] + Pad[36575 33071 30543 33071 1968 3000 2268 "42" "42" 0x00000100] + Pad[36575 29921 30543 29921 1968 3000 2268 "43" "43" 0x00000100] + Pad[36575 26772 30543 26772 1968 3000 2268 "44" "44" 0x00000100] + Pad[36575 23622 30543 23622 1968 3000 2268 "45" "45" 0x00000100] + Pad[36575 20472 30543 20472 1968 3000 2268 "46" "46" 0x00000100] + Pad[36575 17323 30543 17323 1968 3000 2268 "47" "47" 0x00000100] + Pad[36575 14173 30543 14173 1968 3000 2268 "48" "48" 0x00000100] + Pad[36575 11024 30543 11024 1968 3000 2268 "49" "49" 0x00000100] + Pad[36575 7874 30543 7874 1968 3000 2268 "50" "50" 0x00000100] + Pad[36575 4724 30543 4724 1968 3000 2268 "51" "51" 0x00000100] + Pad[36575 1575 30543 1575 1968 3000 2268 "52" "52" 0x00000100] + Pad[36575 -1575 30543 -1575 1968 3000 2268 "53" "53" 0x00000100] + Pad[36575 -4724 30543 -4724 1968 3000 2268 "54" "54" 0x00000100] + Pad[36575 -7874 30543 -7874 1968 3000 2268 "55" "55" 0x00000100] + Pad[36575 -11024 30543 -11024 1968 3000 2268 "56" "56" 0x00000100] + Pad[36575 -14173 30543 -14173 1968 3000 2268 "57" "57" 0x00000100] + Pad[36575 -17323 30543 -17323 1968 3000 2268 "58" "58" 0x00000100] + Pad[36575 -20472 30543 -20472 1968 3000 2268 "59" "59" 0x00000100] + Pad[36575 -23622 30543 -23622 1968 3000 2268 "60" "60" 0x00000100] + Pad[36575 -26772 30543 -26772 1968 3000 2268 "61" "61" 0x00000100] + Pad[36575 -29921 30543 -29921 1968 3000 2268 "62" "62" 0x00000100] + Pad[36575 -33071 30543 -33071 1968 3000 2268 "63" "63" 0x00000100] + Pad[36575 -36220 30543 -36220 1968 3000 2268 "64" "64" 0x00000100] + # top row + Pad[23622 -48386 23622 -42354 1968 3000 2268 "65" "65" 0x00000900] + Pad[20473 -48386 20473 -42354 1968 3000 2268 "66" "66" 0x00000900] + Pad[17323 -48386 17323 -42354 1968 3000 2268 "67" "67" 0x00000900] + Pad[14174 -48386 14174 -42354 1968 3000 2268 "68" "68" 0x00000900] + Pad[11024 -48386 11024 -42354 1968 3000 2268 "69" "69" 0x00000900] + Pad[7874 -48386 7874 -42354 1968 3000 2268 "70" "70" 0x00000900] + Pad[4725 -48386 4725 -42354 1968 3000 2268 "71" "71" 0x00000900] + Pad[1575 -48386 1575 -42354 1968 3000 2268 "72" "72" 0x00000900] + Pad[-1574 -48386 -1574 -42354 1968 3000 2268 "73" "73" 0x00000900] + Pad[-4724 -48386 -4724 -42354 1968 3000 2268 "74" "74" 0x00000900] + Pad[-7874 -48386 -7874 -42354 1968 3000 2268 "75" "75" 0x00000900] + Pad[-11023 -48386 -11023 -42354 1968 3000 2268 "76" "76" 0x00000900] + Pad[-14173 -48386 -14173 -42354 1968 3000 2268 "77" "77" 0x00000900] + Pad[-17322 -48386 -17322 -42354 1968 3000 2268 "78" "78" 0x00000900] + Pad[-20472 -48386 -20472 -42354 1968 3000 2268 "79" "79" 0x00000900] + Pad[-23622 -48386 -23622 -42354 1968 3000 2268 "80" "80" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -38970 27159 -38970 800] + # right + ElementLine[27159 -38970 27159 38970 800] + # bottom + ElementLine[27159 38970 -27159 38970 800] + # left + ElementLine[-27159 38970 -27159 -36070 800] + # angled corner + ElementLine[-27159 -36070 -24259 -38970 800] + ElementArc[-24259 -36070 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/QSOP16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QSOP16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QSOP16.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "Quarter size small outline package" "" "QSOP16" 145 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 37 30 37 16 "2" 0x100) + Pad(8 62 30 62 16 "3" 0x100) + Pad(8 87 30 87 16 "4" 0x100) + Pad(8 112 30 112 16 "5" 0x100) + Pad(8 137 30 137 16 "6" 0x100) + Pad(8 162 30 162 16 "7" 0x100) + Pad(8 187 30 187 16 "8" 0x100) + Pad(220 188 242 188 16 "9" 0x100) + Pad(220 163 242 163 16 "10" 0x100) + Pad(220 138 242 138 16 "11" 0x100) + Pad(220 113 242 113 16 "12" 0x100) + Pad(220 88 242 88 16 "13" 0x100) + Pad(220 63 242 63 16 "14" 0x100) + Pad(220 38 242 38 16 "15" 0x100) + Pad(220 13 242 13 16 "16" 0x100) + ElementLine(-10 -10 100 -10 10) + ElementArc(125 -10 25 25 0 180 10) + ElementLine(150 -10 260 -10 10) + ElementLine(260 -10 260 210 10) + ElementLine(260 210 -10 210 10) + ElementLine(-10 210 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/QSOP20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QSOP20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QSOP20.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "Quarter size small outline package" "" "QSOP20" 145 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 37 30 37 16 "2" 0x100) + Pad(8 62 30 62 16 "3" 0x100) + Pad(8 87 30 87 16 "4" 0x100) + Pad(8 112 30 112 16 "5" 0x100) + Pad(8 137 30 137 16 "6" 0x100) + Pad(8 162 30 162 16 "7" 0x100) + Pad(8 187 30 187 16 "8" 0x100) + Pad(8 212 30 212 16 "9" 0x100) + Pad(8 237 30 237 16 "10" 0x100) + Pad(220 238 242 238 16 "11" 0x100) + Pad(220 213 242 213 16 "12" 0x100) + Pad(220 188 242 188 16 "13" 0x100) + Pad(220 163 242 163 16 "14" 0x100) + Pad(220 138 242 138 16 "15" 0x100) + Pad(220 113 242 113 16 "16" 0x100) + Pad(220 88 242 88 16 "17" 0x100) + Pad(220 63 242 63 16 "18" 0x100) + Pad(220 38 242 38 16 "19" 0x100) + Pad(220 13 242 13 16 "20" 0x100) + ElementLine(-10 -10 100 -10 10) + ElementArc(125 -10 25 25 0 180 10) + ElementLine(150 -10 260 -10 10) + ElementLine(260 -10 260 260 10) + ElementLine(260 260 -10 260 10) + ElementLine(-10 260 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/QSOP24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QSOP24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QSOP24.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "Quarter size small outline package" "" "QSOP24" 145 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 37 30 37 16 "2" 0x100) + Pad(8 62 30 62 16 "3" 0x100) + Pad(8 87 30 87 16 "4" 0x100) + Pad(8 112 30 112 16 "5" 0x100) + Pad(8 137 30 137 16 "6" 0x100) + Pad(8 162 30 162 16 "7" 0x100) + Pad(8 187 30 187 16 "8" 0x100) + Pad(8 212 30 212 16 "9" 0x100) + Pad(8 237 30 237 16 "10" 0x100) + Pad(8 262 30 262 16 "11" 0x100) + Pad(8 287 30 287 16 "12" 0x100) + Pad(220 288 242 288 16 "13" 0x100) + Pad(220 263 242 263 16 "14" 0x100) + Pad(220 238 242 238 16 "15" 0x100) + Pad(220 213 242 213 16 "16" 0x100) + Pad(220 188 242 188 16 "17" 0x100) + Pad(220 163 242 163 16 "18" 0x100) + Pad(220 138 242 138 16 "19" 0x100) + Pad(220 113 242 113 16 "20" 0x100) + Pad(220 88 242 88 16 "21" 0x100) + Pad(220 63 242 63 16 "22" 0x100) + Pad(220 38 242 38 16 "23" 0x100) + Pad(220 13 242 13 16 "24" 0x100) + ElementLine(-10 -10 100 -10 10) + ElementArc(125 -10 25 25 0 180 10) + ElementLine(150 -10 260 -10 10) + ElementLine(260 -10 260 310 10) + ElementLine(260 310 -10 310 10) + ElementLine(-10 310 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/QSOP28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/QSOP28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/QSOP28.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "Quarter size small outline package" "" "QSOP28" 145 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 37 30 37 16 "2" 0x100) + Pad(8 62 30 62 16 "3" 0x100) + Pad(8 87 30 87 16 "4" 0x100) + Pad(8 112 30 112 16 "5" 0x100) + Pad(8 137 30 137 16 "6" 0x100) + Pad(8 162 30 162 16 "7" 0x100) + Pad(8 187 30 187 16 "8" 0x100) + Pad(8 212 30 212 16 "9" 0x100) + Pad(8 237 30 237 16 "10" 0x100) + Pad(8 262 30 262 16 "11" 0x100) + Pad(8 287 30 287 16 "12" 0x100) + Pad(8 312 30 312 16 "13" 0x100) + Pad(8 337 30 337 16 "14" 0x100) + Pad(220 338 242 338 16 "15" 0x100) + Pad(220 313 242 313 16 "16" 0x100) + Pad(220 288 242 288 16 "17" 0x100) + Pad(220 263 242 263 16 "18" 0x100) + Pad(220 238 242 238 16 "19" 0x100) + Pad(220 213 242 213 16 "20" 0x100) + Pad(220 188 242 188 16 "21" 0x100) + Pad(220 163 242 163 16 "22" 0x100) + Pad(220 138 242 138 16 "23" 0x100) + Pad(220 113 242 113 16 "24" 0x100) + Pad(220 88 242 88 16 "25" 0x100) + Pad(220 63 242 63 16 "26" 0x100) + Pad(220 38 242 38 16 "27" 0x100) + Pad(220 13 242 13 16 "28" 0x100) + ElementLine(-10 -10 100 -10 10) + ElementArc(125 -10 25 25 0 180 10) + ElementLine(150 -10 260 -10 10) + ElementLine(260 -10 260 360 10) + ElementLine(260 360 -10 360 10) + ElementLine(-10 360 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/RCY100.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY100.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY100.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY100" 200 0 0 100 0x00) +( + Pin(50 100 60 30 "1" 0x101) + Pin(150 100 60 30 "2" 0x01) + ElementArc(100 100 100 100 0 360 10) + Mark (50 100) +) Index: oldlib/lib/pcblib-newlib/geda/RCY1000.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY1000.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY1000.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY1000" 2000 0 0 100 0x00) +( + Pin(500 1000 60 50 "1" 0x101) + Pin(1500 1000 60 50 "2" 0x01) + ElementArc(1000 1000 1000 1000 0 360 10) + Mark (500 1000) +) Index: oldlib/lib/pcblib-newlib/geda/RCY1000P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY1000P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY1000P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY1000P" 2000 0 0 100 0x00) +( + Pin(500 1000 60 50 "1" 0x101) + Pin(1500 1000 60 50 "2" 0x01) + ElementArc(1000 1000 1000 1000 0 360 10) + ElementLine(-60 1000 -20 1000 10) + ElementLine(-40 980 -40 1020 10) + ElementLine(2020 1000 2060 1000 10) + Mark (500 1000) +) Index: oldlib/lib/pcblib-newlib/geda/RCY100P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY100P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY100P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY100P" 200 0 0 100 0x00) +( + Pin(50 100 60 30 "1" 0x101) + Pin(150 100 60 30 "2" 0x01) + ElementArc(100 100 100 100 0 360 10) + ElementLine(-60 100 -20 100 10) + ElementLine(-40 80 -40 120 10) + ElementLine(220 100 260 100 10) + Mark (50 100) +) Index: oldlib/lib/pcblib-newlib/geda/RCY1100.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY1100.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY1100.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY1100" 2200 0 0 100 0x00) +( + Pin(550 1100 60 50 "1" 0x101) + Pin(1650 1100 60 50 "2" 0x01) + ElementArc(1100 1100 1100 1100 0 360 10) + Mark (550 1100) +) Index: oldlib/lib/pcblib-newlib/geda/RCY1100P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY1100P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY1100P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY1100P" 2200 0 0 100 0x00) +( + Pin(550 1100 60 50 "1" 0x101) + Pin(1650 1100 60 50 "2" 0x01) + ElementArc(1100 1100 1100 1100 0 360 10) + ElementLine(-60 1100 -20 1100 10) + ElementLine(-40 1080 -40 1120 10) + ElementLine(2220 1100 2260 1100 10) + Mark (550 1100) +) Index: oldlib/lib/pcblib-newlib/geda/RCY1200.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY1200.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY1200.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY1200" 2400 0 0 100 0x00) +( + Pin(600 1200 60 50 "1" 0x101) + Pin(1800 1200 60 50 "2" 0x01) + ElementArc(1200 1200 1200 1200 0 360 10) + Mark (600 1200) +) Index: oldlib/lib/pcblib-newlib/geda/RCY1200P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY1200P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY1200P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY1200P" 2400 0 0 100 0x00) +( + Pin(600 1200 60 50 "1" 0x101) + Pin(1800 1200 60 50 "2" 0x01) + ElementArc(1200 1200 1200 1200 0 360 10) + ElementLine(-60 1200 -20 1200 10) + ElementLine(-40 1180 -40 1220 10) + ElementLine(2420 1200 2460 1200 10) + Mark (600 1200) +) Index: oldlib/lib/pcblib-newlib/geda/RCY150.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY150.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY150" 300 0 0 100 0x00) +( + Pin(75 150 60 30 "1" 0x101) + Pin(225 150 60 30 "2" 0x01) + ElementArc(150 150 150 150 0 360 10) + Mark (75 150) +) Index: oldlib/lib/pcblib-newlib/geda/RCY150P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY150P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY150P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY150P" 300 0 0 100 0x00) +( + Pin(75 150 60 30 "1" 0x101) + Pin(225 150 60 30 "2" 0x01) + ElementArc(150 150 150 150 0 360 10) + ElementLine(-60 150 -20 150 10) + ElementLine(-40 130 -40 170 10) + ElementLine(320 150 360 150 10) + Mark (75 150) +) Index: oldlib/lib/pcblib-newlib/geda/RCY200.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY200.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY200.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY200" 400 0 0 100 0x00) +( + Pin(100 200 60 30 "1" 0x101) + Pin(300 200 60 30 "2" 0x01) + ElementArc(200 200 200 200 0 360 10) + Mark (100 200) +) Index: oldlib/lib/pcblib-newlib/geda/RCY200P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY200P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY200P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY200P" 400 0 0 100 0x00) +( + Pin(100 200 60 30 "1" 0x101) + Pin(300 200 60 30 "2" 0x01) + ElementArc(200 200 200 200 0 360 10) + ElementLine(-60 200 -20 200 10) + ElementLine(-40 180 -40 220 10) + ElementLine(420 200 460 200 10) + Mark (100 200) +) Index: oldlib/lib/pcblib-newlib/geda/RCY250.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY250.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY250.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY250" 500 0 0 100 0x00) +( + Pin(125 250 60 30 "1" 0x101) + Pin(375 250 60 30 "2" 0x01) + ElementArc(250 250 250 250 0 360 10) + Mark (125 250) +) Index: oldlib/lib/pcblib-newlib/geda/RCY250P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY250P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY250P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY250P" 500 0 0 100 0x00) +( + Pin(125 250 60 30 "1" 0x101) + Pin(375 250 60 30 "2" 0x01) + ElementArc(250 250 250 250 0 360 10) + ElementLine(-60 250 -20 250 10) + ElementLine(-40 230 -40 270 10) + ElementLine(520 250 560 250 10) + Mark (125 250) +) Index: oldlib/lib/pcblib-newlib/geda/RCY300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY300.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY300" 600 0 0 100 0x00) +( + Pin(150 300 60 50 "1" 0x101) + Pin(450 300 60 50 "2" 0x01) + ElementArc(300 300 300 300 0 360 10) + Mark (150 300) +) Index: oldlib/lib/pcblib-newlib/geda/RCY300P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY300P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY300P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY300P" 600 0 0 100 0x00) +( + Pin(150 300 60 50 "1" 0x101) + Pin(450 300 60 50 "2" 0x01) + ElementArc(300 300 300 300 0 360 10) + ElementLine(-60 300 -20 300 10) + ElementLine(-40 280 -40 320 10) + ElementLine(620 300 660 300 10) + Mark (150 300) +) Index: oldlib/lib/pcblib-newlib/geda/RCY350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY350.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY350" 700 0 0 100 0x00) +( + Pin(175 350 60 50 "1" 0x101) + Pin(525 350 60 50 "2" 0x01) + ElementArc(350 350 350 350 0 360 10) + Mark (175 350) +) Index: oldlib/lib/pcblib-newlib/geda/RCY350P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY350P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY350P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY350P" 700 0 0 100 0x00) +( + Pin(175 350 60 50 "1" 0x101) + Pin(525 350 60 50 "2" 0x01) + ElementArc(350 350 350 350 0 360 10) + ElementLine(-60 350 -20 350 10) + ElementLine(-40 330 -40 370 10) + ElementLine(720 350 760 350 10) + Mark (175 350) +) Index: oldlib/lib/pcblib-newlib/geda/RCY400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY400.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY400" 800 0 0 100 0x00) +( + Pin(200 400 60 50 "1" 0x101) + Pin(600 400 60 50 "2" 0x01) + ElementArc(400 400 400 400 0 360 10) + Mark (200 400) +) Index: oldlib/lib/pcblib-newlib/geda/RCY400P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY400P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY400P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY400P" 800 0 0 100 0x00) +( + Pin(200 400 60 50 "1" 0x101) + Pin(600 400 60 50 "2" 0x01) + ElementArc(400 400 400 400 0 360 10) + ElementLine(-60 400 -20 400 10) + ElementLine(-40 380 -40 420 10) + ElementLine(820 400 860 400 10) + Mark (200 400) +) Index: oldlib/lib/pcblib-newlib/geda/RCY500.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY500.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY500.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY500" 1000 0 0 100 0x00) +( + Pin(250 500 60 50 "1" 0x101) + Pin(750 500 60 50 "2" 0x01) + ElementArc(500 500 500 500 0 360 10) + Mark (250 500) +) Index: oldlib/lib/pcblib-newlib/geda/RCY500P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY500P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY500P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY500P" 1000 0 0 100 0x00) +( + Pin(250 500 60 50 "1" 0x101) + Pin(750 500 60 50 "2" 0x01) + ElementArc(500 500 500 500 0 360 10) + ElementLine(-60 500 -20 500 10) + ElementLine(-40 480 -40 520 10) + ElementLine(1020 500 1060 500 10) + Mark (250 500) +) Index: oldlib/lib/pcblib-newlib/geda/RCY600.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY600.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY600" 1200 0 0 100 0x00) +( + Pin(300 600 60 50 "1" 0x101) + Pin(900 600 60 50 "2" 0x01) + ElementArc(600 600 600 600 0 360 10) + Mark (300 600) +) Index: oldlib/lib/pcblib-newlib/geda/RCY600P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY600P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY600P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY600P" 1200 0 0 100 0x00) +( + Pin(300 600 60 50 "1" 0x101) + Pin(900 600 60 50 "2" 0x01) + ElementArc(600 600 600 600 0 360 10) + ElementLine(-60 600 -20 600 10) + ElementLine(-40 580 -40 620 10) + ElementLine(1220 600 1260 600 10) + Mark (300 600) +) Index: oldlib/lib/pcblib-newlib/geda/RCY700.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY700.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY700.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY700" 1400 0 0 100 0x00) +( + Pin(350 700 60 50 "1" 0x101) + Pin(1050 700 60 50 "2" 0x01) + ElementArc(700 700 700 700 0 360 10) + Mark (350 700) +) Index: oldlib/lib/pcblib-newlib/geda/RCY700P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY700P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY700P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY700P" 1400 0 0 100 0x00) +( + Pin(350 700 60 50 "1" 0x101) + Pin(1050 700 60 50 "2" 0x01) + ElementArc(700 700 700 700 0 360 10) + ElementLine(-60 700 -20 700 10) + ElementLine(-40 680 -40 720 10) + ElementLine(1420 700 1460 700 10) + Mark (350 700) +) Index: oldlib/lib/pcblib-newlib/geda/RCY800.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY800.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY800.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY800" 1600 0 0 100 0x00) +( + Pin(400 800 60 50 "1" 0x101) + Pin(1200 800 60 50 "2" 0x01) + ElementArc(800 800 800 800 0 360 10) + Mark (400 800) +) Index: oldlib/lib/pcblib-newlib/geda/RCY800P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY800P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY800P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY800P" 1600 0 0 100 0x00) +( + Pin(400 800 60 50 "1" 0x101) + Pin(1200 800 60 50 "2" 0x01) + ElementArc(800 800 800 800 0 360 10) + ElementLine(-60 800 -20 800 10) + ElementLine(-40 780 -40 820 10) + ElementLine(1620 800 1660 800 10) + Mark (400 800) +) Index: oldlib/lib/pcblib-newlib/geda/RCY900.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY900.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY900.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "Bottom lead non-polar circular component (typically capacitor)" "" "RCY900" 1800 0 0 100 0x00) +( + Pin(450 900 60 50 "1" 0x101) + Pin(1350 900 60 50 "2" 0x01) + ElementArc(900 900 900 900 0 360 10) + Mark (450 900) +) Index: oldlib/lib/pcblib-newlib/geda/RCY900P.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RCY900P.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RCY900P.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Bottom lead polar circular component (typically capacitor)" "" "RCY900P" 1800 0 0 100 0x00) +( + Pin(450 900 60 50 "1" 0x101) + Pin(1350 900 60 50 "2" 0x01) + ElementArc(900 900 900 900 0 360 10) + ElementLine(-60 900 -20 900 10) + ElementLine(-40 880 -40 920 10) + ElementLine(1820 900 1860 900 10) + Mark (450 900) +) Index: oldlib/lib/pcblib-newlib/geda/RESC0402L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC0402L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC0402L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC0402L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-610 -216 + -610 216 + 590 2000 1190 "1" "1" "square"] + Pad[610 -216 + 610 216 + 590 2000 1190 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC0402M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC0402M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC0402M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC0402M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1003 -20 + -1003 20 + 1377 2000 1977 "1" "1" "square"] + Pad[1003 -20 + 1003 20 + 1377 2000 1977 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC0402N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC0402N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC0402N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC0402N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-807 -19 + -807 19 + 984 2000 1584 "1" "1" "square"] + Pad[807 -19 + 807 19 + 984 2000 1584 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC0603L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC0603L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC0603L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC0603L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-984 0 + -984 0 + 1181 2000 1781 "1" "1" "square"] + Pad[984 0 + 984 0 + 1181 2000 1781 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC0603M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC0603M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC0603M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC0603M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1574 0 + -1574 0 + 1968 2000 2568 "1" "1" "square"] + Pad[1574 0 + 1574 0 + 1968 2000 2568 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC0603N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC0603N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC0603N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC0603N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1181 0 + -1181 0 + 1574 2000 2174 "1" "1" "square"] + Pad[1181 0 + 1181 0 + 1574 2000 2174 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC1005L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC1005L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC1005L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC1005L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1771 -394 + -1771 394 + 1377 2000 1977 "1" "1" "square"] + Pad[1771 -394 + 1771 394 + 1377 2000 1977 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC1005M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC1005M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC1005M.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC1005M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2165 -393 + -2165 393 + 2165 2000 2765 "1" "1" "square"] + Pad[2165 -393 + 2165 393 + 2165 2000 2765 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC1005N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC1005N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC1005N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC1005N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-1968 -394 + -1968 394 + 1771 2000 2371 "1" "1" "square"] + Pad[1968 -394 + 1968 394 + 1771 2000 2371 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC1608L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC1608L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC1608L.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC1608L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2362 -787 + -2362 787 + 1968 2000 2568 "1" "1" "square"] + Pad[2362 -787 + 2362 787 + 1968 2000 2568 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC1608M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC1608M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC1608M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC1608M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2952 -787 + -2952 787 + 2755 2000 3355 "1" "1" "square"] + Pad[2952 -787 + 2952 787 + 2755 2000 3355 "2" "2" "square"] + ElementLine[-196 -2165 196 -2165 800] + ElementLine[-196 2165 196 2165 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC1608N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC1608N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC1608N.fp (revision 1033) @@ -0,0 +1,27 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC1608N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-2755 -787 + -2755 787 + 2362 2000 2962 "1" "1" "square"] + Pad[2755 -787 + 2755 787 + 2362 2000 2962 "2" "2" "square"] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC2012L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC2012L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC2012L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC2012L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3149 -590 + -3149 590 + 3937 2000 4537 "1" "1" "square"] + Pad[3149 -590 + 3149 590 + 3937 2000 4537 "2" "2" "square"] + ElementLine[-393 -2559 393 -2559 800] + ElementLine[-393 2559 393 2559 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC2012M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC2012M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC2012M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC2012M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3937 -197 + -3937 197 + 5511 2000 6111 "1" "1" "square"] + Pad[3937 -197 + 3937 197 + 5511 2000 6111 "2" "2" "square"] + ElementLine[-393 -2952 393 -2952 800] + ElementLine[-393 2952 393 2952 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC2012N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC2012N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC2012N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC2012N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-3543 -393 + -3543 393 + 4724 2000 5324 "1" "1" "square"] + Pad[3543 -393 + 3543 393 + 4724 2000 5324 "2" "2" "square"] + ElementLine[-393 -2755 393 -2755 800] + ElementLine[-393 2755 393 2755 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC3216L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC3216L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC3216L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC3216L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5511 -1181 + -5511 1181 + 4330 2000 4930 "1" "1" "square"] + Pad[5511 -1181 + 5511 1181 + 4330 2000 4930 "2" "2" "square"] + ElementLine[-1771 -3346 1771 -3346 800] + ElementLine[-1771 3346 1771 3346 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC3216M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC3216M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC3216M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC3216M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-6299 -787 + -6299 787 + 5905 2000 6505 "1" "1" "square"] + Pad[6299 -787 + 6299 787 + 5905 2000 6505 "2" "2" "square"] + ElementLine[-1968 -3740 1968 -3740 800] + ElementLine[-1968 3740 1968 3740 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC3216N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC3216N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC3216N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC3216N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5905 -984 + -5905 984 + 5118 2000 5718 "1" "1" "square"] + Pad[5905 -984 + 5905 984 + 5118 2000 5718 "2" "2" "square"] + ElementLine[-1968 -3543 1968 -3543 800] + ElementLine[-1968 3543 1968 3543 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC3225L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC3225L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC3225L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC3225L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5511 -2953 + -5511 2953 + 4330 2000 4930 "1" "1" "square"] + Pad[5511 -2953 + 5511 2953 + 4330 2000 4930 "2" "2" "square"] + ElementLine[-1771 -5118 1771 -5118 800] + ElementLine[-1771 5118 1771 5118 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC3225M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC3225M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC3225M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC3225M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-6299 -2559 + -6299 2559 + 5905 2000 6505 "1" "1" "square"] + Pad[6299 -2559 + 6299 2559 + 5905 2000 6505 "2" "2" "square"] + ElementLine[-1968 -5511 1968 -5511 800] + ElementLine[-1968 5511 1968 5511 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC3225N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC3225N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC3225N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC3225N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-5905 -2755 + -5905 2755 + 5118 2000 5718 "1" "1" "square"] + Pad[5905 -2755 + 5905 2755 + 5118 2000 5718 "2" "2" "square"] + ElementLine[-1968 -5314 1968 -5314 800] + ElementLine[-1968 5314 1968 5314 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC4532L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC4532L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC4532L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC4532L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7480 -3740 + -7480 3740 + 5511 2000 6111 "1" "1" "square"] + Pad[7480 -3740 + 7480 3740 + 5511 2000 6111 "2" "2" "square"] + ElementLine[-3149 -6496 3149 -6496 800] + ElementLine[-3149 6496 3149 6496 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC4532M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC4532M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC4532M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC4532M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-8267 -3346 + -8267 3346 + 7086 2000 7686 "1" "1" "square"] + Pad[8267 -3346 + 8267 3346 + 7086 2000 7686 "2" "2" "square"] + ElementLine[-3149 -6889 3149 -6889 800] + ElementLine[-3149 6889 3149 6889 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC4532N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC4532N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC4532N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC4532N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7874 -3543 + -7874 3543 + 6299 2000 6899 "1" "1" "square"] + Pad[7874 -3543 + 7874 3543 + 6299 2000 6899 "2" "2" "square"] + ElementLine[-3149 -6692 3149 -6692 800] + ElementLine[-3149 6692 3149 6692 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC4564L.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC4564L.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC4564L.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC4564L" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7480 -10433 + -7480 10433 + 5511 2000 6111 "1" "1" "square"] + Pad[7480 -10433 + 7480 10433 + 5511 2000 6111 "2" "2" "square"] + ElementLine[-3149 -13188 3149 -13188 800] + ElementLine[-3149 13188 3149 13188 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC4564M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC4564M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC4564M.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC4564M" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-8267 -10039 + -8267 10039 + 7086 2000 7686 "1" "1" "square"] + Pad[8267 -10039 + 8267 10039 + 7086 2000 7686 "2" "2" "square"] + ElementLine[-3149 -13582 3149 -13582 800] + ElementLine[-3149 13582 3149 13582 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/RESC4564N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/RESC4564N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/RESC4564N.fp (revision 1033) @@ -0,0 +1,29 @@ + # grab the input values and convert to 1/100 mil + # how much to grow the pads by for soldermask [1/100 mil] + # clearance from planes [1/100 mil] + # silk screen width [1/100 mil] + # courtyard silk screen width [1/100 mil] +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Standard SMT resistor, capacitor etc" "" "RESC4564N" 0 0 -3150 -3150 0 100 ""] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[-7874 -10236 + -7874 10236 + 6299 2000 6899 "1" "1" "square"] + Pad[7874 -10236 + 7874 10236 + 6299 2000 6899 "2" "2" "square"] + ElementLine[-3149 -13385 3149 -13385 800] + ElementLine[-3149 13385 3149 13385 800] +# +# This draws a 1 mil placement courtyard outline in silk. It should probably +# not be included since you wont want to try and fab a 1 mil silk line. Then +# again, it is most useful during parts placement. It really is time for some +# additional non-fab layers... +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval(-1*V1/2) eval( V2/2) CYW] +# ElementLine[eval(-1*V1/2) eval(-1*V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval( V1/2) eval(-1*V2/2) CYW] +# ElementLine[eval( V1/2) eval( V2/2) eval(-1*V1/2) eval( V2/2) CYW] +) Index: oldlib/lib/pcblib-newlib/geda/SDIP18.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SDIP18.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SDIP18.fp (revision 1033) @@ -0,0 +1,30 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 70,55,28 args +Element(0x00 "Shrink dual in-line package, wide (300 mil)" "" "SDIP18" 220 100 3 100 0x00) +( + Pin(50 35 55 28 "1" 0x101) + Pin(50 105 55 28 "2" 0x01) + Pin(50 175 55 28 "3" 0x01) + Pin(50 245 55 28 "4" 0x01) + Pin(50 315 55 28 "5" 0x01) + Pin(50 385 55 28 "6" 0x01) + Pin(50 455 55 28 "7" 0x01) + Pin(50 525 55 28 "8" 0x01) + Pin(50 595 55 28 "9" 0x01) + Pin(350 595 55 28 "10" 0x01) + Pin(350 525 55 28 "11" 0x01) + Pin(350 455 55 28 "12" 0x01) + Pin(350 385 55 28 "13" 0x01) + Pin(350 315 55 28 "14" 0x01) + Pin(350 245 55 28 "15" 0x01) + Pin(350 175 55 28 "16" 0x01) + Pin(350 105 55 28 "17" 0x01) + Pin(350 35 55 28 "18" 0x01) + ElementLine(0 0 0 630 10) + ElementLine(0 630 400 630 10) + ElementLine(400 630 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 35) +) Index: oldlib/lib/pcblib-newlib/geda/SDIP20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SDIP20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SDIP20.fp (revision 1033) @@ -0,0 +1,32 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 70,55,28 args +Element(0x00 "Shrink dual in-line package, wide (300 mil)" "" "SDIP20" 220 100 3 100 0x00) +( + Pin(50 35 55 28 "1" 0x101) + Pin(50 105 55 28 "2" 0x01) + Pin(50 175 55 28 "3" 0x01) + Pin(50 245 55 28 "4" 0x01) + Pin(50 315 55 28 "5" 0x01) + Pin(50 385 55 28 "6" 0x01) + Pin(50 455 55 28 "7" 0x01) + Pin(50 525 55 28 "8" 0x01) + Pin(50 595 55 28 "9" 0x01) + Pin(50 665 55 28 "10" 0x01) + Pin(350 665 55 28 "11" 0x01) + Pin(350 595 55 28 "12" 0x01) + Pin(350 525 55 28 "13" 0x01) + Pin(350 455 55 28 "14" 0x01) + Pin(350 385 55 28 "15" 0x01) + Pin(350 315 55 28 "16" 0x01) + Pin(350 245 55 28 "17" 0x01) + Pin(350 175 55 28 "18" 0x01) + Pin(350 105 55 28 "19" 0x01) + Pin(350 35 55 28 "20" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 400 700 10) + ElementLine(400 700 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 35) +) Index: oldlib/lib/pcblib-newlib/geda/SDIP22.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SDIP22.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SDIP22.fp (revision 1033) @@ -0,0 +1,34 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 70,55,28 args +Element(0x00 "Shrink dual in-line package, wide (300 mil)" "" "SDIP22" 220 100 3 100 0x00) +( + Pin(50 35 55 28 "1" 0x101) + Pin(50 105 55 28 "2" 0x01) + Pin(50 175 55 28 "3" 0x01) + Pin(50 245 55 28 "4" 0x01) + Pin(50 315 55 28 "5" 0x01) + Pin(50 385 55 28 "6" 0x01) + Pin(50 455 55 28 "7" 0x01) + Pin(50 525 55 28 "8" 0x01) + Pin(50 595 55 28 "9" 0x01) + Pin(50 665 55 28 "10" 0x01) + Pin(50 735 55 28 "11" 0x01) + Pin(350 735 55 28 "12" 0x01) + Pin(350 665 55 28 "13" 0x01) + Pin(350 595 55 28 "14" 0x01) + Pin(350 525 55 28 "15" 0x01) + Pin(350 455 55 28 "16" 0x01) + Pin(350 385 55 28 "17" 0x01) + Pin(350 315 55 28 "18" 0x01) + Pin(350 245 55 28 "19" 0x01) + Pin(350 175 55 28 "20" 0x01) + Pin(350 105 55 28 "21" 0x01) + Pin(350 35 55 28 "22" 0x01) + ElementLine(0 0 0 770 10) + ElementLine(0 770 400 770 10) + ElementLine(400 770 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 35) +) Index: oldlib/lib/pcblib-newlib/geda/SDIP24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SDIP24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SDIP24.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 70,55,28 args +Element(0x00 "Shrink dual in-line package, wide (300 mil)" "" "SDIP24" 220 100 3 100 0x00) +( + Pin(50 35 55 28 "1" 0x101) + Pin(50 105 55 28 "2" 0x01) + Pin(50 175 55 28 "3" 0x01) + Pin(50 245 55 28 "4" 0x01) + Pin(50 315 55 28 "5" 0x01) + Pin(50 385 55 28 "6" 0x01) + Pin(50 455 55 28 "7" 0x01) + Pin(50 525 55 28 "8" 0x01) + Pin(50 595 55 28 "9" 0x01) + Pin(50 665 55 28 "10" 0x01) + Pin(50 735 55 28 "11" 0x01) + Pin(50 805 55 28 "12" 0x01) + Pin(350 805 55 28 "13" 0x01) + Pin(350 735 55 28 "14" 0x01) + Pin(350 665 55 28 "15" 0x01) + Pin(350 595 55 28 "16" 0x01) + Pin(350 525 55 28 "17" 0x01) + Pin(350 455 55 28 "18" 0x01) + Pin(350 385 55 28 "19" 0x01) + Pin(350 315 55 28 "20" 0x01) + Pin(350 245 55 28 "21" 0x01) + Pin(350 175 55 28 "22" 0x01) + Pin(350 105 55 28 "23" 0x01) + Pin(350 35 55 28 "24" 0x01) + ElementLine(0 0 0 840 10) + ElementLine(0 840 400 840 10) + ElementLine(400 840 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 35) +) Index: oldlib/lib/pcblib-newlib/geda/SDIP30.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SDIP30.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SDIP30.fp (revision 1033) @@ -0,0 +1,42 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 70,55,28 args +Element(0x00 "Shrink dual in-line package, wide (400 mil)" "" "SDIP30" 270 100 3 100 0x00) +( + Pin(50 35 55 28 "1" 0x101) + Pin(50 105 55 28 "2" 0x01) + Pin(50 175 55 28 "3" 0x01) + Pin(50 245 55 28 "4" 0x01) + Pin(50 315 55 28 "5" 0x01) + Pin(50 385 55 28 "6" 0x01) + Pin(50 455 55 28 "7" 0x01) + Pin(50 525 55 28 "8" 0x01) + Pin(50 595 55 28 "9" 0x01) + Pin(50 665 55 28 "10" 0x01) + Pin(50 735 55 28 "11" 0x01) + Pin(50 805 55 28 "12" 0x01) + Pin(50 875 55 28 "13" 0x01) + Pin(50 945 55 28 "14" 0x01) + Pin(50 1015 55 28 "15" 0x01) + Pin(450 1015 55 28 "16" 0x01) + Pin(450 945 55 28 "17" 0x01) + Pin(450 875 55 28 "18" 0x01) + Pin(450 805 55 28 "19" 0x01) + Pin(450 735 55 28 "20" 0x01) + Pin(450 665 55 28 "21" 0x01) + Pin(450 595 55 28 "22" 0x01) + Pin(450 525 55 28 "23" 0x01) + Pin(450 455 55 28 "24" 0x01) + Pin(450 385 55 28 "25" 0x01) + Pin(450 315 55 28 "26" 0x01) + Pin(450 245 55 28 "27" 0x01) + Pin(450 175 55 28 "28" 0x01) + Pin(450 105 55 28 "29" 0x01) + Pin(450 35 55 28 "30" 0x01) + ElementLine(0 0 0 1050 10) + ElementLine(0 1050 500 1050 10) + ElementLine(500 1050 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 35) +) Index: oldlib/lib/pcblib-newlib/geda/SDIP32.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SDIP32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SDIP32.fp (revision 1033) @@ -0,0 +1,44 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 70,55,28 args +Element(0x00 "Shrink dual in-line package, wide (400 mil)" "" "SDIP32" 270 100 3 100 0x00) +( + Pin(50 35 55 28 "1" 0x101) + Pin(50 105 55 28 "2" 0x01) + Pin(50 175 55 28 "3" 0x01) + Pin(50 245 55 28 "4" 0x01) + Pin(50 315 55 28 "5" 0x01) + Pin(50 385 55 28 "6" 0x01) + Pin(50 455 55 28 "7" 0x01) + Pin(50 525 55 28 "8" 0x01) + Pin(50 595 55 28 "9" 0x01) + Pin(50 665 55 28 "10" 0x01) + Pin(50 735 55 28 "11" 0x01) + Pin(50 805 55 28 "12" 0x01) + Pin(50 875 55 28 "13" 0x01) + Pin(50 945 55 28 "14" 0x01) + Pin(50 1015 55 28 "15" 0x01) + Pin(50 1085 55 28 "16" 0x01) + Pin(450 1085 55 28 "17" 0x01) + Pin(450 1015 55 28 "18" 0x01) + Pin(450 945 55 28 "19" 0x01) + Pin(450 875 55 28 "20" 0x01) + Pin(450 805 55 28 "21" 0x01) + Pin(450 735 55 28 "22" 0x01) + Pin(450 665 55 28 "23" 0x01) + Pin(450 595 55 28 "24" 0x01) + Pin(450 525 55 28 "25" 0x01) + Pin(450 455 55 28 "26" 0x01) + Pin(450 385 55 28 "27" 0x01) + Pin(450 315 55 28 "28" 0x01) + Pin(450 245 55 28 "29" 0x01) + Pin(450 175 55 28 "30" 0x01) + Pin(450 105 55 28 "31" 0x01) + Pin(450 35 55 28 "32" 0x01) + ElementLine(0 0 0 1120 10) + ElementLine(0 1120 500 1120 10) + ElementLine(500 1120 500 0 10) + ElementLine(0 0 200 0 10) + ElementLine(300 0 500 0 10) + ElementArc(250 0 50 50 0 180 10) + Mark(50 35) +) Index: oldlib/lib/pcblib-newlib/geda/SDIP42.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SDIP42.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SDIP42.fp (revision 1033) @@ -0,0 +1,54 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 70,55,28 args +Element(0x00 "Shrink dual in-line package, wide (600 mil)" "" "SDIP42" 370 100 3 100 0x00) +( + Pin(50 35 55 28 "1" 0x101) + Pin(50 105 55 28 "2" 0x01) + Pin(50 175 55 28 "3" 0x01) + Pin(50 245 55 28 "4" 0x01) + Pin(50 315 55 28 "5" 0x01) + Pin(50 385 55 28 "6" 0x01) + Pin(50 455 55 28 "7" 0x01) + Pin(50 525 55 28 "8" 0x01) + Pin(50 595 55 28 "9" 0x01) + Pin(50 665 55 28 "10" 0x01) + Pin(50 735 55 28 "11" 0x01) + Pin(50 805 55 28 "12" 0x01) + Pin(50 875 55 28 "13" 0x01) + Pin(50 945 55 28 "14" 0x01) + Pin(50 1015 55 28 "15" 0x01) + Pin(50 1085 55 28 "16" 0x01) + Pin(50 1155 55 28 "17" 0x01) + Pin(50 1225 55 28 "18" 0x01) + Pin(50 1295 55 28 "19" 0x01) + Pin(50 1365 55 28 "20" 0x01) + Pin(50 1435 55 28 "21" 0x01) + Pin(650 1435 55 28 "22" 0x01) + Pin(650 1365 55 28 "23" 0x01) + Pin(650 1295 55 28 "24" 0x01) + Pin(650 1225 55 28 "25" 0x01) + Pin(650 1155 55 28 "26" 0x01) + Pin(650 1085 55 28 "27" 0x01) + Pin(650 1015 55 28 "28" 0x01) + Pin(650 945 55 28 "29" 0x01) + Pin(650 875 55 28 "30" 0x01) + Pin(650 805 55 28 "31" 0x01) + Pin(650 735 55 28 "32" 0x01) + Pin(650 665 55 28 "33" 0x01) + Pin(650 595 55 28 "34" 0x01) + Pin(650 525 55 28 "35" 0x01) + Pin(650 455 55 28 "36" 0x01) + Pin(650 385 55 28 "37" 0x01) + Pin(650 315 55 28 "38" 0x01) + Pin(650 245 55 28 "39" 0x01) + Pin(650 175 55 28 "40" 0x01) + Pin(650 105 55 28 "41" 0x01) + Pin(650 35 55 28 "42" 0x01) + ElementLine(0 0 0 1470 10) + ElementLine(0 1470 700 1470 10) + ElementLine(700 1470 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 35) +) Index: oldlib/lib/pcblib-newlib/geda/SIP1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP1.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Single in line package" "" "SIP1" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + ElementLine( 0 50 0 50 20) + ElementLine(100 50 100 50 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 50 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP10.fp (revision 1033) @@ -0,0 +1,19 @@ +Element(0x00 "Single in line package" "" "SIP10" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + ElementLine( 0 50 0 950 20) + ElementLine(100 50 100 950 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 950 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP11.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP11.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP11.fp (revision 1033) @@ -0,0 +1,20 @@ +Element(0x00 "Single in line package" "" "SIP11" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + ElementLine( 0 50 0 1050 20) + ElementLine(100 50 100 1050 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 1050 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP12.fp (revision 1033) @@ -0,0 +1,21 @@ +Element(0x00 "Single in line package" "" "SIP12" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + ElementLine( 0 50 0 1150 20) + ElementLine(100 50 100 1150 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 1150 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP13.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP13.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP13.fp (revision 1033) @@ -0,0 +1,22 @@ +Element(0x00 "Single in line package" "" "SIP13" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + ElementLine( 0 50 0 1250 20) + ElementLine(100 50 100 1250 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 1250 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP2.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP2.fp (revision 1033) @@ -0,0 +1,11 @@ +Element(0x00 "Single in line package" "" "SIP2" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + ElementLine( 0 50 0 150 20) + ElementLine(100 50 100 150 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 150 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP3.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP3.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "Single in line package" "" "SIP3" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + ElementLine( 0 50 0 250 20) + ElementLine(100 50 100 250 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 250 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP4.fp (revision 1033) @@ -0,0 +1,13 @@ +Element(0x00 "Single in line package" "" "SIP4" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + ElementLine( 0 50 0 350 20) + ElementLine(100 50 100 350 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 350 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP5.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "Single in line package" "" "SIP5" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + ElementLine( 0 50 0 450 20) + ElementLine(100 50 100 450 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 450 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP6.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "Single in line package" "" "SIP6" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + ElementLine( 0 50 0 550 20) + ElementLine(100 50 100 550 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 550 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP7.fp (revision 1033) @@ -0,0 +1,16 @@ +Element(0x00 "Single in line package" "" "SIP7" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + ElementLine( 0 50 0 650 20) + ElementLine(100 50 100 650 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 650 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP8.fp (revision 1033) @@ -0,0 +1,17 @@ +Element(0x00 "Single in line package" "" "SIP8" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + ElementLine( 0 50 0 750 20) + ElementLine(100 50 100 750 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 750 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SIP9.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SIP9.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SIP9.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "Single in line package" "" "SIP9" 160 10 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + ElementLine( 0 50 0 850 20) + ElementLine(100 50 100 850 20) + ElementLine( 0 100 100 100 10) + ElementArc(50 50 50 50 180 180 20) + ElementArc(50 850 50 50 0 180 20) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/SME10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SME10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SME10.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Surface mount electrolytic capacitor, number is dia in mm" "" "SME10" 0 0 386 0 3 100 0x00) +( + ElementLine(-376 -285 -376 285 20) + ElementLine(-376 285 -255 366 10) + ElementLine(-255 366 366 366 10) + ElementLine(366 366 366 -366 10) + ElementLine(366 -366 -255 -366 10) + ElementLine(-255 -366 -376 -285 10) + Pad(-164 -164 + -164 164 + 243 20 249 "1" "1" 0x00000100) + Pad(164 -164 + 164 164 + 243 20 249 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/SME3.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SME3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SME3.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Surface mount electrolytic capacitor, number is dia in mm" "" "SME3" 0 0 134 0 3 100 0x00) +( + ElementLine(-124 -89 -124 89 20) + ElementLine(-124 89 -87 114 10) + ElementLine(-87 114 114 114 10) + ElementLine(114 114 114 -114 10) + ElementLine(114 -114 -87 -114 10) + ElementLine(-87 -114 -124 -89 10) + Pad(-52 -52 + -52 52 + 75 20 81 "1" "1" 0x00000100) + Pad(52 -52 + 52 52 + 75 20 81 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/SME4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SME4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SME4.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Surface mount electrolytic capacitor, number is dia in mm" "" "SME4" 0 0 170 0 3 100 0x00) +( + ElementLine(-160 -117 -160 117 20) + ElementLine(-160 117 -111 150 10) + ElementLine(-111 150 150 150 10) + ElementLine(150 150 150 -150 10) + ElementLine(150 -150 -111 -150 10) + ElementLine(-111 -150 -160 -117 10) + Pad(-68 -68 + -68 68 + 99 20 105 "1" "1" 0x00000100) + Pad(68 -68 + 68 68 + 99 20 105 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/SME5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SME5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SME5.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Surface mount electrolytic capacitor, number is dia in mm" "" "SME5" 0 0 206 0 3 100 0x00) +( + ElementLine(-196 -145 -196 145 20) + ElementLine(-196 145 -135 186 10) + ElementLine(-135 186 186 186 10) + ElementLine(186 186 186 -186 10) + ElementLine(186 -186 -135 -186 10) + ElementLine(-135 -186 -196 -145 10) + Pad(-83 -83 + -83 83 + 123 20 129 "1" "1" 0x00000100) + Pad(83 -83 + 83 83 + 123 20 129 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/SME6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SME6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SME6.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Surface mount electrolytic capacitor, number is dia in mm" "" "SME6" 0 0 251 0 3 100 0x00) +( + ElementLine(-241 -180 -241 180 20) + ElementLine(-241 180 -165 231 10) + ElementLine(-165 231 231 231 10) + ElementLine(231 231 231 -231 10) + ElementLine(231 -231 -165 -231 10) + ElementLine(-165 -231 -241 -180 10) + Pad(-104 -104 + -104 104 + 153 20 159 "1" "1" 0x00000100) + Pad(104 -104 + 104 104 + 153 20 159 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/SME8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SME8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SME8.fp (revision 1033) @@ -0,0 +1,17 @@ + # how much to grow the pads by for soldermask + # clearance from planes +Element(0x00 "Surface mount electrolytic capacitor, number is dia in mm" "" "SME8" 0 0 317 0 3 100 0x00) +( + ElementLine(-307 -231 -307 231 20) + ElementLine(-307 231 -208 297 10) + ElementLine(-208 297 297 297 10) + ElementLine(297 297 297 -297 10) + ElementLine(297 -297 -208 -297 10) + ElementLine(-208 -297 -307 -231 10) + Pad(-132 -132 + -132 132 + 198 20 204 "1" "1" 0x00000100) + Pad(132 -132 + 132 132 + 198 20 204 "2" "2" 0x00000100) +) Index: oldlib/lib/pcblib-newlib/geda/SO14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO14.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, narrow (150mil)" "" "SO14" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13500 -15000 + -7000 -15000 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -13500 -10000 + -7000 -10000 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -13500 -5000 + -7000 -5000 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -13500 0 + -7000 0 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -13500 5000 + -7000 5000 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -13500 10000 + -7000 10000 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -13500 15000 + -7000 15000 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ 13500 15000 + 7000 15000 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ 13500 10000 + 7000 10000 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ 13500 5000 + 7000 5000 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 13500 0 + 7000 0 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 13500 -5000 + 7000 -5000 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 13500 -10000 + 7000 -10000 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 13500 -15000 + 7000 -15000 + 2000 1000 3000 "14" "14" 0x00000100] + ElementLine[-15500 -17000 -15500 17000 1000] + ElementLine[-15500 17000 15500 17000 1000] + ElementLine[ 15500 17000 15500 -17000 1000] + ElementLine[-15500 -17000 -2500 -17000 1000] + ElementLine[ 15500 -17000 2500 -17000 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -17000 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO14W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO14W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO14W.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO14W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -15000 + -15000 -15000 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -10000 + -15000 -10000 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 -5000 + -15000 -5000 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 0 + -15000 0 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -21000 5000 + -15000 5000 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -21000 10000 + -15000 10000 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -21000 15000 + -15000 15000 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ 21000 15000 + 15000 15000 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ 21000 10000 + 15000 10000 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ 21000 5000 + 15000 5000 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 21000 0 + 15000 0 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 21000 -5000 + 15000 -5000 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 21000 -10000 + 15000 -10000 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 21000 -15000 + 15000 -15000 + 2000 1000 3000 "14" "14" 0x00000100] + ElementLine[-23000 -17000 -23000 17000 1000] + ElementLine[-23000 17000 23000 17000 1000] + ElementLine[ 23000 17000 23000 -17000 1000] + ElementLine[-23000 -17000 -2500 -17000 1000] + ElementLine[ 23000 -17000 2500 -17000 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -17000 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO16.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, narrow (150mil)" "" "SO16" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13500 -17500 + -7000 -17500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -13500 -12500 + -7000 -12500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -13500 -7500 + -7000 -7500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -13500 -2500 + -7000 -2500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -13500 2500 + -7000 2500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -13500 7500 + -7000 7500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -13500 12500 + -7000 12500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -13500 17500 + -7000 17500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ 13500 17500 + 7000 17500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ 13500 12500 + 7000 12500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 13500 7500 + 7000 7500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 13500 2500 + 7000 2500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 13500 -2500 + 7000 -2500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 13500 -7500 + 7000 -7500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 13500 -12500 + 7000 -12500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 13500 -17500 + 7000 -17500 + 2000 1000 3000 "16" "16" 0x00000100] + ElementLine[-15500 -19500 -15500 19500 1000] + ElementLine[-15500 19500 15500 19500 1000] + ElementLine[ 15500 19500 15500 -19500 1000] + ElementLine[-15500 -19500 -2500 -19500 1000] + ElementLine[ 15500 -19500 2500 -19500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO16W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO16W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO16W.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO16W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -17500 + -15000 -17500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -12500 + -15000 -12500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 -7500 + -15000 -7500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 -2500 + -15000 -2500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -21000 2500 + -15000 2500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -21000 7500 + -15000 7500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -21000 12500 + -15000 12500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -21000 17500 + -15000 17500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ 21000 17500 + 15000 17500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ 21000 12500 + 15000 12500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 21000 7500 + 15000 7500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 21000 2500 + 15000 2500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 21000 -2500 + 15000 -2500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 21000 -7500 + 15000 -7500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 21000 -12500 + 15000 -12500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 21000 -17500 + 15000 -17500 + 2000 1000 3000 "16" "16" 0x00000100] + ElementLine[-23000 -19500 -23000 19500 1000] + ElementLine[-23000 19500 23000 19500 1000] + ElementLine[ 23000 19500 23000 -19500 1000] + ElementLine[-23000 -19500 -2500 -19500 1000] + ElementLine[ 23000 -19500 2500 -19500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO18M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO18M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO18M.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, medium (200mil)" "" "SO18M" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -16000 -20000 + -9500 -20000 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -16000 -15000 + -9500 -15000 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -16000 -10000 + -9500 -10000 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -16000 -5000 + -9500 -5000 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -16000 0 + -9500 0 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -16000 5000 + -9500 5000 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -16000 10000 + -9500 10000 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -16000 15000 + -9500 15000 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -16000 20000 + -9500 20000 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ 16000 20000 + 9500 20000 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 16000 15000 + 9500 15000 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 16000 10000 + 9500 10000 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 16000 5000 + 9500 5000 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 16000 0 + 9500 0 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 16000 -5000 + 9500 -5000 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 16000 -10000 + 9500 -10000 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 16000 -15000 + 9500 -15000 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 16000 -20000 + 9500 -20000 + 2000 1000 3000 "18" "18" 0x00000100] + ElementLine[-18000 -22000 -18000 22000 1000] + ElementLine[-18000 22000 18000 22000 1000] + ElementLine[ 18000 22000 18000 -22000 1000] + ElementLine[-18000 -22000 -2500 -22000 1000] + ElementLine[ 18000 -22000 2500 -22000 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22000 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO18N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO18N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO18N.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, narrow (150mil)" "" "SO18N" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13500 -20000 + -7000 -20000 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -13500 -15000 + -7000 -15000 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -13500 -10000 + -7000 -10000 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -13500 -5000 + -7000 -5000 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -13500 0 + -7000 0 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -13500 5000 + -7000 5000 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -13500 10000 + -7000 10000 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -13500 15000 + -7000 15000 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -13500 20000 + -7000 20000 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ 13500 20000 + 7000 20000 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 13500 15000 + 7000 15000 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 13500 10000 + 7000 10000 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 13500 5000 + 7000 5000 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 13500 0 + 7000 0 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 13500 -5000 + 7000 -5000 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 13500 -10000 + 7000 -10000 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 13500 -15000 + 7000 -15000 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 13500 -20000 + 7000 -20000 + 2000 1000 3000 "18" "18" 0x00000100] + ElementLine[-15500 -22000 -15500 22000 1000] + ElementLine[-15500 22000 15500 22000 1000] + ElementLine[ 15500 22000 15500 -22000 1000] + ElementLine[-15500 -22000 -2500 -22000 1000] + ElementLine[ 15500 -22000 2500 -22000 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22000 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO18W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO18W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO18W.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO18W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -20000 + -15000 -20000 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -15000 + -15000 -15000 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 -10000 + -15000 -10000 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 -5000 + -15000 -5000 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -21000 0 + -15000 0 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -21000 5000 + -15000 5000 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -21000 10000 + -15000 10000 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -21000 15000 + -15000 15000 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -21000 20000 + -15000 20000 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ 21000 20000 + 15000 20000 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 21000 15000 + 15000 15000 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 21000 10000 + 15000 10000 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 21000 5000 + 15000 5000 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 21000 0 + 15000 0 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 21000 -5000 + 15000 -5000 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 21000 -10000 + 15000 -10000 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 21000 -15000 + 15000 -15000 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 21000 -20000 + 15000 -20000 + 2000 1000 3000 "18" "18" 0x00000100] + ElementLine[-23000 -22000 -23000 22000 1000] + ElementLine[-23000 22000 23000 22000 1000] + ElementLine[ 23000 22000 23000 -22000 1000] + ElementLine[-23000 -22000 -2500 -22000 1000] + ElementLine[ 23000 -22000 2500 -22000 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22000 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO20M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO20M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO20M.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, medium (200mil)" "" "SO20M" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -16000 -22500 + -9500 -22500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -16000 -17500 + -9500 -17500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -16000 -12500 + -9500 -12500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -16000 -7500 + -9500 -7500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -16000 -2500 + -9500 -2500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -16000 2500 + -9500 2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -16000 7500 + -9500 7500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -16000 12500 + -9500 12500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -16000 17500 + -9500 17500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -16000 22500 + -9500 22500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 16000 22500 + 9500 22500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 16000 17500 + 9500 17500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 16000 12500 + 9500 12500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 16000 7500 + 9500 7500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 16000 2500 + 9500 2500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 16000 -2500 + 9500 -2500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 16000 -7500 + 9500 -7500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 16000 -12500 + 9500 -12500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 16000 -17500 + 9500 -17500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 16000 -22500 + 9500 -22500 + 2000 1000 3000 "20" "20" 0x00000100] + ElementLine[-18000 -24500 -18000 24500 1000] + ElementLine[-18000 24500 18000 24500 1000] + ElementLine[ 18000 24500 18000 -24500 1000] + ElementLine[-18000 -24500 -2500 -24500 1000] + ElementLine[ 18000 -24500 2500 -24500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO20N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO20N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO20N.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, narrow (150mil)" "" "SO20N" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13500 -22500 + -7000 -22500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -13500 -17500 + -7000 -17500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -13500 -12500 + -7000 -12500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -13500 -7500 + -7000 -7500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -13500 -2500 + -7000 -2500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -13500 2500 + -7000 2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -13500 7500 + -7000 7500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -13500 12500 + -7000 12500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -13500 17500 + -7000 17500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -13500 22500 + -7000 22500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 13500 22500 + 7000 22500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 13500 17500 + 7000 17500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 13500 12500 + 7000 12500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 13500 7500 + 7000 7500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 13500 2500 + 7000 2500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 13500 -2500 + 7000 -2500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 13500 -7500 + 7000 -7500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 13500 -12500 + 7000 -12500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 13500 -17500 + 7000 -17500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 13500 -22500 + 7000 -22500 + 2000 1000 3000 "20" "20" 0x00000100] + ElementLine[-15500 -24500 -15500 24500 1000] + ElementLine[-15500 24500 15500 24500 1000] + ElementLine[ 15500 24500 15500 -24500 1000] + ElementLine[-15500 -24500 -2500 -24500 1000] + ElementLine[ 15500 -24500 2500 -24500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO20W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO20W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO20W.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO20W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -22500 + -15000 -22500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -17500 + -15000 -17500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 -12500 + -15000 -12500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 -7500 + -15000 -7500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -21000 -2500 + -15000 -2500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -21000 2500 + -15000 2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -21000 7500 + -15000 7500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -21000 12500 + -15000 12500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -21000 17500 + -15000 17500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -21000 22500 + -15000 22500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ 21000 22500 + 15000 22500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 21000 17500 + 15000 17500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 21000 12500 + 15000 12500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 21000 7500 + 15000 7500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 21000 2500 + 15000 2500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 21000 -2500 + 15000 -2500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 21000 -7500 + 15000 -7500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 21000 -12500 + 15000 -12500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 21000 -17500 + 15000 -17500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 21000 -22500 + 15000 -22500 + 2000 1000 3000 "20" "20" 0x00000100] + ElementLine[-23000 -24500 -23000 24500 1000] + ElementLine[-23000 24500 23000 24500 1000] + ElementLine[ 23000 24500 23000 -24500 1000] + ElementLine[-23000 -24500 -2500 -24500 1000] + ElementLine[ 23000 -24500 2500 -24500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO22M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO22M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO22M.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, medium (200mil)" "" "SO22M" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -16000 -25000 + -9500 -25000 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -16000 -20000 + -9500 -20000 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -16000 -15000 + -9500 -15000 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -16000 -10000 + -9500 -10000 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -16000 -5000 + -9500 -5000 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -16000 0 + -9500 0 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -16000 5000 + -9500 5000 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -16000 10000 + -9500 10000 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -16000 15000 + -9500 15000 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -16000 20000 + -9500 20000 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -16000 25000 + -9500 25000 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ 16000 25000 + 9500 25000 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 16000 20000 + 9500 20000 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 16000 15000 + 9500 15000 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 16000 10000 + 9500 10000 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 16000 5000 + 9500 5000 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 16000 0 + 9500 0 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 16000 -5000 + 9500 -5000 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 16000 -10000 + 9500 -10000 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 16000 -15000 + 9500 -15000 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ 16000 -20000 + 9500 -20000 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ 16000 -25000 + 9500 -25000 + 2000 1000 3000 "22" "22" 0x00000100] + ElementLine[-18000 -27000 -18000 27000 1000] + ElementLine[-18000 27000 18000 27000 1000] + ElementLine[ 18000 27000 18000 -27000 1000] + ElementLine[-18000 -27000 -2500 -27000 1000] + ElementLine[ 18000 -27000 2500 -27000 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -27000 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO24M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO24M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO24M.fp (revision 1033) @@ -0,0 +1,97 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, medium (200mil)" "" "SO24M" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -16000 -27500 + -9500 -27500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -16000 -22500 + -9500 -22500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -16000 -17500 + -9500 -17500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -16000 -12500 + -9500 -12500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -16000 -7500 + -9500 -7500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -16000 -2500 + -9500 -2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -16000 2500 + -9500 2500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -16000 7500 + -9500 7500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -16000 12500 + -9500 12500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -16000 17500 + -9500 17500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -16000 22500 + -9500 22500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ -16000 27500 + -9500 27500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 16000 27500 + 9500 27500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 16000 22500 + 9500 22500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 16000 17500 + 9500 17500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 16000 12500 + 9500 12500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 16000 7500 + 9500 7500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 16000 2500 + 9500 2500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 16000 -2500 + 9500 -2500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 16000 -7500 + 9500 -7500 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ 16000 -12500 + 9500 -12500 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ 16000 -17500 + 9500 -17500 + 2000 1000 3000 "22" "22" 0x00000100] + Pad[ 16000 -22500 + 9500 -22500 + 2000 1000 3000 "23" "23" 0x00000100] + Pad[ 16000 -27500 + 9500 -27500 + 2000 1000 3000 "24" "24" 0x00000100] + ElementLine[-18000 -29500 -18000 29500 1000] + ElementLine[-18000 29500 18000 29500 1000] + ElementLine[ 18000 29500 18000 -29500 1000] + ElementLine[-18000 -29500 -2500 -29500 1000] + ElementLine[ 18000 -29500 2500 -29500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -29500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO24W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO24W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO24W.fp (revision 1033) @@ -0,0 +1,97 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO24W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -27500 + -15000 -27500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -22500 + -15000 -22500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 -17500 + -15000 -17500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 -12500 + -15000 -12500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -21000 -7500 + -15000 -7500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -21000 -2500 + -15000 -2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -21000 2500 + -15000 2500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -21000 7500 + -15000 7500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -21000 12500 + -15000 12500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -21000 17500 + -15000 17500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -21000 22500 + -15000 22500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ -21000 27500 + -15000 27500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ 21000 27500 + 15000 27500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ 21000 22500 + 15000 22500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 21000 17500 + 15000 17500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 21000 12500 + 15000 12500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 21000 7500 + 15000 7500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 21000 2500 + 15000 2500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 21000 -2500 + 15000 -2500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 21000 -7500 + 15000 -7500 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ 21000 -12500 + 15000 -12500 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ 21000 -17500 + 15000 -17500 + 2000 1000 3000 "22" "22" 0x00000100] + Pad[ 21000 -22500 + 15000 -22500 + 2000 1000 3000 "23" "23" 0x00000100] + Pad[ 21000 -27500 + 15000 -27500 + 2000 1000 3000 "24" "24" 0x00000100] + ElementLine[-23000 -29500 -23000 29500 1000] + ElementLine[-23000 29500 23000 29500 1000] + ElementLine[ 23000 29500 23000 -29500 1000] + ElementLine[-23000 -29500 -2500 -29500 1000] + ElementLine[ 23000 -29500 2500 -29500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -29500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO28.fp (revision 1033) @@ -0,0 +1,109 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO28" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -32500 + -15000 -32500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -27500 + -15000 -27500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 -22500 + -15000 -22500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 -17500 + -15000 -17500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -21000 -12500 + -15000 -12500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -21000 -7500 + -15000 -7500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -21000 -2500 + -15000 -2500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -21000 2500 + -15000 2500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -21000 7500 + -15000 7500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -21000 12500 + -15000 12500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -21000 17500 + -15000 17500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ -21000 22500 + -15000 22500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ -21000 27500 + -15000 27500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ -21000 32500 + -15000 32500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 21000 32500 + 15000 32500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 21000 27500 + 15000 27500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 21000 22500 + 15000 22500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 21000 17500 + 15000 17500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 21000 12500 + 15000 12500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 21000 7500 + 15000 7500 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ 21000 2500 + 15000 2500 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ 21000 -2500 + 15000 -2500 + 2000 1000 3000 "22" "22" 0x00000100] + Pad[ 21000 -7500 + 15000 -7500 + 2000 1000 3000 "23" "23" 0x00000100] + Pad[ 21000 -12500 + 15000 -12500 + 2000 1000 3000 "24" "24" 0x00000100] + Pad[ 21000 -17500 + 15000 -17500 + 2000 1000 3000 "25" "25" 0x00000100] + Pad[ 21000 -22500 + 15000 -22500 + 2000 1000 3000 "26" "26" 0x00000100] + Pad[ 21000 -27500 + 15000 -27500 + 2000 1000 3000 "27" "27" 0x00000100] + Pad[ 21000 -32500 + 15000 -32500 + 2000 1000 3000 "28" "28" 0x00000100] + ElementLine[-23000 -34500 -23000 34500 1000] + ElementLine[-23000 34500 23000 34500 1000] + ElementLine[ 23000 34500 23000 -34500 1000] + ElementLine[-23000 -34500 -2500 -34500 1000] + ElementLine[ 23000 -34500 2500 -34500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -34500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO28W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO28W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO28W.fp (revision 1033) @@ -0,0 +1,109 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wider (325mil)" "" "SO28W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22250 -32500 + -16250 -32500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -22250 -27500 + -16250 -27500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -22250 -22500 + -16250 -22500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -22250 -17500 + -16250 -17500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -22250 -12500 + -16250 -12500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -22250 -7500 + -16250 -7500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -22250 -2500 + -16250 -2500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -22250 2500 + -16250 2500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -22250 7500 + -16250 7500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -22250 12500 + -16250 12500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -22250 17500 + -16250 17500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ -22250 22500 + -16250 22500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ -22250 27500 + -16250 27500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ -22250 32500 + -16250 32500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ 22250 32500 + 16250 32500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ 22250 27500 + 16250 27500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 22250 22500 + 16250 22500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 22250 17500 + 16250 17500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 22250 12500 + 16250 12500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 22250 7500 + 16250 7500 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ 22250 2500 + 16250 2500 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ 22250 -2500 + 16250 -2500 + 2000 1000 3000 "22" "22" 0x00000100] + Pad[ 22250 -7500 + 16250 -7500 + 2000 1000 3000 "23" "23" 0x00000100] + Pad[ 22250 -12500 + 16250 -12500 + 2000 1000 3000 "24" "24" 0x00000100] + Pad[ 22250 -17500 + 16250 -17500 + 2000 1000 3000 "25" "25" 0x00000100] + Pad[ 22250 -22500 + 16250 -22500 + 2000 1000 3000 "26" "26" 0x00000100] + Pad[ 22250 -27500 + 16250 -27500 + 2000 1000 3000 "27" "27" 0x00000100] + Pad[ 22250 -32500 + 16250 -32500 + 2000 1000 3000 "28" "28" 0x00000100] + ElementLine[-24250 -34500 -24250 34500 1000] + ElementLine[-24250 34500 24250 34500 1000] + ElementLine[ 24250 34500 24250 -34500 1000] + ElementLine[-24250 -34500 -2500 -34500 1000] + ElementLine[ 24250 -34500 2500 -34500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -34500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO32.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO32.fp (revision 1033) @@ -0,0 +1,121 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO32" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -37500 + -15000 -37500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -32500 + -15000 -32500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 -27500 + -15000 -27500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 -22500 + -15000 -22500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -21000 -17500 + -15000 -17500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -21000 -12500 + -15000 -12500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -21000 -7500 + -15000 -7500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -21000 -2500 + -15000 -2500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -21000 2500 + -15000 2500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -21000 7500 + -15000 7500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -21000 12500 + -15000 12500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ -21000 17500 + -15000 17500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ -21000 22500 + -15000 22500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ -21000 27500 + -15000 27500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ -21000 32500 + -15000 32500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ -21000 37500 + -15000 37500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 21000 37500 + 15000 37500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 21000 32500 + 15000 32500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 21000 27500 + 15000 27500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 21000 22500 + 15000 22500 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ 21000 17500 + 15000 17500 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ 21000 12500 + 15000 12500 + 2000 1000 3000 "22" "22" 0x00000100] + Pad[ 21000 7500 + 15000 7500 + 2000 1000 3000 "23" "23" 0x00000100] + Pad[ 21000 2500 + 15000 2500 + 2000 1000 3000 "24" "24" 0x00000100] + Pad[ 21000 -2500 + 15000 -2500 + 2000 1000 3000 "25" "25" 0x00000100] + Pad[ 21000 -7500 + 15000 -7500 + 2000 1000 3000 "26" "26" 0x00000100] + Pad[ 21000 -12500 + 15000 -12500 + 2000 1000 3000 "27" "27" 0x00000100] + Pad[ 21000 -17500 + 15000 -17500 + 2000 1000 3000 "28" "28" 0x00000100] + Pad[ 21000 -22500 + 15000 -22500 + 2000 1000 3000 "29" "29" 0x00000100] + Pad[ 21000 -27500 + 15000 -27500 + 2000 1000 3000 "30" "30" 0x00000100] + Pad[ 21000 -32500 + 15000 -32500 + 2000 1000 3000 "31" "31" 0x00000100] + Pad[ 21000 -37500 + 15000 -37500 + 2000 1000 3000 "32" "32" 0x00000100] + ElementLine[-23000 -39500 -23000 39500 1000] + ElementLine[-23000 39500 23000 39500 1000] + ElementLine[ 23000 39500 23000 -39500 1000] + ElementLine[-23000 -39500 -2500 -39500 1000] + ElementLine[ 23000 -39500 2500 -39500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -39500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO32W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO32W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO32W.fp (revision 1033) @@ -0,0 +1,121 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wider (450mil)" "" "SO32W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -28500 -37500 + -22500 -37500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -28500 -32500 + -22500 -32500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -28500 -27500 + -22500 -27500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -28500 -22500 + -22500 -22500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -28500 -17500 + -22500 -17500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -28500 -12500 + -22500 -12500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -28500 -7500 + -22500 -7500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -28500 -2500 + -22500 -2500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -28500 2500 + -22500 2500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -28500 7500 + -22500 7500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -28500 12500 + -22500 12500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ -28500 17500 + -22500 17500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ -28500 22500 + -22500 22500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ -28500 27500 + -22500 27500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ -28500 32500 + -22500 32500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ -28500 37500 + -22500 37500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ 28500 37500 + 22500 37500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ 28500 32500 + 22500 32500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ 28500 27500 + 22500 27500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ 28500 22500 + 22500 22500 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ 28500 17500 + 22500 17500 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ 28500 12500 + 22500 12500 + 2000 1000 3000 "22" "22" 0x00000100] + Pad[ 28500 7500 + 22500 7500 + 2000 1000 3000 "23" "23" 0x00000100] + Pad[ 28500 2500 + 22500 2500 + 2000 1000 3000 "24" "24" 0x00000100] + Pad[ 28500 -2500 + 22500 -2500 + 2000 1000 3000 "25" "25" 0x00000100] + Pad[ 28500 -7500 + 22500 -7500 + 2000 1000 3000 "26" "26" 0x00000100] + Pad[ 28500 -12500 + 22500 -12500 + 2000 1000 3000 "27" "27" 0x00000100] + Pad[ 28500 -17500 + 22500 -17500 + 2000 1000 3000 "28" "28" 0x00000100] + Pad[ 28500 -22500 + 22500 -22500 + 2000 1000 3000 "29" "29" 0x00000100] + Pad[ 28500 -27500 + 22500 -27500 + 2000 1000 3000 "30" "30" 0x00000100] + Pad[ 28500 -32500 + 22500 -32500 + 2000 1000 3000 "31" "31" 0x00000100] + Pad[ 28500 -37500 + 22500 -37500 + 2000 1000 3000 "32" "32" 0x00000100] + ElementLine[-30500 -39500 -30500 39500 1000] + ElementLine[-30500 39500 30500 39500 1000] + ElementLine[ 30500 39500 30500 -39500 1000] + ElementLine[-30500 -39500 -2500 -39500 1000] + ElementLine[ 30500 -39500 2500 -39500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -39500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO44.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO44.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO44.fp (revision 1033) @@ -0,0 +1,157 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (525mil)" "" "SO44" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -32250 -52500 + -26250 -52500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -32250 -47500 + -26250 -47500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -32250 -42500 + -26250 -42500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -32250 -37500 + -26250 -37500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ -32250 -32500 + -26250 -32500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ -32250 -27500 + -26250 -27500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ -32250 -22500 + -26250 -22500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ -32250 -17500 + -26250 -17500 + 2000 1000 3000 "8" "8" 0x00000100] + Pad[ -32250 -12500 + -26250 -12500 + 2000 1000 3000 "9" "9" 0x00000100] + Pad[ -32250 -7500 + -26250 -7500 + 2000 1000 3000 "10" "10" 0x00000100] + Pad[ -32250 -2500 + -26250 -2500 + 2000 1000 3000 "11" "11" 0x00000100] + Pad[ -32250 2500 + -26250 2500 + 2000 1000 3000 "12" "12" 0x00000100] + Pad[ -32250 7500 + -26250 7500 + 2000 1000 3000 "13" "13" 0x00000100] + Pad[ -32250 12500 + -26250 12500 + 2000 1000 3000 "14" "14" 0x00000100] + Pad[ -32250 17500 + -26250 17500 + 2000 1000 3000 "15" "15" 0x00000100] + Pad[ -32250 22500 + -26250 22500 + 2000 1000 3000 "16" "16" 0x00000100] + Pad[ -32250 27500 + -26250 27500 + 2000 1000 3000 "17" "17" 0x00000100] + Pad[ -32250 32500 + -26250 32500 + 2000 1000 3000 "18" "18" 0x00000100] + Pad[ -32250 37500 + -26250 37500 + 2000 1000 3000 "19" "19" 0x00000100] + Pad[ -32250 42500 + -26250 42500 + 2000 1000 3000 "20" "20" 0x00000100] + Pad[ -32250 47500 + -26250 47500 + 2000 1000 3000 "21" "21" 0x00000100] + Pad[ -32250 52500 + -26250 52500 + 2000 1000 3000 "22" "22" 0x00000100] + Pad[ 32250 52500 + 26250 52500 + 2000 1000 3000 "23" "23" 0x00000100] + Pad[ 32250 47500 + 26250 47500 + 2000 1000 3000 "24" "24" 0x00000100] + Pad[ 32250 42500 + 26250 42500 + 2000 1000 3000 "25" "25" 0x00000100] + Pad[ 32250 37500 + 26250 37500 + 2000 1000 3000 "26" "26" 0x00000100] + Pad[ 32250 32500 + 26250 32500 + 2000 1000 3000 "27" "27" 0x00000100] + Pad[ 32250 27500 + 26250 27500 + 2000 1000 3000 "28" "28" 0x00000100] + Pad[ 32250 22500 + 26250 22500 + 2000 1000 3000 "29" "29" 0x00000100] + Pad[ 32250 17500 + 26250 17500 + 2000 1000 3000 "30" "30" 0x00000100] + Pad[ 32250 12500 + 26250 12500 + 2000 1000 3000 "31" "31" 0x00000100] + Pad[ 32250 7500 + 26250 7500 + 2000 1000 3000 "32" "32" 0x00000100] + Pad[ 32250 2500 + 26250 2500 + 2000 1000 3000 "33" "33" 0x00000100] + Pad[ 32250 -2500 + 26250 -2500 + 2000 1000 3000 "34" "34" 0x00000100] + Pad[ 32250 -7500 + 26250 -7500 + 2000 1000 3000 "35" "35" 0x00000100] + Pad[ 32250 -12500 + 26250 -12500 + 2000 1000 3000 "36" "36" 0x00000100] + Pad[ 32250 -17500 + 26250 -17500 + 2000 1000 3000 "37" "37" 0x00000100] + Pad[ 32250 -22500 + 26250 -22500 + 2000 1000 3000 "38" "38" 0x00000100] + Pad[ 32250 -27500 + 26250 -27500 + 2000 1000 3000 "39" "39" 0x00000100] + Pad[ 32250 -32500 + 26250 -32500 + 2000 1000 3000 "40" "40" 0x00000100] + Pad[ 32250 -37500 + 26250 -37500 + 2000 1000 3000 "41" "41" 0x00000100] + Pad[ 32250 -42500 + 26250 -42500 + 2000 1000 3000 "42" "42" 0x00000100] + Pad[ 32250 -47500 + 26250 -47500 + 2000 1000 3000 "43" "43" 0x00000100] + Pad[ 32250 -52500 + 26250 -52500 + 2000 1000 3000 "44" "44" 0x00000100] + ElementLine[-34250 -54500 -34250 54500 1000] + ElementLine[-34250 54500 34250 54500 1000] + ElementLine[ 34250 54500 34250 -54500 1000] + ElementLine[-34250 -54500 -2500 -54500 1000] + ElementLine[ 34250 -54500 2500 -54500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -54500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO8.fp (revision 1033) @@ -0,0 +1,49 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, narrow (150mil)" "" "SO8" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13500 -7500 + -7000 -7500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -13500 -2500 + -7000 -2500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -13500 2500 + -7000 2500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -13500 7500 + -7000 7500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ 13500 7500 + 7000 7500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ 13500 2500 + 7000 2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ 13500 -2500 + 7000 -2500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ 13500 -7500 + 7000 -7500 + 2000 1000 3000 "8" "8" 0x00000100] + ElementLine[-15500 -9500 -15500 9500 1000] + ElementLine[-15500 9500 15500 9500 1000] + ElementLine[ 15500 9500 15500 -9500 1000] + ElementLine[-15500 -9500 -2500 -9500 1000] + ElementLine[ 15500 -9500 2500 -9500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -9500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO8M.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO8M.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO8M.fp (revision 1033) @@ -0,0 +1,49 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, medium (200mil)" "" "SO8M" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -16000 -7500 + -9500 -7500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -16000 -2500 + -9500 -2500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -16000 2500 + -9500 2500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -16000 7500 + -9500 7500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ 16000 7500 + 9500 7500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ 16000 2500 + 9500 2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ 16000 -2500 + 9500 -2500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ 16000 -7500 + 9500 -7500 + 2000 1000 3000 "8" "8" 0x00000100] + ElementLine[-18000 -9500 -18000 9500 1000] + ElementLine[-18000 9500 18000 9500 1000] + ElementLine[ 18000 9500 18000 -9500 1000] + ElementLine[-18000 -9500 -2500 -9500 1000] + ElementLine[ 18000 -9500 2500 -9500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -9500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SO8W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SO8W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SO8W.fp (revision 1033) @@ -0,0 +1,49 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline package, wide (300mil)" "" "SO8W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -21000 -7500 + -15000 -7500 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -21000 -2500 + -15000 -2500 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -21000 2500 + -15000 2500 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ -21000 7500 + -15000 7500 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ 21000 7500 + 15000 7500 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ 21000 2500 + 15000 2500 + 2000 1000 3000 "6" "6" 0x00000100] + Pad[ 21000 -2500 + 15000 -2500 + 2000 1000 3000 "7" "7" 0x00000100] + Pad[ 21000 -7500 + 15000 -7500 + 2000 1000 3000 "8" "8" 0x00000100] + ElementLine[-23000 -9500 -23000 9500 1000] + ElementLine[-23000 9500 23000 9500 1000] + ElementLine[ 23000 9500 23000 -9500 1000] + ElementLine[-23000 -9500 -2500 -9500 1000] + ElementLine[ 23000 -9500 2500 -9500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -9500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ14_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ14_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ14_300.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ14_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "14" "14" 0x00000100] + ElementLine[-19503 -17181 -19503 17181 1000] + ElementLine[-19503 17181 19503 17181 1000] + ElementLine[ 19503 17181 19503 -17181 1000] + ElementLine[-19503 -17181 -2500 -17181 1000] + ElementLine[ 19503 -17181 2500 -17181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -17181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ14_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ14_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ14_350.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ14_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "14" "14" 0x00000100] + ElementLine[-21866 -17181 -21866 17181 1000] + ElementLine[-21866 17181 21866 17181 1000] + ElementLine[ 21866 17181 21866 -17181 1000] + ElementLine[-21866 -17181 -2500 -17181 1000] + ElementLine[ 21866 -17181 2500 -17181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -17181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ14_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ14_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ14_400.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ14_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "14" "14" 0x00000100] + ElementLine[-24228 -17181 -24228 17181 1000] + ElementLine[-24228 17181 24228 17181 1000] + ElementLine[ 24228 17181 24228 -17181 1000] + ElementLine[-24228 -17181 -2500 -17181 1000] + ElementLine[ 24228 -17181 2500 -17181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -17181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ14_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ14_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ14_450.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ14_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "14" "14" 0x00000100] + ElementLine[-26984 -17181 -26984 17181 1000] + ElementLine[-26984 17181 26984 17181 1000] + ElementLine[ 26984 17181 26984 -17181 1000] + ElementLine[-26984 -17181 -2500 -17181 1000] + ElementLine[ 26984 -17181 2500 -17181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -17181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ16_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ16_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ16_300.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ16_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "16" "16" 0x00000100] + ElementLine[-19503 -19681 -19503 19681 1000] + ElementLine[-19503 19681 19503 19681 1000] + ElementLine[ 19503 19681 19503 -19681 1000] + ElementLine[-19503 -19681 -2500 -19681 1000] + ElementLine[ 19503 -19681 2500 -19681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ16_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ16_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ16_350.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ16_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "16" "16" 0x00000100] + ElementLine[-21866 -19681 -21866 19681 1000] + ElementLine[-21866 19681 21866 19681 1000] + ElementLine[ 21866 19681 21866 -19681 1000] + ElementLine[-21866 -19681 -2500 -19681 1000] + ElementLine[ 21866 -19681 2500 -19681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ16_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ16_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ16_400.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ16_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "16" "16" 0x00000100] + ElementLine[-24228 -19681 -24228 19681 1000] + ElementLine[-24228 19681 24228 19681 1000] + ElementLine[ 24228 19681 24228 -19681 1000] + ElementLine[-24228 -19681 -2500 -19681 1000] + ElementLine[ 24228 -19681 2500 -19681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ16_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ16_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ16_450.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ16_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "16" "16" 0x00000100] + ElementLine[-26984 -19681 -26984 19681 1000] + ElementLine[-26984 19681 26984 19681 1000] + ElementLine[ 26984 19681 26984 -19681 1000] + ElementLine[-26984 -19681 -2500 -19681 1000] + ElementLine[ 26984 -19681 2500 -19681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ18_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ18_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ18_300.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ18_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -20000 + -11023 -20000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 20000 + -11023 20000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 17322 20000 + 11023 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 -20000 + 11023 -20000 + 2362 1000 3362 "18" "18" 0x00000100] + ElementLine[-19503 -22181 -19503 22181 1000] + ElementLine[-19503 22181 19503 22181 1000] + ElementLine[ 19503 22181 19503 -22181 1000] + ElementLine[-19503 -22181 -2500 -22181 1000] + ElementLine[ 19503 -22181 2500 -22181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ18_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ18_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ18_350.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ18_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -20000 + -13385 -20000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 20000 + -13385 20000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 19685 20000 + 13385 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 -20000 + 13385 -20000 + 2362 1000 3362 "18" "18" 0x00000100] + ElementLine[-21866 -22181 -21866 22181 1000] + ElementLine[-21866 22181 21866 22181 1000] + ElementLine[ 21866 22181 21866 -22181 1000] + ElementLine[-21866 -22181 -2500 -22181 1000] + ElementLine[ 21866 -22181 2500 -22181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ18_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ18_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ18_400.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ18_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -20000 + -15748 -20000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 20000 + -15748 20000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 22047 20000 + 15748 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 -20000 + 15748 -20000 + 2362 1000 3362 "18" "18" 0x00000100] + ElementLine[-24228 -22181 -24228 22181 1000] + ElementLine[-24228 22181 24228 22181 1000] + ElementLine[ 24228 22181 24228 -22181 1000] + ElementLine[-24228 -22181 -2500 -22181 1000] + ElementLine[ 24228 -22181 2500 -22181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ18_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ18_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ18_450.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ18_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -20000 + -18503 -20000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 20000 + -18503 20000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ 24803 20000 + 18503 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 -20000 + 18503 -20000 + 2362 1000 3362 "18" "18" 0x00000100] + ElementLine[-26984 -22181 -26984 22181 1000] + ElementLine[-26984 22181 26984 22181 1000] + ElementLine[ 26984 22181 26984 -22181 1000] + ElementLine[-26984 -22181 -2500 -22181 1000] + ElementLine[ 26984 -22181 2500 -22181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ20_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ20_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ20_300.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ20_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -22500 + -11023 -22500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 22500 + -11023 22500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 17322 22500 + 11023 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 -22500 + 11023 -22500 + 2362 1000 3362 "20" "20" 0x00000100] + ElementLine[-19503 -24681 -19503 24681 1000] + ElementLine[-19503 24681 19503 24681 1000] + ElementLine[ 19503 24681 19503 -24681 1000] + ElementLine[-19503 -24681 -2500 -24681 1000] + ElementLine[ 19503 -24681 2500 -24681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ20_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ20_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ20_350.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ20_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -22500 + -13385 -22500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 22500 + -13385 22500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 19685 22500 + 13385 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 -22500 + 13385 -22500 + 2362 1000 3362 "20" "20" 0x00000100] + ElementLine[-21866 -24681 -21866 24681 1000] + ElementLine[-21866 24681 21866 24681 1000] + ElementLine[ 21866 24681 21866 -24681 1000] + ElementLine[-21866 -24681 -2500 -24681 1000] + ElementLine[ 21866 -24681 2500 -24681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ20_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ20_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ20_400.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ20_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -22500 + -15748 -22500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 22500 + -15748 22500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 22047 22500 + 15748 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 -22500 + 15748 -22500 + 2362 1000 3362 "20" "20" 0x00000100] + ElementLine[-24228 -24681 -24228 24681 1000] + ElementLine[-24228 24681 24228 24681 1000] + ElementLine[ 24228 24681 24228 -24681 1000] + ElementLine[-24228 -24681 -2500 -24681 1000] + ElementLine[ 24228 -24681 2500 -24681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ20_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ20_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ20_450.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ20_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -22500 + -18503 -22500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 22500 + -18503 22500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ 24803 22500 + 18503 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 -22500 + 18503 -22500 + 2362 1000 3362 "20" "20" 0x00000100] + ElementLine[-26984 -24681 -26984 24681 1000] + ElementLine[-26984 24681 26984 24681 1000] + ElementLine[ 26984 24681 26984 -24681 1000] + ElementLine[-26984 -24681 -2500 -24681 1000] + ElementLine[ 26984 -24681 2500 -24681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ22_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ22_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ22_300.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ22_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -25000 + -11023 -25000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -20000 + -11023 -20000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 20000 + -11023 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 25000 + -11023 25000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 17322 25000 + 11023 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 17322 20000 + 11023 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 -20000 + 11023 -20000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 -25000 + 11023 -25000 + 2362 1000 3362 "22" "22" 0x00000100] + ElementLine[-19503 -27181 -19503 27181 1000] + ElementLine[-19503 27181 19503 27181 1000] + ElementLine[ 19503 27181 19503 -27181 1000] + ElementLine[-19503 -27181 -2500 -27181 1000] + ElementLine[ 19503 -27181 2500 -27181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -27181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ22_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ22_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ22_350.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ22_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -25000 + -13385 -25000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -20000 + -13385 -20000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 20000 + -13385 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 25000 + -13385 25000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 19685 25000 + 13385 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 19685 20000 + 13385 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 -20000 + 13385 -20000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 -25000 + 13385 -25000 + 2362 1000 3362 "22" "22" 0x00000100] + ElementLine[-21866 -27181 -21866 27181 1000] + ElementLine[-21866 27181 21866 27181 1000] + ElementLine[ 21866 27181 21866 -27181 1000] + ElementLine[-21866 -27181 -2500 -27181 1000] + ElementLine[ 21866 -27181 2500 -27181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -27181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ22_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ22_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ22_400.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ22_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -25000 + -15748 -25000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -20000 + -15748 -20000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 20000 + -15748 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 25000 + -15748 25000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 22047 25000 + 15748 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 22047 20000 + 15748 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 -20000 + 15748 -20000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 -25000 + 15748 -25000 + 2362 1000 3362 "22" "22" 0x00000100] + ElementLine[-24228 -27181 -24228 27181 1000] + ElementLine[-24228 27181 24228 27181 1000] + ElementLine[ 24228 27181 24228 -27181 1000] + ElementLine[-24228 -27181 -2500 -27181 1000] + ElementLine[ 24228 -27181 2500 -27181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -27181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ22_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ22_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ22_450.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ22_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -25000 + -18503 -25000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -20000 + -18503 -20000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 20000 + -18503 20000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 25000 + -18503 25000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ 24803 25000 + 18503 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 24803 20000 + 18503 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 -20000 + 18503 -20000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 -25000 + 18503 -25000 + 2362 1000 3362 "22" "22" 0x00000100] + ElementLine[-26984 -27181 -26984 27181 1000] + ElementLine[-26984 27181 26984 27181 1000] + ElementLine[ 26984 27181 26984 -27181 1000] + ElementLine[-26984 -27181 -2500 -27181 1000] + ElementLine[ 26984 -27181 2500 -27181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -27181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ24_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ24_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ24_300.fp (revision 1033) @@ -0,0 +1,97 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ24_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -27500 + -11023 -27500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -22500 + -11023 -22500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 22500 + -11023 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 27500 + -11023 27500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 17322 27500 + 11023 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 17322 22500 + 11023 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 -22500 + 11023 -22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 -27500 + 11023 -27500 + 2362 1000 3362 "24" "24" 0x00000100] + ElementLine[-19503 -29681 -19503 29681 1000] + ElementLine[-19503 29681 19503 29681 1000] + ElementLine[ 19503 29681 19503 -29681 1000] + ElementLine[-19503 -29681 -2500 -29681 1000] + ElementLine[ 19503 -29681 2500 -29681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -29681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ24_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ24_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ24_350.fp (revision 1033) @@ -0,0 +1,97 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ24_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -27500 + -13385 -27500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -22500 + -13385 -22500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 22500 + -13385 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 27500 + -13385 27500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 19685 27500 + 13385 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 19685 22500 + 13385 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 -22500 + 13385 -22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 -27500 + 13385 -27500 + 2362 1000 3362 "24" "24" 0x00000100] + ElementLine[-21866 -29681 -21866 29681 1000] + ElementLine[-21866 29681 21866 29681 1000] + ElementLine[ 21866 29681 21866 -29681 1000] + ElementLine[-21866 -29681 -2500 -29681 1000] + ElementLine[ 21866 -29681 2500 -29681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -29681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ24_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ24_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ24_400.fp (revision 1033) @@ -0,0 +1,97 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ24_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -27500 + -15748 -27500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -22500 + -15748 -22500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 22500 + -15748 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 27500 + -15748 27500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 22047 27500 + 15748 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 22047 22500 + 15748 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 -22500 + 15748 -22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 -27500 + 15748 -27500 + 2362 1000 3362 "24" "24" 0x00000100] + ElementLine[-24228 -29681 -24228 29681 1000] + ElementLine[-24228 29681 24228 29681 1000] + ElementLine[ 24228 29681 24228 -29681 1000] + ElementLine[-24228 -29681 -2500 -29681 1000] + ElementLine[ 24228 -29681 2500 -29681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -29681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ24_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ24_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ24_450.fp (revision 1033) @@ -0,0 +1,97 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ24_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -27500 + -18503 -27500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -22500 + -18503 -22500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 22500 + -18503 22500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 27500 + -18503 27500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ 24803 27500 + 18503 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 24803 22500 + 18503 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 -22500 + 18503 -22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 -27500 + 18503 -27500 + 2362 1000 3362 "24" "24" 0x00000100] + ElementLine[-26984 -29681 -26984 29681 1000] + ElementLine[-26984 29681 26984 29681 1000] + ElementLine[ 26984 29681 26984 -29681 1000] + ElementLine[-26984 -29681 -2500 -29681 1000] + ElementLine[ 26984 -29681 2500 -29681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -29681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ26_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ26_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ26_300.fp (revision 1033) @@ -0,0 +1,103 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ26_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -30000 + -11023 -30000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -25000 + -11023 -25000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -20000 + -11023 -20000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 20000 + -11023 20000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 25000 + -11023 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 30000 + -11023 30000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 17322 30000 + 11023 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 17322 25000 + 11023 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 20000 + 11023 20000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 -20000 + 11023 -20000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 -25000 + 11023 -25000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 -30000 + 11023 -30000 + 2362 1000 3362 "26" "26" 0x00000100] + ElementLine[-19503 -32181 -19503 32181 1000] + ElementLine[-19503 32181 19503 32181 1000] + ElementLine[ 19503 32181 19503 -32181 1000] + ElementLine[-19503 -32181 -2500 -32181 1000] + ElementLine[ 19503 -32181 2500 -32181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -32181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ26_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ26_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ26_350.fp (revision 1033) @@ -0,0 +1,103 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ26_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -30000 + -13385 -30000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -25000 + -13385 -25000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -20000 + -13385 -20000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 20000 + -13385 20000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 25000 + -13385 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 30000 + -13385 30000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 19685 30000 + 13385 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 19685 25000 + 13385 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 20000 + 13385 20000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 -20000 + 13385 -20000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 -25000 + 13385 -25000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 -30000 + 13385 -30000 + 2362 1000 3362 "26" "26" 0x00000100] + ElementLine[-21866 -32181 -21866 32181 1000] + ElementLine[-21866 32181 21866 32181 1000] + ElementLine[ 21866 32181 21866 -32181 1000] + ElementLine[-21866 -32181 -2500 -32181 1000] + ElementLine[ 21866 -32181 2500 -32181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -32181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ26_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ26_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ26_400.fp (revision 1033) @@ -0,0 +1,103 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ26_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -30000 + -15748 -30000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -25000 + -15748 -25000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -20000 + -15748 -20000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 20000 + -15748 20000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 25000 + -15748 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 30000 + -15748 30000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 22047 30000 + 15748 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 22047 25000 + 15748 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 20000 + 15748 20000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 -20000 + 15748 -20000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 -25000 + 15748 -25000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 -30000 + 15748 -30000 + 2362 1000 3362 "26" "26" 0x00000100] + ElementLine[-24228 -32181 -24228 32181 1000] + ElementLine[-24228 32181 24228 32181 1000] + ElementLine[ 24228 32181 24228 -32181 1000] + ElementLine[-24228 -32181 -2500 -32181 1000] + ElementLine[ 24228 -32181 2500 -32181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -32181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ26_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ26_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ26_450.fp (revision 1033) @@ -0,0 +1,103 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ26_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -30000 + -18503 -30000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -25000 + -18503 -25000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -20000 + -18503 -20000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 20000 + -18503 20000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 25000 + -18503 25000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 30000 + -18503 30000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ 24803 30000 + 18503 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 24803 25000 + 18503 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 20000 + 18503 20000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 -20000 + 18503 -20000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 -25000 + 18503 -25000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 -30000 + 18503 -30000 + 2362 1000 3362 "26" "26" 0x00000100] + ElementLine[-26984 -32181 -26984 32181 1000] + ElementLine[-26984 32181 26984 32181 1000] + ElementLine[ 26984 32181 26984 -32181 1000] + ElementLine[-26984 -32181 -2500 -32181 1000] + ElementLine[ 26984 -32181 2500 -32181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -32181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ28_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ28_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ28_300.fp (revision 1033) @@ -0,0 +1,109 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ28_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -32500 + -11023 -32500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -27500 + -11023 -27500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -22500 + -11023 -22500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 22500 + -11023 22500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 27500 + -11023 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 32500 + -11023 32500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 17322 32500 + 11023 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 27500 + 11023 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 22500 + 11023 22500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 -22500 + 11023 -22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 -27500 + 11023 -27500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 -32500 + 11023 -32500 + 2362 1000 3362 "28" "28" 0x00000100] + ElementLine[-19503 -34681 -19503 34681 1000] + ElementLine[-19503 34681 19503 34681 1000] + ElementLine[ 19503 34681 19503 -34681 1000] + ElementLine[-19503 -34681 -2500 -34681 1000] + ElementLine[ 19503 -34681 2500 -34681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -34681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ28_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ28_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ28_350.fp (revision 1033) @@ -0,0 +1,109 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ28_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -32500 + -13385 -32500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -27500 + -13385 -27500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -22500 + -13385 -22500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 22500 + -13385 22500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 27500 + -13385 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 32500 + -13385 32500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 19685 32500 + 13385 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 27500 + 13385 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 22500 + 13385 22500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 -22500 + 13385 -22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 -27500 + 13385 -27500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 -32500 + 13385 -32500 + 2362 1000 3362 "28" "28" 0x00000100] + ElementLine[-21866 -34681 -21866 34681 1000] + ElementLine[-21866 34681 21866 34681 1000] + ElementLine[ 21866 34681 21866 -34681 1000] + ElementLine[-21866 -34681 -2500 -34681 1000] + ElementLine[ 21866 -34681 2500 -34681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -34681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ28_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ28_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ28_400.fp (revision 1033) @@ -0,0 +1,109 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ28_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -32500 + -15748 -32500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -27500 + -15748 -27500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -22500 + -15748 -22500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 22500 + -15748 22500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 27500 + -15748 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 32500 + -15748 32500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 22047 32500 + 15748 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 27500 + 15748 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 22500 + 15748 22500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 -22500 + 15748 -22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 -27500 + 15748 -27500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 -32500 + 15748 -32500 + 2362 1000 3362 "28" "28" 0x00000100] + ElementLine[-24228 -34681 -24228 34681 1000] + ElementLine[-24228 34681 24228 34681 1000] + ElementLine[ 24228 34681 24228 -34681 1000] + ElementLine[-24228 -34681 -2500 -34681 1000] + ElementLine[ 24228 -34681 2500 -34681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -34681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ28_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ28_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ28_450.fp (revision 1033) @@ -0,0 +1,109 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ28_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -32500 + -18503 -32500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -27500 + -18503 -27500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -22500 + -18503 -22500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 22500 + -18503 22500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 27500 + -18503 27500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 32500 + -18503 32500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ 24803 32500 + 18503 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 27500 + 18503 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 22500 + 18503 22500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 -22500 + 18503 -22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 -27500 + 18503 -27500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 -32500 + 18503 -32500 + 2362 1000 3362 "28" "28" 0x00000100] + ElementLine[-26984 -34681 -26984 34681 1000] + ElementLine[-26984 34681 26984 34681 1000] + ElementLine[ 26984 34681 26984 -34681 1000] + ElementLine[-26984 -34681 -2500 -34681 1000] + ElementLine[ 26984 -34681 2500 -34681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -34681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ30_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ30_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ30_300.fp (revision 1033) @@ -0,0 +1,115 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ30_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -35000 + -11023 -35000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -30000 + -11023 -30000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -25000 + -11023 -25000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -20000 + -11023 -20000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 20000 + -11023 20000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 25000 + -11023 25000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 30000 + -11023 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 35000 + -11023 35000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 17322 35000 + 11023 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 30000 + 11023 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 25000 + 11023 25000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 20000 + 11023 20000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 -20000 + 11023 -20000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 -25000 + 11023 -25000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 -30000 + 11023 -30000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 -35000 + 11023 -35000 + 2362 1000 3362 "30" "30" 0x00000100] + ElementLine[-19503 -37181 -19503 37181 1000] + ElementLine[-19503 37181 19503 37181 1000] + ElementLine[ 19503 37181 19503 -37181 1000] + ElementLine[-19503 -37181 -2500 -37181 1000] + ElementLine[ 19503 -37181 2500 -37181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -37181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ30_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ30_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ30_350.fp (revision 1033) @@ -0,0 +1,115 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ30_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -35000 + -13385 -35000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -30000 + -13385 -30000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -25000 + -13385 -25000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -20000 + -13385 -20000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 20000 + -13385 20000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 25000 + -13385 25000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 30000 + -13385 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 35000 + -13385 35000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 19685 35000 + 13385 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 30000 + 13385 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 25000 + 13385 25000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 20000 + 13385 20000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 -20000 + 13385 -20000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 -25000 + 13385 -25000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 -30000 + 13385 -30000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 -35000 + 13385 -35000 + 2362 1000 3362 "30" "30" 0x00000100] + ElementLine[-21866 -37181 -21866 37181 1000] + ElementLine[-21866 37181 21866 37181 1000] + ElementLine[ 21866 37181 21866 -37181 1000] + ElementLine[-21866 -37181 -2500 -37181 1000] + ElementLine[ 21866 -37181 2500 -37181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -37181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ30_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ30_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ30_400.fp (revision 1033) @@ -0,0 +1,115 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ30_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -35000 + -15748 -35000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -30000 + -15748 -30000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -25000 + -15748 -25000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -20000 + -15748 -20000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 20000 + -15748 20000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 25000 + -15748 25000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 30000 + -15748 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 35000 + -15748 35000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 22047 35000 + 15748 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 30000 + 15748 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 25000 + 15748 25000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 20000 + 15748 20000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 -20000 + 15748 -20000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 -25000 + 15748 -25000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 -30000 + 15748 -30000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 -35000 + 15748 -35000 + 2362 1000 3362 "30" "30" 0x00000100] + ElementLine[-24228 -37181 -24228 37181 1000] + ElementLine[-24228 37181 24228 37181 1000] + ElementLine[ 24228 37181 24228 -37181 1000] + ElementLine[-24228 -37181 -2500 -37181 1000] + ElementLine[ 24228 -37181 2500 -37181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -37181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ30_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ30_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ30_450.fp (revision 1033) @@ -0,0 +1,115 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ30_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -35000 + -18503 -35000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -30000 + -18503 -30000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -25000 + -18503 -25000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -20000 + -18503 -20000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 20000 + -18503 20000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 25000 + -18503 25000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 30000 + -18503 30000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 35000 + -18503 35000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ 24803 35000 + 18503 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 30000 + 18503 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 25000 + 18503 25000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 20000 + 18503 20000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 -20000 + 18503 -20000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 -25000 + 18503 -25000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 -30000 + 18503 -30000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 -35000 + 18503 -35000 + 2362 1000 3362 "30" "30" 0x00000100] + ElementLine[-26984 -37181 -26984 37181 1000] + ElementLine[-26984 37181 26984 37181 1000] + ElementLine[ 26984 37181 26984 -37181 1000] + ElementLine[-26984 -37181 -2500 -37181 1000] + ElementLine[ 26984 -37181 2500 -37181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -37181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ32_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ32_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ32_300.fp (revision 1033) @@ -0,0 +1,121 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ32_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -37500 + -11023 -37500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -32500 + -11023 -32500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -27500 + -11023 -27500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -22500 + -11023 -22500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 22500 + -11023 22500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 27500 + -11023 27500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 32500 + -11023 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -17322 37500 + -11023 37500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 17322 37500 + 11023 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 32500 + 11023 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 27500 + 11023 27500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 22500 + 11023 22500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 -22500 + 11023 -22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 -27500 + 11023 -27500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 17322 -32500 + 11023 -32500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 17322 -37500 + 11023 -37500 + 2362 1000 3362 "32" "32" 0x00000100] + ElementLine[-19503 -39681 -19503 39681 1000] + ElementLine[-19503 39681 19503 39681 1000] + ElementLine[ 19503 39681 19503 -39681 1000] + ElementLine[-19503 -39681 -2500 -39681 1000] + ElementLine[ 19503 -39681 2500 -39681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -39681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ32_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ32_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ32_350.fp (revision 1033) @@ -0,0 +1,121 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ32_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -37500 + -13385 -37500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -32500 + -13385 -32500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -27500 + -13385 -27500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -22500 + -13385 -22500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 22500 + -13385 22500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 27500 + -13385 27500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 32500 + -13385 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -19685 37500 + -13385 37500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 19685 37500 + 13385 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 32500 + 13385 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 27500 + 13385 27500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 22500 + 13385 22500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 -22500 + 13385 -22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 -27500 + 13385 -27500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 19685 -32500 + 13385 -32500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 19685 -37500 + 13385 -37500 + 2362 1000 3362 "32" "32" 0x00000100] + ElementLine[-21866 -39681 -21866 39681 1000] + ElementLine[-21866 39681 21866 39681 1000] + ElementLine[ 21866 39681 21866 -39681 1000] + ElementLine[-21866 -39681 -2500 -39681 1000] + ElementLine[ 21866 -39681 2500 -39681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -39681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ32_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ32_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ32_400.fp (revision 1033) @@ -0,0 +1,121 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ32_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -37500 + -15748 -37500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -32500 + -15748 -32500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -27500 + -15748 -27500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -22500 + -15748 -22500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 22500 + -15748 22500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 27500 + -15748 27500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 32500 + -15748 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -22047 37500 + -15748 37500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 22047 37500 + 15748 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 32500 + 15748 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 27500 + 15748 27500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 22500 + 15748 22500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 -22500 + 15748 -22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 -27500 + 15748 -27500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 22047 -32500 + 15748 -32500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 22047 -37500 + 15748 -37500 + 2362 1000 3362 "32" "32" 0x00000100] + ElementLine[-24228 -39681 -24228 39681 1000] + ElementLine[-24228 39681 24228 39681 1000] + ElementLine[ 24228 39681 24228 -39681 1000] + ElementLine[-24228 -39681 -2500 -39681 1000] + ElementLine[ 24228 -39681 2500 -39681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -39681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ32_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ32_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ32_450.fp (revision 1033) @@ -0,0 +1,121 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ32_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -37500 + -18503 -37500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -32500 + -18503 -32500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -27500 + -18503 -27500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -22500 + -18503 -22500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 22500 + -18503 22500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 27500 + -18503 27500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 32500 + -18503 32500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -24803 37500 + -18503 37500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ 24803 37500 + 18503 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 32500 + 18503 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 27500 + 18503 27500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 22500 + 18503 22500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 -22500 + 18503 -22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 -27500 + 18503 -27500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 24803 -32500 + 18503 -32500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 24803 -37500 + 18503 -37500 + 2362 1000 3362 "32" "32" 0x00000100] + ElementLine[-26984 -39681 -26984 39681 1000] + ElementLine[-26984 39681 26984 39681 1000] + ElementLine[ 26984 39681 26984 -39681 1000] + ElementLine[-26984 -39681 -2500 -39681 1000] + ElementLine[ 26984 -39681 2500 -39681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -39681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ34_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ34_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ34_300.fp (revision 1033) @@ -0,0 +1,127 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ34_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -40000 + -11023 -40000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -35000 + -11023 -35000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -30000 + -11023 -30000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -25000 + -11023 -25000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -20000 + -11023 -20000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 20000 + -11023 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 25000 + -11023 25000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 30000 + -11023 30000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -17322 35000 + -11023 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -17322 40000 + -11023 40000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 17322 40000 + 11023 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 35000 + 11023 35000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 30000 + 11023 30000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 25000 + 11023 25000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 20000 + 11023 20000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 -20000 + 11023 -20000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 17322 -25000 + 11023 -25000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 17322 -30000 + 11023 -30000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 17322 -35000 + 11023 -35000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 17322 -40000 + 11023 -40000 + 2362 1000 3362 "34" "34" 0x00000100] + ElementLine[-19503 -42181 -19503 42181 1000] + ElementLine[-19503 42181 19503 42181 1000] + ElementLine[ 19503 42181 19503 -42181 1000] + ElementLine[-19503 -42181 -2500 -42181 1000] + ElementLine[ 19503 -42181 2500 -42181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -42181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ34_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ34_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ34_350.fp (revision 1033) @@ -0,0 +1,127 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ34_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -40000 + -13385 -40000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -35000 + -13385 -35000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -30000 + -13385 -30000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -25000 + -13385 -25000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -20000 + -13385 -20000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 20000 + -13385 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 25000 + -13385 25000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 30000 + -13385 30000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -19685 35000 + -13385 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -19685 40000 + -13385 40000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 19685 40000 + 13385 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 35000 + 13385 35000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 30000 + 13385 30000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 25000 + 13385 25000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 20000 + 13385 20000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 -20000 + 13385 -20000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 19685 -25000 + 13385 -25000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 19685 -30000 + 13385 -30000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 19685 -35000 + 13385 -35000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 19685 -40000 + 13385 -40000 + 2362 1000 3362 "34" "34" 0x00000100] + ElementLine[-21866 -42181 -21866 42181 1000] + ElementLine[-21866 42181 21866 42181 1000] + ElementLine[ 21866 42181 21866 -42181 1000] + ElementLine[-21866 -42181 -2500 -42181 1000] + ElementLine[ 21866 -42181 2500 -42181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -42181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ34_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ34_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ34_400.fp (revision 1033) @@ -0,0 +1,127 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ34_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -40000 + -15748 -40000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -35000 + -15748 -35000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -30000 + -15748 -30000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -25000 + -15748 -25000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -20000 + -15748 -20000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 20000 + -15748 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 25000 + -15748 25000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 30000 + -15748 30000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -22047 35000 + -15748 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -22047 40000 + -15748 40000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 22047 40000 + 15748 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 35000 + 15748 35000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 30000 + 15748 30000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 25000 + 15748 25000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 20000 + 15748 20000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 -20000 + 15748 -20000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 22047 -25000 + 15748 -25000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 22047 -30000 + 15748 -30000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 22047 -35000 + 15748 -35000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 22047 -40000 + 15748 -40000 + 2362 1000 3362 "34" "34" 0x00000100] + ElementLine[-24228 -42181 -24228 42181 1000] + ElementLine[-24228 42181 24228 42181 1000] + ElementLine[ 24228 42181 24228 -42181 1000] + ElementLine[-24228 -42181 -2500 -42181 1000] + ElementLine[ 24228 -42181 2500 -42181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -42181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ34_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ34_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ34_450.fp (revision 1033) @@ -0,0 +1,127 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ34_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -40000 + -18503 -40000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -35000 + -18503 -35000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -30000 + -18503 -30000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -25000 + -18503 -25000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -20000 + -18503 -20000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 20000 + -18503 20000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 25000 + -18503 25000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 30000 + -18503 30000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -24803 35000 + -18503 35000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -24803 40000 + -18503 40000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ 24803 40000 + 18503 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 35000 + 18503 35000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 30000 + 18503 30000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 25000 + 18503 25000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 20000 + 18503 20000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 -20000 + 18503 -20000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 24803 -25000 + 18503 -25000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 24803 -30000 + 18503 -30000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 24803 -35000 + 18503 -35000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 24803 -40000 + 18503 -40000 + 2362 1000 3362 "34" "34" 0x00000100] + ElementLine[-26984 -42181 -26984 42181 1000] + ElementLine[-26984 42181 26984 42181 1000] + ElementLine[ 26984 42181 26984 -42181 1000] + ElementLine[-26984 -42181 -2500 -42181 1000] + ElementLine[ 26984 -42181 2500 -42181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -42181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ36_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ36_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ36_300.fp (revision 1033) @@ -0,0 +1,133 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ36_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -42500 + -11023 -42500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -37500 + -11023 -37500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -32500 + -11023 -32500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -27500 + -11023 -27500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -22500 + -11023 -22500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 22500 + -11023 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 27500 + -11023 27500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -17322 32500 + -11023 32500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -17322 37500 + -11023 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -17322 42500 + -11023 42500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 17322 42500 + 11023 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 37500 + 11023 37500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 32500 + 11023 32500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 27500 + 11023 27500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 22500 + 11023 22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 17322 -22500 + 11023 -22500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 17322 -27500 + 11023 -27500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 17322 -32500 + 11023 -32500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 17322 -37500 + 11023 -37500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 17322 -42500 + 11023 -42500 + 2362 1000 3362 "36" "36" 0x00000100] + ElementLine[-19503 -44681 -19503 44681 1000] + ElementLine[-19503 44681 19503 44681 1000] + ElementLine[ 19503 44681 19503 -44681 1000] + ElementLine[-19503 -44681 -2500 -44681 1000] + ElementLine[ 19503 -44681 2500 -44681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -44681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ36_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ36_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ36_350.fp (revision 1033) @@ -0,0 +1,133 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ36_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -42500 + -13385 -42500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -37500 + -13385 -37500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -32500 + -13385 -32500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -27500 + -13385 -27500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -22500 + -13385 -22500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 22500 + -13385 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 27500 + -13385 27500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -19685 32500 + -13385 32500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -19685 37500 + -13385 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -19685 42500 + -13385 42500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 19685 42500 + 13385 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 37500 + 13385 37500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 32500 + 13385 32500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 27500 + 13385 27500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 22500 + 13385 22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 19685 -22500 + 13385 -22500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 19685 -27500 + 13385 -27500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 19685 -32500 + 13385 -32500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 19685 -37500 + 13385 -37500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 19685 -42500 + 13385 -42500 + 2362 1000 3362 "36" "36" 0x00000100] + ElementLine[-21866 -44681 -21866 44681 1000] + ElementLine[-21866 44681 21866 44681 1000] + ElementLine[ 21866 44681 21866 -44681 1000] + ElementLine[-21866 -44681 -2500 -44681 1000] + ElementLine[ 21866 -44681 2500 -44681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -44681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ36_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ36_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ36_400.fp (revision 1033) @@ -0,0 +1,133 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ36_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -42500 + -15748 -42500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -37500 + -15748 -37500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -32500 + -15748 -32500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -27500 + -15748 -27500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -22500 + -15748 -22500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 22500 + -15748 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 27500 + -15748 27500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -22047 32500 + -15748 32500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -22047 37500 + -15748 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -22047 42500 + -15748 42500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 22047 42500 + 15748 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 37500 + 15748 37500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 32500 + 15748 32500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 27500 + 15748 27500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 22500 + 15748 22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 22047 -22500 + 15748 -22500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 22047 -27500 + 15748 -27500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 22047 -32500 + 15748 -32500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 22047 -37500 + 15748 -37500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 22047 -42500 + 15748 -42500 + 2362 1000 3362 "36" "36" 0x00000100] + ElementLine[-24228 -44681 -24228 44681 1000] + ElementLine[-24228 44681 24228 44681 1000] + ElementLine[ 24228 44681 24228 -44681 1000] + ElementLine[-24228 -44681 -2500 -44681 1000] + ElementLine[ 24228 -44681 2500 -44681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -44681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ36_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ36_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ36_450.fp (revision 1033) @@ -0,0 +1,133 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ36_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -42500 + -18503 -42500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -37500 + -18503 -37500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -32500 + -18503 -32500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -27500 + -18503 -27500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -22500 + -18503 -22500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 22500 + -18503 22500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 27500 + -18503 27500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -24803 32500 + -18503 32500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -24803 37500 + -18503 37500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -24803 42500 + -18503 42500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ 24803 42500 + 18503 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 37500 + 18503 37500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 32500 + 18503 32500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 27500 + 18503 27500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 22500 + 18503 22500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 24803 -22500 + 18503 -22500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 24803 -27500 + 18503 -27500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 24803 -32500 + 18503 -32500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 24803 -37500 + 18503 -37500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 24803 -42500 + 18503 -42500 + 2362 1000 3362 "36" "36" 0x00000100] + ElementLine[-26984 -44681 -26984 44681 1000] + ElementLine[-26984 44681 26984 44681 1000] + ElementLine[ 26984 44681 26984 -44681 1000] + ElementLine[-26984 -44681 -2500 -44681 1000] + ElementLine[ 26984 -44681 2500 -44681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -44681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ38_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ38_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ38_300.fp (revision 1033) @@ -0,0 +1,139 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ38_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -45000 + -11023 -45000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -40000 + -11023 -40000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -35000 + -11023 -35000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -30000 + -11023 -30000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -25000 + -11023 -25000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -20000 + -11023 -20000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 20000 + -11023 20000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 25000 + -11023 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -17322 30000 + -11023 30000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -17322 35000 + -11023 35000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -17322 40000 + -11023 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -17322 45000 + -11023 45000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 17322 45000 + 11023 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 40000 + 11023 40000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 35000 + 11023 35000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 30000 + 11023 30000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 25000 + 11023 25000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 20000 + 11023 20000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 17322 -20000 + 11023 -20000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 17322 -25000 + 11023 -25000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 17322 -30000 + 11023 -30000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 17322 -35000 + 11023 -35000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 17322 -40000 + 11023 -40000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 17322 -45000 + 11023 -45000 + 2362 1000 3362 "38" "38" 0x00000100] + ElementLine[-19503 -47181 -19503 47181 1000] + ElementLine[-19503 47181 19503 47181 1000] + ElementLine[ 19503 47181 19503 -47181 1000] + ElementLine[-19503 -47181 -2500 -47181 1000] + ElementLine[ 19503 -47181 2500 -47181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -47181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ38_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ38_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ38_350.fp (revision 1033) @@ -0,0 +1,139 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ38_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -45000 + -13385 -45000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -40000 + -13385 -40000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -35000 + -13385 -35000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -30000 + -13385 -30000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -25000 + -13385 -25000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -20000 + -13385 -20000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 20000 + -13385 20000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 25000 + -13385 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -19685 30000 + -13385 30000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -19685 35000 + -13385 35000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -19685 40000 + -13385 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -19685 45000 + -13385 45000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 19685 45000 + 13385 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 40000 + 13385 40000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 35000 + 13385 35000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 30000 + 13385 30000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 25000 + 13385 25000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 20000 + 13385 20000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 19685 -20000 + 13385 -20000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 19685 -25000 + 13385 -25000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 19685 -30000 + 13385 -30000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 19685 -35000 + 13385 -35000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 19685 -40000 + 13385 -40000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 19685 -45000 + 13385 -45000 + 2362 1000 3362 "38" "38" 0x00000100] + ElementLine[-21866 -47181 -21866 47181 1000] + ElementLine[-21866 47181 21866 47181 1000] + ElementLine[ 21866 47181 21866 -47181 1000] + ElementLine[-21866 -47181 -2500 -47181 1000] + ElementLine[ 21866 -47181 2500 -47181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -47181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ38_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ38_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ38_400.fp (revision 1033) @@ -0,0 +1,139 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ38_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -45000 + -15748 -45000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -40000 + -15748 -40000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -35000 + -15748 -35000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -30000 + -15748 -30000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -25000 + -15748 -25000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -20000 + -15748 -20000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 20000 + -15748 20000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 25000 + -15748 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -22047 30000 + -15748 30000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -22047 35000 + -15748 35000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -22047 40000 + -15748 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -22047 45000 + -15748 45000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 22047 45000 + 15748 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 40000 + 15748 40000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 35000 + 15748 35000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 30000 + 15748 30000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 25000 + 15748 25000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 20000 + 15748 20000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 22047 -20000 + 15748 -20000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 22047 -25000 + 15748 -25000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 22047 -30000 + 15748 -30000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 22047 -35000 + 15748 -35000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 22047 -40000 + 15748 -40000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 22047 -45000 + 15748 -45000 + 2362 1000 3362 "38" "38" 0x00000100] + ElementLine[-24228 -47181 -24228 47181 1000] + ElementLine[-24228 47181 24228 47181 1000] + ElementLine[ 24228 47181 24228 -47181 1000] + ElementLine[-24228 -47181 -2500 -47181 1000] + ElementLine[ 24228 -47181 2500 -47181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -47181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ38_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ38_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ38_450.fp (revision 1033) @@ -0,0 +1,139 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ38_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -45000 + -18503 -45000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -40000 + -18503 -40000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -35000 + -18503 -35000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -30000 + -18503 -30000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -25000 + -18503 -25000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -20000 + -18503 -20000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 20000 + -18503 20000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 25000 + -18503 25000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -24803 30000 + -18503 30000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -24803 35000 + -18503 35000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -24803 40000 + -18503 40000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -24803 45000 + -18503 45000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ 24803 45000 + 18503 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 40000 + 18503 40000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 35000 + 18503 35000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 30000 + 18503 30000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 25000 + 18503 25000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 20000 + 18503 20000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 24803 -20000 + 18503 -20000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 24803 -25000 + 18503 -25000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 24803 -30000 + 18503 -30000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 24803 -35000 + 18503 -35000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 24803 -40000 + 18503 -40000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 24803 -45000 + 18503 -45000 + 2362 1000 3362 "38" "38" 0x00000100] + ElementLine[-26984 -47181 -26984 47181 1000] + ElementLine[-26984 47181 26984 47181 1000] + ElementLine[ 26984 47181 26984 -47181 1000] + ElementLine[-26984 -47181 -2500 -47181 1000] + ElementLine[ 26984 -47181 2500 -47181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -47181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ40_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ40_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ40_300.fp (revision 1033) @@ -0,0 +1,145 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ40_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -47500 + -11023 -47500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -42500 + -11023 -42500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -37500 + -11023 -37500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -32500 + -11023 -32500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -27500 + -11023 -27500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -22500 + -11023 -22500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 22500 + -11023 22500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -17322 27500 + -11023 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -17322 32500 + -11023 32500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -17322 37500 + -11023 37500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -17322 42500 + -11023 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -17322 47500 + -11023 47500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 17322 47500 + 11023 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 42500 + 11023 42500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 37500 + 11023 37500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 32500 + 11023 32500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 27500 + 11023 27500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 22500 + 11023 22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 17322 -22500 + 11023 -22500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 17322 -27500 + 11023 -27500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 17322 -32500 + 11023 -32500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 17322 -37500 + 11023 -37500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 17322 -42500 + 11023 -42500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 17322 -47500 + 11023 -47500 + 2362 1000 3362 "40" "40" 0x00000100] + ElementLine[-19503 -49681 -19503 49681 1000] + ElementLine[-19503 49681 19503 49681 1000] + ElementLine[ 19503 49681 19503 -49681 1000] + ElementLine[-19503 -49681 -2500 -49681 1000] + ElementLine[ 19503 -49681 2500 -49681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -49681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ40_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ40_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ40_350.fp (revision 1033) @@ -0,0 +1,145 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ40_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -47500 + -13385 -47500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -42500 + -13385 -42500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -37500 + -13385 -37500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -32500 + -13385 -32500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -27500 + -13385 -27500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -22500 + -13385 -22500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 22500 + -13385 22500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -19685 27500 + -13385 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -19685 32500 + -13385 32500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -19685 37500 + -13385 37500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -19685 42500 + -13385 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -19685 47500 + -13385 47500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 19685 47500 + 13385 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 42500 + 13385 42500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 37500 + 13385 37500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 32500 + 13385 32500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 27500 + 13385 27500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 22500 + 13385 22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 19685 -22500 + 13385 -22500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 19685 -27500 + 13385 -27500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 19685 -32500 + 13385 -32500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 19685 -37500 + 13385 -37500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 19685 -42500 + 13385 -42500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 19685 -47500 + 13385 -47500 + 2362 1000 3362 "40" "40" 0x00000100] + ElementLine[-21866 -49681 -21866 49681 1000] + ElementLine[-21866 49681 21866 49681 1000] + ElementLine[ 21866 49681 21866 -49681 1000] + ElementLine[-21866 -49681 -2500 -49681 1000] + ElementLine[ 21866 -49681 2500 -49681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -49681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ40_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ40_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ40_400.fp (revision 1033) @@ -0,0 +1,145 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ40_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -47500 + -15748 -47500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -42500 + -15748 -42500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -37500 + -15748 -37500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -32500 + -15748 -32500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -27500 + -15748 -27500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -22500 + -15748 -22500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 22500 + -15748 22500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -22047 27500 + -15748 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -22047 32500 + -15748 32500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -22047 37500 + -15748 37500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -22047 42500 + -15748 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -22047 47500 + -15748 47500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 22047 47500 + 15748 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 42500 + 15748 42500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 37500 + 15748 37500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 32500 + 15748 32500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 27500 + 15748 27500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 22500 + 15748 22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 22047 -22500 + 15748 -22500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 22047 -27500 + 15748 -27500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 22047 -32500 + 15748 -32500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 22047 -37500 + 15748 -37500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 22047 -42500 + 15748 -42500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 22047 -47500 + 15748 -47500 + 2362 1000 3362 "40" "40" 0x00000100] + ElementLine[-24228 -49681 -24228 49681 1000] + ElementLine[-24228 49681 24228 49681 1000] + ElementLine[ 24228 49681 24228 -49681 1000] + ElementLine[-24228 -49681 -2500 -49681 1000] + ElementLine[ 24228 -49681 2500 -49681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -49681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ40_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ40_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ40_450.fp (revision 1033) @@ -0,0 +1,145 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ40_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -47500 + -18503 -47500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -42500 + -18503 -42500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -37500 + -18503 -37500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -32500 + -18503 -32500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -27500 + -18503 -27500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -22500 + -18503 -22500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 22500 + -18503 22500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -24803 27500 + -18503 27500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -24803 32500 + -18503 32500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -24803 37500 + -18503 37500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -24803 42500 + -18503 42500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -24803 47500 + -18503 47500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ 24803 47500 + 18503 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 42500 + 18503 42500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 37500 + 18503 37500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 32500 + 18503 32500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 27500 + 18503 27500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 22500 + 18503 22500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 24803 -22500 + 18503 -22500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 24803 -27500 + 18503 -27500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 24803 -32500 + 18503 -32500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 24803 -37500 + 18503 -37500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 24803 -42500 + 18503 -42500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 24803 -47500 + 18503 -47500 + 2362 1000 3362 "40" "40" 0x00000100] + ElementLine[-26984 -49681 -26984 49681 1000] + ElementLine[-26984 49681 26984 49681 1000] + ElementLine[ 26984 49681 26984 -49681 1000] + ElementLine[-26984 -49681 -2500 -49681 1000] + ElementLine[ 26984 -49681 2500 -49681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -49681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ42_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ42_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ42_300.fp (revision 1033) @@ -0,0 +1,151 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ42_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -50000 + -11023 -50000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -45000 + -11023 -45000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -40000 + -11023 -40000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -35000 + -11023 -35000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -30000 + -11023 -30000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -25000 + -11023 -25000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -20000 + -11023 -20000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 -15000 + -11023 -15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 -10000 + -11023 -10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 -5000 + -11023 -5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 0 + -11023 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 5000 + -11023 5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 10000 + -11023 10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 15000 + -11023 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 20000 + -11023 20000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -17322 25000 + -11023 25000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -17322 30000 + -11023 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -17322 35000 + -11023 35000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -17322 40000 + -11023 40000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -17322 45000 + -11023 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -17322 50000 + -11023 50000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 17322 50000 + 11023 50000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 45000 + 11023 45000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 40000 + 11023 40000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 35000 + 11023 35000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 30000 + 11023 30000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 25000 + 11023 25000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 20000 + 11023 20000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 15000 + 11023 15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 10000 + 11023 10000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 17322 5000 + 11023 5000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 17322 0 + 11023 0 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 17322 -5000 + 11023 -5000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 17322 -10000 + 11023 -10000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 17322 -15000 + 11023 -15000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 17322 -20000 + 11023 -20000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 17322 -25000 + 11023 -25000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 17322 -30000 + 11023 -30000 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 17322 -35000 + 11023 -35000 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 17322 -40000 + 11023 -40000 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 17322 -45000 + 11023 -45000 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 17322 -50000 + 11023 -50000 + 2362 1000 3362 "42" "42" 0x00000100] + ElementLine[-19503 -52181 -19503 52181 1000] + ElementLine[-19503 52181 19503 52181 1000] + ElementLine[ 19503 52181 19503 -52181 1000] + ElementLine[-19503 -52181 -2500 -52181 1000] + ElementLine[ 19503 -52181 2500 -52181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -52181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ42_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ42_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ42_350.fp (revision 1033) @@ -0,0 +1,151 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ42_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -50000 + -13385 -50000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -45000 + -13385 -45000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -40000 + -13385 -40000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -35000 + -13385 -35000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -30000 + -13385 -30000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -25000 + -13385 -25000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -20000 + -13385 -20000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 -15000 + -13385 -15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 -10000 + -13385 -10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 -5000 + -13385 -5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 0 + -13385 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 5000 + -13385 5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 10000 + -13385 10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 15000 + -13385 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 20000 + -13385 20000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -19685 25000 + -13385 25000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -19685 30000 + -13385 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -19685 35000 + -13385 35000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -19685 40000 + -13385 40000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -19685 45000 + -13385 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -19685 50000 + -13385 50000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 19685 50000 + 13385 50000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 45000 + 13385 45000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 40000 + 13385 40000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 35000 + 13385 35000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 30000 + 13385 30000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 25000 + 13385 25000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 20000 + 13385 20000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 15000 + 13385 15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 10000 + 13385 10000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 19685 5000 + 13385 5000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 19685 0 + 13385 0 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 19685 -5000 + 13385 -5000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 19685 -10000 + 13385 -10000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 19685 -15000 + 13385 -15000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 19685 -20000 + 13385 -20000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 19685 -25000 + 13385 -25000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 19685 -30000 + 13385 -30000 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 19685 -35000 + 13385 -35000 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 19685 -40000 + 13385 -40000 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 19685 -45000 + 13385 -45000 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 19685 -50000 + 13385 -50000 + 2362 1000 3362 "42" "42" 0x00000100] + ElementLine[-21866 -52181 -21866 52181 1000] + ElementLine[-21866 52181 21866 52181 1000] + ElementLine[ 21866 52181 21866 -52181 1000] + ElementLine[-21866 -52181 -2500 -52181 1000] + ElementLine[ 21866 -52181 2500 -52181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -52181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ42_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ42_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ42_400.fp (revision 1033) @@ -0,0 +1,151 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ42_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -50000 + -15748 -50000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -45000 + -15748 -45000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -40000 + -15748 -40000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -35000 + -15748 -35000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -30000 + -15748 -30000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -25000 + -15748 -25000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -20000 + -15748 -20000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 -15000 + -15748 -15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 -10000 + -15748 -10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 -5000 + -15748 -5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 0 + -15748 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 5000 + -15748 5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 10000 + -15748 10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 15000 + -15748 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 20000 + -15748 20000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -22047 25000 + -15748 25000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -22047 30000 + -15748 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -22047 35000 + -15748 35000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -22047 40000 + -15748 40000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -22047 45000 + -15748 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -22047 50000 + -15748 50000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 22047 50000 + 15748 50000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 45000 + 15748 45000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 40000 + 15748 40000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 35000 + 15748 35000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 30000 + 15748 30000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 25000 + 15748 25000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 20000 + 15748 20000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 15000 + 15748 15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 10000 + 15748 10000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 22047 5000 + 15748 5000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 22047 0 + 15748 0 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 22047 -5000 + 15748 -5000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 22047 -10000 + 15748 -10000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 22047 -15000 + 15748 -15000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 22047 -20000 + 15748 -20000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 22047 -25000 + 15748 -25000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 22047 -30000 + 15748 -30000 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 22047 -35000 + 15748 -35000 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 22047 -40000 + 15748 -40000 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 22047 -45000 + 15748 -45000 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 22047 -50000 + 15748 -50000 + 2362 1000 3362 "42" "42" 0x00000100] + ElementLine[-24228 -52181 -24228 52181 1000] + ElementLine[-24228 52181 24228 52181 1000] + ElementLine[ 24228 52181 24228 -52181 1000] + ElementLine[-24228 -52181 -2500 -52181 1000] + ElementLine[ 24228 -52181 2500 -52181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -52181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ42_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ42_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ42_450.fp (revision 1033) @@ -0,0 +1,151 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ42_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -50000 + -18503 -50000 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -45000 + -18503 -45000 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -40000 + -18503 -40000 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -35000 + -18503 -35000 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -30000 + -18503 -30000 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -25000 + -18503 -25000 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -20000 + -18503 -20000 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 -15000 + -18503 -15000 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 -10000 + -18503 -10000 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 -5000 + -18503 -5000 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 0 + -18503 0 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 5000 + -18503 5000 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 10000 + -18503 10000 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 15000 + -18503 15000 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 20000 + -18503 20000 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -24803 25000 + -18503 25000 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -24803 30000 + -18503 30000 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -24803 35000 + -18503 35000 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -24803 40000 + -18503 40000 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -24803 45000 + -18503 45000 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -24803 50000 + -18503 50000 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ 24803 50000 + 18503 50000 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 45000 + 18503 45000 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 40000 + 18503 40000 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 35000 + 18503 35000 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 30000 + 18503 30000 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 25000 + 18503 25000 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 20000 + 18503 20000 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 15000 + 18503 15000 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 10000 + 18503 10000 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 24803 5000 + 18503 5000 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 24803 0 + 18503 0 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 24803 -5000 + 18503 -5000 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 24803 -10000 + 18503 -10000 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 24803 -15000 + 18503 -15000 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 24803 -20000 + 18503 -20000 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 24803 -25000 + 18503 -25000 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 24803 -30000 + 18503 -30000 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 24803 -35000 + 18503 -35000 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 24803 -40000 + 18503 -40000 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 24803 -45000 + 18503 -45000 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 24803 -50000 + 18503 -50000 + 2362 1000 3362 "42" "42" 0x00000100] + ElementLine[-26984 -52181 -26984 52181 1000] + ElementLine[-26984 52181 26984 52181 1000] + ElementLine[ 26984 52181 26984 -52181 1000] + ElementLine[-26984 -52181 -2500 -52181 1000] + ElementLine[ 26984 -52181 2500 -52181 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -52181 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ44_300.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ44_300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ44_300.fp (revision 1033) @@ -0,0 +1,157 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (300 mil)" "" "SOJ44_300" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -52500 + -11023 -52500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -17322 -47500 + -11023 -47500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -17322 -42500 + -11023 -42500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -17322 -37500 + -11023 -37500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -17322 -32500 + -11023 -32500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -17322 -27500 + -11023 -27500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -17322 -22500 + -11023 -22500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -17322 -17500 + -11023 -17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -17322 -12500 + -11023 -12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -17322 -7500 + -11023 -7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -17322 -2500 + -11023 -2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -17322 2500 + -11023 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -17322 7500 + -11023 7500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -17322 12500 + -11023 12500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -17322 17500 + -11023 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -17322 22500 + -11023 22500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -17322 27500 + -11023 27500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -17322 32500 + -11023 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -17322 37500 + -11023 37500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -17322 42500 + -11023 42500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -17322 47500 + -11023 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ -17322 52500 + -11023 52500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 17322 52500 + 11023 52500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 17322 47500 + 11023 47500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 17322 42500 + 11023 42500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 17322 37500 + 11023 37500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 17322 32500 + 11023 32500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 17322 27500 + 11023 27500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 17322 22500 + 11023 22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 17322 17500 + 11023 17500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 17322 12500 + 11023 12500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 17322 7500 + 11023 7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 17322 2500 + 11023 2500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 17322 -2500 + 11023 -2500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 17322 -7500 + 11023 -7500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 17322 -12500 + 11023 -12500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 17322 -17500 + 11023 -17500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 17322 -22500 + 11023 -22500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 17322 -27500 + 11023 -27500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 17322 -32500 + 11023 -32500 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 17322 -37500 + 11023 -37500 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 17322 -42500 + 11023 -42500 + 2362 1000 3362 "42" "42" 0x00000100] + Pad[ 17322 -47500 + 11023 -47500 + 2362 1000 3362 "43" "43" 0x00000100] + Pad[ 17322 -52500 + 11023 -52500 + 2362 1000 3362 "44" "44" 0x00000100] + ElementLine[-19503 -54681 -19503 54681 1000] + ElementLine[-19503 54681 19503 54681 1000] + ElementLine[ 19503 54681 19503 -54681 1000] + ElementLine[-19503 -54681 -2500 -54681 1000] + ElementLine[ 19503 -54681 2500 -54681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -54681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ44_350.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ44_350.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ44_350.fp (revision 1033) @@ -0,0 +1,157 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (350 mil)" "" "SOJ44_350" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -19685 -52500 + -13385 -52500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -19685 -47500 + -13385 -47500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -19685 -42500 + -13385 -42500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -19685 -37500 + -13385 -37500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -19685 -32500 + -13385 -32500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -19685 -27500 + -13385 -27500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -19685 -22500 + -13385 -22500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -19685 -17500 + -13385 -17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -19685 -12500 + -13385 -12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -19685 -7500 + -13385 -7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -19685 -2500 + -13385 -2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -19685 2500 + -13385 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -19685 7500 + -13385 7500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -19685 12500 + -13385 12500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -19685 17500 + -13385 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -19685 22500 + -13385 22500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -19685 27500 + -13385 27500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -19685 32500 + -13385 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -19685 37500 + -13385 37500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -19685 42500 + -13385 42500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -19685 47500 + -13385 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ -19685 52500 + -13385 52500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 19685 52500 + 13385 52500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 19685 47500 + 13385 47500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 19685 42500 + 13385 42500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 19685 37500 + 13385 37500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 19685 32500 + 13385 32500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 19685 27500 + 13385 27500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 19685 22500 + 13385 22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 19685 17500 + 13385 17500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 19685 12500 + 13385 12500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 19685 7500 + 13385 7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 19685 2500 + 13385 2500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 19685 -2500 + 13385 -2500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 19685 -7500 + 13385 -7500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 19685 -12500 + 13385 -12500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 19685 -17500 + 13385 -17500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 19685 -22500 + 13385 -22500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 19685 -27500 + 13385 -27500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 19685 -32500 + 13385 -32500 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 19685 -37500 + 13385 -37500 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 19685 -42500 + 13385 -42500 + 2362 1000 3362 "42" "42" 0x00000100] + Pad[ 19685 -47500 + 13385 -47500 + 2362 1000 3362 "43" "43" 0x00000100] + Pad[ 19685 -52500 + 13385 -52500 + 2362 1000 3362 "44" "44" 0x00000100] + ElementLine[-21866 -54681 -21866 54681 1000] + ElementLine[-21866 54681 21866 54681 1000] + ElementLine[ 21866 54681 21866 -54681 1000] + ElementLine[-21866 -54681 -2500 -54681 1000] + ElementLine[ 21866 -54681 2500 -54681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -54681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ44_400.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ44_400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ44_400.fp (revision 1033) @@ -0,0 +1,157 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (400 mil)" "" "SOJ44_400" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -22047 -52500 + -15748 -52500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -22047 -47500 + -15748 -47500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -22047 -42500 + -15748 -42500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -22047 -37500 + -15748 -37500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -22047 -32500 + -15748 -32500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -22047 -27500 + -15748 -27500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -22047 -22500 + -15748 -22500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -22047 -17500 + -15748 -17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -22047 -12500 + -15748 -12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -22047 -7500 + -15748 -7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -22047 -2500 + -15748 -2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -22047 2500 + -15748 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -22047 7500 + -15748 7500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -22047 12500 + -15748 12500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -22047 17500 + -15748 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -22047 22500 + -15748 22500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -22047 27500 + -15748 27500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -22047 32500 + -15748 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -22047 37500 + -15748 37500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -22047 42500 + -15748 42500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -22047 47500 + -15748 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ -22047 52500 + -15748 52500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 22047 52500 + 15748 52500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 22047 47500 + 15748 47500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 22047 42500 + 15748 42500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 22047 37500 + 15748 37500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 22047 32500 + 15748 32500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 22047 27500 + 15748 27500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 22047 22500 + 15748 22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 22047 17500 + 15748 17500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 22047 12500 + 15748 12500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 22047 7500 + 15748 7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 22047 2500 + 15748 2500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 22047 -2500 + 15748 -2500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 22047 -7500 + 15748 -7500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 22047 -12500 + 15748 -12500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 22047 -17500 + 15748 -17500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 22047 -22500 + 15748 -22500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 22047 -27500 + 15748 -27500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 22047 -32500 + 15748 -32500 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 22047 -37500 + 15748 -37500 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 22047 -42500 + 15748 -42500 + 2362 1000 3362 "42" "42" 0x00000100] + Pad[ 22047 -47500 + 15748 -47500 + 2362 1000 3362 "43" "43" 0x00000100] + Pad[ 22047 -52500 + 15748 -52500 + 2362 1000 3362 "44" "44" 0x00000100] + ElementLine[-24228 -54681 -24228 54681 1000] + ElementLine[-24228 54681 24228 54681 1000] + ElementLine[ 24228 54681 24228 -54681 1000] + ElementLine[-24228 -54681 -2500 -54681 1000] + ElementLine[ 24228 -54681 2500 -54681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -54681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SOJ44_450.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SOJ44_450.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SOJ44_450.fp (revision 1033) @@ -0,0 +1,157 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Small outline J-leaded package (450 mil)" "" "SOJ44_450" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -24803 -52500 + -18503 -52500 + 2362 1000 3362 "1" "1" 0x00000100] + Pad[ -24803 -47500 + -18503 -47500 + 2362 1000 3362 "2" "2" 0x00000100] + Pad[ -24803 -42500 + -18503 -42500 + 2362 1000 3362 "3" "3" 0x00000100] + Pad[ -24803 -37500 + -18503 -37500 + 2362 1000 3362 "4" "4" 0x00000100] + Pad[ -24803 -32500 + -18503 -32500 + 2362 1000 3362 "5" "5" 0x00000100] + Pad[ -24803 -27500 + -18503 -27500 + 2362 1000 3362 "6" "6" 0x00000100] + Pad[ -24803 -22500 + -18503 -22500 + 2362 1000 3362 "7" "7" 0x00000100] + Pad[ -24803 -17500 + -18503 -17500 + 2362 1000 3362 "8" "8" 0x00000100] + Pad[ -24803 -12500 + -18503 -12500 + 2362 1000 3362 "9" "9" 0x00000100] + Pad[ -24803 -7500 + -18503 -7500 + 2362 1000 3362 "10" "10" 0x00000100] + Pad[ -24803 -2500 + -18503 -2500 + 2362 1000 3362 "11" "11" 0x00000100] + Pad[ -24803 2500 + -18503 2500 + 2362 1000 3362 "12" "12" 0x00000100] + Pad[ -24803 7500 + -18503 7500 + 2362 1000 3362 "13" "13" 0x00000100] + Pad[ -24803 12500 + -18503 12500 + 2362 1000 3362 "14" "14" 0x00000100] + Pad[ -24803 17500 + -18503 17500 + 2362 1000 3362 "15" "15" 0x00000100] + Pad[ -24803 22500 + -18503 22500 + 2362 1000 3362 "16" "16" 0x00000100] + Pad[ -24803 27500 + -18503 27500 + 2362 1000 3362 "17" "17" 0x00000100] + Pad[ -24803 32500 + -18503 32500 + 2362 1000 3362 "18" "18" 0x00000100] + Pad[ -24803 37500 + -18503 37500 + 2362 1000 3362 "19" "19" 0x00000100] + Pad[ -24803 42500 + -18503 42500 + 2362 1000 3362 "20" "20" 0x00000100] + Pad[ -24803 47500 + -18503 47500 + 2362 1000 3362 "21" "21" 0x00000100] + Pad[ -24803 52500 + -18503 52500 + 2362 1000 3362 "22" "22" 0x00000100] + Pad[ 24803 52500 + 18503 52500 + 2362 1000 3362 "23" "23" 0x00000100] + Pad[ 24803 47500 + 18503 47500 + 2362 1000 3362 "24" "24" 0x00000100] + Pad[ 24803 42500 + 18503 42500 + 2362 1000 3362 "25" "25" 0x00000100] + Pad[ 24803 37500 + 18503 37500 + 2362 1000 3362 "26" "26" 0x00000100] + Pad[ 24803 32500 + 18503 32500 + 2362 1000 3362 "27" "27" 0x00000100] + Pad[ 24803 27500 + 18503 27500 + 2362 1000 3362 "28" "28" 0x00000100] + Pad[ 24803 22500 + 18503 22500 + 2362 1000 3362 "29" "29" 0x00000100] + Pad[ 24803 17500 + 18503 17500 + 2362 1000 3362 "30" "30" 0x00000100] + Pad[ 24803 12500 + 18503 12500 + 2362 1000 3362 "31" "31" 0x00000100] + Pad[ 24803 7500 + 18503 7500 + 2362 1000 3362 "32" "32" 0x00000100] + Pad[ 24803 2500 + 18503 2500 + 2362 1000 3362 "33" "33" 0x00000100] + Pad[ 24803 -2500 + 18503 -2500 + 2362 1000 3362 "34" "34" 0x00000100] + Pad[ 24803 -7500 + 18503 -7500 + 2362 1000 3362 "35" "35" 0x00000100] + Pad[ 24803 -12500 + 18503 -12500 + 2362 1000 3362 "36" "36" 0x00000100] + Pad[ 24803 -17500 + 18503 -17500 + 2362 1000 3362 "37" "37" 0x00000100] + Pad[ 24803 -22500 + 18503 -22500 + 2362 1000 3362 "38" "38" 0x00000100] + Pad[ 24803 -27500 + 18503 -27500 + 2362 1000 3362 "39" "39" 0x00000100] + Pad[ 24803 -32500 + 18503 -32500 + 2362 1000 3362 "40" "40" 0x00000100] + Pad[ 24803 -37500 + 18503 -37500 + 2362 1000 3362 "41" "41" 0x00000100] + Pad[ 24803 -42500 + 18503 -42500 + 2362 1000 3362 "42" "42" 0x00000100] + Pad[ 24803 -47500 + 18503 -47500 + 2362 1000 3362 "43" "43" 0x00000100] + Pad[ 24803 -52500 + 18503 -52500 + 2362 1000 3362 "44" "44" 0x00000100] + ElementLine[-26984 -54681 -26984 54681 1000] + ElementLine[-26984 54681 26984 54681 1000] + ElementLine[ 26984 54681 26984 -54681 1000] + ElementLine[-26984 -54681 -2500 -54681 1000] + ElementLine[ 26984 -54681 2500 -54681 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -54681 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/SSOP14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP14.fp (revision 1033) @@ -0,0 +1,24 @@ +Element(0x00 "Shrink small outline package, .65mm, narrow" "" "SSOP14" 184 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 38 30 38 16 "2" 0x100) + Pad(8 63 30 63 16 "3" 0x100) + Pad(8 89 30 89 16 "4" 0x100) + Pad(8 115 30 115 16 "5" 0x100) + Pad(8 140 30 140 16 "6" 0x100) + Pad(8 166 30 166 16 "7" 0x100) + Pad(299 167 321 167 16 "8" 0x100) + Pad(299 141 321 141 16 "9" 0x100) + Pad(299 116 321 116 16 "10" 0x100) + Pad(299 90 321 90 16 "11" 0x100) + Pad(299 64 321 64 16 "12" 0x100) + Pad(299 39 321 39 16 "13" 0x100) + Pad(299 13 321 13 16 "14" 0x100) + ElementLine(-10 -10 139 -10 10) + ElementArc(164 -10 25 25 0 180 10) + ElementLine(189 -10 339 -10 10) + ElementLine(339 -10 339 189 10) + ElementLine(339 189 -10 189 10) + ElementLine(-10 189 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP16.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "Shrink small outline package, .65mm, narrow" "" "SSOP16" 145 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 38 30 38 16 "2" 0x100) + Pad(8 63 30 63 16 "3" 0x100) + Pad(8 89 30 89 16 "4" 0x100) + Pad(8 115 30 115 16 "5" 0x100) + Pad(8 140 30 140 16 "6" 0x100) + Pad(8 166 30 166 16 "7" 0x100) + Pad(8 191 30 191 16 "8" 0x100) + Pad(220 192 242 192 16 "9" 0x100) + Pad(220 166 242 166 16 "10" 0x100) + Pad(220 141 242 141 16 "11" 0x100) + Pad(220 115 242 115 16 "12" 0x100) + Pad(220 89 242 89 16 "13" 0x100) + Pad(220 64 242 64 16 "14" 0x100) + Pad(220 38 242 38 16 "15" 0x100) + Pad(220 13 242 13 16 "16" 0x100) + ElementLine(-10 -10 100 -10 10) + ElementArc(125 -10 25 25 0 180 10) + ElementLine(150 -10 260 -10 10) + ElementLine(260 -10 260 214 10) + ElementLine(260 214 -10 214 10) + ElementLine(-10 214 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP20.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "Shrink small outline package, .65mm, narrow" "" "SSOP20" 153 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 38 30 38 16 "2" 0x100) + Pad(8 63 30 63 16 "3" 0x100) + Pad(8 89 30 89 16 "4" 0x100) + Pad(8 115 30 115 16 "5" 0x100) + Pad(8 140 30 140 16 "6" 0x100) + Pad(8 166 30 166 16 "7" 0x100) + Pad(8 191 30 191 16 "8" 0x100) + Pad(8 217 30 217 16 "9" 0x100) + Pad(8 243 30 243 16 "10" 0x100) + Pad(236 243 258 243 16 "11" 0x100) + Pad(236 217 258 217 16 "12" 0x100) + Pad(236 192 258 192 16 "13" 0x100) + Pad(236 166 258 166 16 "14" 0x100) + Pad(236 140 258 140 16 "15" 0x100) + Pad(236 115 258 115 16 "16" 0x100) + Pad(236 89 258 89 16 "17" 0x100) + Pad(236 64 258 64 16 "18" 0x100) + Pad(236 38 258 38 16 "19" 0x100) + Pad(236 12 258 12 16 "20" 0x100) + ElementLine(-10 -10 108 -10 10) + ElementArc(133 -10 25 25 0 180 10) + ElementLine(158 -10 276 -10 10) + ElementLine(276 -10 276 265 10) + ElementLine(276 265 -10 265 10) + ElementLine(-10 265 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP24.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "Shrink small outline package, .65mm, narrow" "" "SSOP24" 184 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 38 30 38 16 "2" 0x100) + Pad(8 63 30 63 16 "3" 0x100) + Pad(8 89 30 89 16 "4" 0x100) + Pad(8 115 30 115 16 "5" 0x100) + Pad(8 140 30 140 16 "6" 0x100) + Pad(8 166 30 166 16 "7" 0x100) + Pad(8 191 30 191 16 "8" 0x100) + Pad(8 217 30 217 16 "9" 0x100) + Pad(8 243 30 243 16 "10" 0x100) + Pad(8 268 30 268 16 "11" 0x100) + Pad(8 294 30 294 16 "12" 0x100) + Pad(299 295 321 295 16 "13" 0x100) + Pad(299 269 321 269 16 "14" 0x100) + Pad(299 244 321 244 16 "15" 0x100) + Pad(299 218 321 218 16 "16" 0x100) + Pad(299 192 321 192 16 "17" 0x100) + Pad(299 167 321 167 16 "18" 0x100) + Pad(299 141 321 141 16 "19" 0x100) + Pad(299 116 321 116 16 "20" 0x100) + Pad(299 90 321 90 16 "21" 0x100) + Pad(299 64 321 64 16 "22" 0x100) + Pad(299 39 321 39 16 "23" 0x100) + Pad(299 13 321 13 16 "24" 0x100) + ElementLine(-10 -10 139 -10 10) + ElementArc(164 -10 25 25 0 180 10) + ElementLine(189 -10 339 -10 10) + ElementLine(339 -10 339 317 10) + ElementLine(339 317 -10 317 10) + ElementLine(-10 317 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP28.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "Shrink small outline package, .65mm, narrow" "" "SSOP28" 184 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 38 30 38 16 "2" 0x100) + Pad(8 63 30 63 16 "3" 0x100) + Pad(8 89 30 89 16 "4" 0x100) + Pad(8 115 30 115 16 "5" 0x100) + Pad(8 140 30 140 16 "6" 0x100) + Pad(8 166 30 166 16 "7" 0x100) + Pad(8 191 30 191 16 "8" 0x100) + Pad(8 217 30 217 16 "9" 0x100) + Pad(8 243 30 243 16 "10" 0x100) + Pad(8 268 30 268 16 "11" 0x100) + Pad(8 294 30 294 16 "12" 0x100) + Pad(8 319 30 319 16 "13" 0x100) + Pad(8 345 30 345 16 "14" 0x100) + Pad(299 346 321 346 16 "15" 0x100) + Pad(299 320 321 320 16 "16" 0x100) + Pad(299 295 321 295 16 "17" 0x100) + Pad(299 269 321 269 16 "18" 0x100) + Pad(299 243 321 243 16 "19" 0x100) + Pad(299 218 321 218 16 "20" 0x100) + Pad(299 192 321 192 16 "21" 0x100) + Pad(299 167 321 167 16 "22" 0x100) + Pad(299 141 321 141 16 "23" 0x100) + Pad(299 115 321 115 16 "24" 0x100) + Pad(299 90 321 90 16 "25" 0x100) + Pad(299 64 321 64 16 "26" 0x100) + Pad(299 39 321 39 16 "27" 0x100) + Pad(299 13 321 13 16 "28" 0x100) + ElementLine(-10 -10 139 -10 10) + ElementArc(164 -10 25 25 0 180 10) + ElementLine(189 -10 339 -10 10) + ElementLine(339 -10 339 368 10) + ElementLine(339 368 -10 368 10) + ElementLine(-10 368 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP48W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP48W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP48W.fp (revision 1033) @@ -0,0 +1,58 @@ +Element(0x00 "Shrink small outline package, 25mil, wide" "" "SSOP48W" 233 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 37 30 37 16 "2" 0x100) + Pad(8 62 30 62 16 "3" 0x100) + Pad(8 87 30 87 16 "4" 0x100) + Pad(8 112 30 112 16 "5" 0x100) + Pad(8 137 30 137 16 "6" 0x100) + Pad(8 162 30 162 16 "7" 0x100) + Pad(8 187 30 187 16 "8" 0x100) + Pad(8 212 30 212 16 "9" 0x100) + Pad(8 237 30 237 16 "10" 0x100) + Pad(8 262 30 262 16 "11" 0x100) + Pad(8 287 30 287 16 "12" 0x100) + Pad(8 312 30 312 16 "13" 0x100) + Pad(8 337 30 337 16 "14" 0x100) + Pad(8 362 30 362 16 "15" 0x100) + Pad(8 387 30 387 16 "16" 0x100) + Pad(8 412 30 412 16 "17" 0x100) + Pad(8 437 30 437 16 "18" 0x100) + Pad(8 462 30 462 16 "19" 0x100) + Pad(8 487 30 487 16 "20" 0x100) + Pad(8 512 30 512 16 "21" 0x100) + Pad(8 537 30 537 16 "22" 0x100) + Pad(8 562 30 562 16 "23" 0x100) + Pad(8 587 30 587 16 "24" 0x100) + Pad(396 588 418 588 16 "25" 0x100) + Pad(396 563 418 563 16 "26" 0x100) + Pad(396 538 418 538 16 "27" 0x100) + Pad(396 513 418 513 16 "28" 0x100) + Pad(396 488 418 488 16 "29" 0x100) + Pad(396 463 418 463 16 "30" 0x100) + Pad(396 438 418 438 16 "31" 0x100) + Pad(396 413 418 413 16 "32" 0x100) + Pad(396 388 418 388 16 "33" 0x100) + Pad(396 363 418 363 16 "34" 0x100) + Pad(396 338 418 338 16 "35" 0x100) + Pad(396 313 418 313 16 "36" 0x100) + Pad(396 288 418 288 16 "37" 0x100) + Pad(396 263 418 263 16 "38" 0x100) + Pad(396 238 418 238 16 "39" 0x100) + Pad(396 213 418 213 16 "40" 0x100) + Pad(396 188 418 188 16 "41" 0x100) + Pad(396 163 418 163 16 "42" 0x100) + Pad(396 138 418 138 16 "43" 0x100) + Pad(396 113 418 113 16 "44" 0x100) + Pad(396 88 418 88 16 "45" 0x100) + Pad(396 63 418 63 16 "46" 0x100) + Pad(396 38 418 38 16 "47" 0x100) + Pad(396 13 418 13 16 "48" 0x100) + ElementLine(-10 -10 188 -10 10) + ElementArc(213 -10 25 25 0 180 10) + ElementLine(238 -10 436 -10 10) + ElementLine(436 -10 436 610 10) + ElementLine(436 610 -10 610 10) + ElementLine(-10 610 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP56W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP56W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP56W.fp (revision 1033) @@ -0,0 +1,66 @@ +Element(0x00 "Shrink small outline package, 25mil, wide" "" "SSOP56W" 233 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 37 30 37 16 "2" 0x100) + Pad(8 62 30 62 16 "3" 0x100) + Pad(8 87 30 87 16 "4" 0x100) + Pad(8 112 30 112 16 "5" 0x100) + Pad(8 137 30 137 16 "6" 0x100) + Pad(8 162 30 162 16 "7" 0x100) + Pad(8 187 30 187 16 "8" 0x100) + Pad(8 212 30 212 16 "9" 0x100) + Pad(8 237 30 237 16 "10" 0x100) + Pad(8 262 30 262 16 "11" 0x100) + Pad(8 287 30 287 16 "12" 0x100) + Pad(8 312 30 312 16 "13" 0x100) + Pad(8 337 30 337 16 "14" 0x100) + Pad(8 362 30 362 16 "15" 0x100) + Pad(8 387 30 387 16 "16" 0x100) + Pad(8 412 30 412 16 "17" 0x100) + Pad(8 437 30 437 16 "18" 0x100) + Pad(8 462 30 462 16 "19" 0x100) + Pad(8 487 30 487 16 "20" 0x100) + Pad(8 512 30 512 16 "21" 0x100) + Pad(8 537 30 537 16 "22" 0x100) + Pad(8 562 30 562 16 "23" 0x100) + Pad(8 587 30 587 16 "24" 0x100) + Pad(8 612 30 612 16 "25" 0x100) + Pad(8 637 30 637 16 "26" 0x100) + Pad(8 662 30 662 16 "27" 0x100) + Pad(8 687 30 687 16 "28" 0x100) + Pad(396 688 418 688 16 "29" 0x100) + Pad(396 663 418 663 16 "30" 0x100) + Pad(396 638 418 638 16 "31" 0x100) + Pad(396 613 418 613 16 "32" 0x100) + Pad(396 588 418 588 16 "33" 0x100) + Pad(396 563 418 563 16 "34" 0x100) + Pad(396 538 418 538 16 "35" 0x100) + Pad(396 513 418 513 16 "36" 0x100) + Pad(396 488 418 488 16 "37" 0x100) + Pad(396 463 418 463 16 "38" 0x100) + Pad(396 438 418 438 16 "39" 0x100) + Pad(396 413 418 413 16 "40" 0x100) + Pad(396 388 418 388 16 "41" 0x100) + Pad(396 363 418 363 16 "42" 0x100) + Pad(396 338 418 338 16 "43" 0x100) + Pad(396 313 418 313 16 "44" 0x100) + Pad(396 288 418 288 16 "45" 0x100) + Pad(396 263 418 263 16 "46" 0x100) + Pad(396 238 418 238 16 "47" 0x100) + Pad(396 213 418 213 16 "48" 0x100) + Pad(396 188 418 188 16 "49" 0x100) + Pad(396 163 418 163 16 "50" 0x100) + Pad(396 138 418 138 16 "51" 0x100) + Pad(396 113 418 113 16 "52" 0x100) + Pad(396 88 418 88 16 "53" 0x100) + Pad(396 63 418 63 16 "54" 0x100) + Pad(396 38 418 38 16 "55" 0x100) + Pad(396 13 418 13 16 "56" 0x100) + ElementLine(-10 -10 188 -10 10) + ElementArc(213 -10 25 25 0 180 10) + ElementLine(238 -10 436 -10 10) + ElementLine(436 -10 436 710 10) + ElementLine(436 710 -10 710 10) + ElementLine(-10 710 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP64W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP64W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP64W.fp (revision 1033) @@ -0,0 +1,74 @@ +Element(0x00 "Shrink small outline package, .80mm, wider" "" "SSOP64W" 295 50 3 100 0x00) +( + Pad(8 15 30 15 16 "1" 0x00) + Pad(8 47 30 47 16 "2" 0x100) + Pad(8 78 30 78 16 "3" 0x100) + Pad(8 110 30 110 16 "4" 0x100) + Pad(8 141 30 141 16 "5" 0x100) + Pad(8 173 30 173 16 "6" 0x100) + Pad(8 204 30 204 16 "7" 0x100) + Pad(8 236 30 236 16 "8" 0x100) + Pad(8 267 30 267 16 "9" 0x100) + Pad(8 299 30 299 16 "10" 0x100) + Pad(8 330 30 330 16 "11" 0x100) + Pad(8 362 30 362 16 "12" 0x100) + Pad(8 393 30 393 16 "13" 0x100) + Pad(8 425 30 425 16 "14" 0x100) + Pad(8 456 30 456 16 "15" 0x100) + Pad(8 488 30 488 16 "16" 0x100) + Pad(8 519 30 519 16 "17" 0x100) + Pad(8 551 30 551 16 "18" 0x100) + Pad(8 582 30 582 16 "19" 0x100) + Pad(8 614 30 614 16 "20" 0x100) + Pad(8 645 30 645 16 "21" 0x100) + Pad(8 677 30 677 16 "22" 0x100) + Pad(8 708 30 708 16 "23" 0x100) + Pad(8 740 30 740 16 "24" 0x100) + Pad(8 771 30 771 16 "25" 0x100) + Pad(8 803 30 803 16 "26" 0x100) + Pad(8 834 30 834 16 "27" 0x100) + Pad(8 866 30 866 16 "28" 0x100) + Pad(8 897 30 897 16 "29" 0x100) + Pad(8 929 30 929 16 "30" 0x100) + Pad(8 960 30 960 16 "31" 0x100) + Pad(8 992 30 992 16 "32" 0x100) + Pad(521 993 543 993 16 "33" 0x100) + Pad(521 961 543 961 16 "34" 0x100) + Pad(521 930 543 930 16 "35" 0x100) + Pad(521 898 543 898 16 "36" 0x100) + Pad(521 867 543 867 16 "37" 0x100) + Pad(521 835 543 835 16 "38" 0x100) + Pad(521 804 543 804 16 "39" 0x100) + Pad(521 772 543 772 16 "40" 0x100) + Pad(521 741 543 741 16 "41" 0x100) + Pad(521 709 543 709 16 "42" 0x100) + Pad(521 678 543 678 16 "43" 0x100) + Pad(521 646 543 646 16 "44" 0x100) + Pad(521 615 543 615 16 "45" 0x100) + Pad(521 583 543 583 16 "46" 0x100) + Pad(521 552 543 552 16 "47" 0x100) + Pad(521 520 543 520 16 "48" 0x100) + Pad(521 489 543 489 16 "49" 0x100) + Pad(521 457 543 457 16 "50" 0x100) + Pad(521 426 543 426 16 "51" 0x100) + Pad(521 394 543 394 16 "52" 0x100) + Pad(521 363 543 363 16 "53" 0x100) + Pad(521 331 543 331 16 "54" 0x100) + Pad(521 300 543 300 16 "55" 0x100) + Pad(521 268 543 268 16 "56" 0x100) + Pad(521 237 543 237 16 "57" 0x100) + Pad(521 205 543 205 16 "58" 0x100) + Pad(521 174 543 174 16 "59" 0x100) + Pad(521 142 543 142 16 "60" 0x100) + Pad(521 111 543 111 16 "61" 0x100) + Pad(521 79 543 79 16 "62" 0x100) + Pad(521 48 543 48 16 "63" 0x100) + Pad(521 16 543 16 16 "64" 0x100) + ElementLine(-10 -10 244 -10 10) + ElementArc(275 -10 31 31 0 180 10) + ElementLine(306 -10 561 -10 10) + ElementLine(561 -10 561 1018 10) + ElementLine(561 1018 -10 1018 10) + ElementLine(-10 1018 -10 -10 10) + Mark(23 15) +) Index: oldlib/lib/pcblib-newlib/geda/SSOP8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/SSOP8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/SSOP8.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "Shrink small outline package, .65mm, narrow" "" "SSOP8" 184 50 3 100 0x00) +( + Pad(8 12 30 12 16 "1" 0x00) + Pad(8 38 30 38 16 "2" 0x100) + Pad(8 63 30 63 16 "3" 0x100) + Pad(8 89 30 89 16 "4" 0x100) + Pad(299 90 321 90 16 "5" 0x100) + Pad(299 64 321 64 16 "6" 0x100) + Pad(299 39 321 39 16 "7" 0x100) + Pad(299 13 321 13 16 "8" 0x100) + ElementLine(-10 -10 139 -10 10) + ElementArc(164 -10 25 25 0 180 10) + ElementLine(189 -10 339 -10 10) + ElementLine(339 -10 339 112 10) + ElementLine(339 112 -10 112 10) + ElementLine(-10 112 -10 -10 10) + Mark(23 12) +) Index: oldlib/lib/pcblib-newlib/geda/TQFN12_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN12_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN12_4.fp (revision 1033) @@ -0,0 +1,55 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN12_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8031 -3149 -6141 -3149 1653 2000 1953 "1" "1" 0x00000100] + Pad[-8031 0 -6141 0 1653 2000 1953 "2" "2" 0x00000100] + Pad[-8031 3149 -6141 3149 1653 2000 1953 "3" "3" 0x00000100] +# bottom row + Pad[-3149 8031 -3149 6141 1653 2000 1953 "4" "4" 0x00000900] + Pad[0 8031 0 6141 1653 2000 1953 "5" "5" 0x00000900] + Pad[3149 8031 3149 6141 1653 2000 1953 "6" "6" 0x00000900] +# right row + Pad[8031 3149 6141 3149 1653 2000 1953 "7" "7" 0x00000100] + Pad[8031 0 6141 0 1653 2000 1953 "8" "8" 0x00000100] + Pad[8031 -3149 6141 -3149 1653 2000 1953 "9" "9" 0x00000100] +# top row + Pad[3149 -8031 3149 -6141 1653 2000 1953 "10" "10" 0x00000900] + Pad[0 -8031 0 -6141 1653 2000 1953 "11" "11" 0x00000900] + Pad[-3149 -8031 -3149 -6141 1653 2000 1953 "12" "12" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN12_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN12_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN12_4_EP.fp (revision 1033) @@ -0,0 +1,58 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN12_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8031 -3149 -6141 -3149 1653 2000 1953 "1" "1" 0x00000100] + Pad[-8031 0 -6141 0 1653 2000 1953 "2" "2" 0x00000100] + Pad[-8031 3149 -6141 3149 1653 2000 1953 "3" "3" 0x00000100] +# bottom row + Pad[-3149 8031 -3149 6141 1653 2000 1953 "4" "4" 0x00000900] + Pad[0 8031 0 6141 1653 2000 1953 "5" "5" 0x00000900] + Pad[3149 8031 3149 6141 1653 2000 1953 "6" "6" 0x00000900] +# right row + Pad[8031 3149 6141 3149 1653 2000 1953 "7" "7" 0x00000100] + Pad[8031 0 6141 0 1653 2000 1953 "8" "8" 0x00000100] + Pad[8031 -3149 6141 -3149 1653 2000 1953 "9" "9" 0x00000100] +# top row + Pad[3149 -8031 3149 -6141 1653 2000 1953 "10" "10" 0x00000900] + Pad[0 -8031 0 -6141 1653 2000 1953 "11" "11" 0x00000900] + Pad[-3149 -8031 -3149 -6141 1653 2000 1953 "12" "12" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 8267 0 8667 "13" "13" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN16_3.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN16_3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN16_3.fp (revision 1033) @@ -0,0 +1,59 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN16_3" 0 0 -7889 -8939 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-6338 -2952 -4685 -2952 1102 2000 1402 "1" "1" 0x00000100] + Pad[-6338 -984 -4685 -984 1102 2000 1402 "2" "2" 0x00000100] + Pad[-6338 984 -4685 984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-6338 2952 -4685 2952 1102 2000 1402 "4" "4" 0x00000100] +# bottom row + Pad[-2952 6338 -2952 4685 1102 2000 1402 "5" "5" 0x00000900] + Pad[-984 6338 -984 4685 1102 2000 1402 "6" "6" 0x00000900] + Pad[984 6338 984 4685 1102 2000 1402 "7" "7" 0x00000900] + Pad[2952 6338 2952 4685 1102 2000 1402 "8" "8" 0x00000900] +# right row + Pad[6338 2952 4685 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[6338 984 4685 984 1102 2000 1402 "10" "10" 0x00000100] + Pad[6338 -984 4685 -984 1102 2000 1402 "11" "11" 0x00000100] + Pad[6338 -2952 4685 -2952 1102 2000 1402 "12" "12" 0x00000100] +# top row + Pad[2952 -6338 2952 -4685 1102 2000 1402 "13" "13" 0x00000900] + Pad[984 -6338 984 -4685 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 -6338 -984 -4685 1102 2000 1402 "15" "15" 0x00000900] + Pad[-2952 -6338 -2952 -4685 1102 2000 1402 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 7889 7889 7889 -7889 1000] +ElementLine[ 7889 -7889 -7889 -7889 1000] +ElementLine[-7889 -7889 -7889 7889 1000] +ElementLine[-7889 7889 7889 7889 1000] +# Pin 1 indicator +ElementLine[-7889 -7889 -9389 -9389 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN16_3_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN16_3_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN16_3_EP.fp (revision 1033) @@ -0,0 +1,62 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN16_3_EP" 0 0 -7889 -8939 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-6338 -2952 -4685 -2952 1102 2000 1402 "1" "1" 0x00000100] + Pad[-6338 -984 -4685 -984 1102 2000 1402 "2" "2" 0x00000100] + Pad[-6338 984 -4685 984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-6338 2952 -4685 2952 1102 2000 1402 "4" "4" 0x00000100] +# bottom row + Pad[-2952 6338 -2952 4685 1102 2000 1402 "5" "5" 0x00000900] + Pad[-984 6338 -984 4685 1102 2000 1402 "6" "6" 0x00000900] + Pad[984 6338 984 4685 1102 2000 1402 "7" "7" 0x00000900] + Pad[2952 6338 2952 4685 1102 2000 1402 "8" "8" 0x00000900] +# right row + Pad[6338 2952 4685 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[6338 984 4685 984 1102 2000 1402 "10" "10" 0x00000100] + Pad[6338 -984 4685 -984 1102 2000 1402 "11" "11" 0x00000100] + Pad[6338 -2952 4685 -2952 1102 2000 1402 "12" "12" 0x00000100] +# top row + Pad[2952 -6338 2952 -4685 1102 2000 1402 "13" "13" 0x00000900] + Pad[984 -6338 984 -4685 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 -6338 -984 -4685 1102 2000 1402 "15" "15" 0x00000900] + Pad[-2952 -6338 -2952 -4685 1102 2000 1402 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 5905 0 6305 "17" "17" 0x00000100] +# Silk screen around package +ElementLine[ 7889 7889 7889 -7889 1000] +ElementLine[ 7889 -7889 -7889 -7889 1000] +ElementLine[-7889 -7889 -7889 7889 1000] +ElementLine[-7889 7889 7889 7889 1000] +# Pin 1 indicator +ElementLine[-7889 -7889 -9389 -9389 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN16_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN16_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN16_4.fp (revision 1033) @@ -0,0 +1,59 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN16_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8129 -3838 -6043 -3838 1456 2000 1756 "1" "1" 0x00000100] + Pad[-8129 -1279 -6043 -1279 1456 2000 1756 "2" "2" 0x00000100] + Pad[-8129 1279 -6043 1279 1456 2000 1756 "3" "3" 0x00000100] + Pad[-8129 3838 -6043 3838 1456 2000 1756 "4" "4" 0x00000100] +# bottom row + Pad[-3838 8129 -3838 6043 1456 2000 1756 "5" "5" 0x00000900] + Pad[-1279 8129 -1279 6043 1456 2000 1756 "6" "6" 0x00000900] + Pad[1279 8129 1279 6043 1456 2000 1756 "7" "7" 0x00000900] + Pad[3838 8129 3838 6043 1456 2000 1756 "8" "8" 0x00000900] +# right row + Pad[8129 3838 6043 3838 1456 2000 1756 "9" "9" 0x00000100] + Pad[8129 1279 6043 1279 1456 2000 1756 "10" "10" 0x00000100] + Pad[8129 -1279 6043 -1279 1456 2000 1756 "11" "11" 0x00000100] + Pad[8129 -3838 6043 -3838 1456 2000 1756 "12" "12" 0x00000100] +# top row + Pad[3838 -8129 3838 -6043 1456 2000 1756 "13" "13" 0x00000900] + Pad[1279 -8129 1279 -6043 1456 2000 1756 "14" "14" 0x00000900] + Pad[-1279 -8129 -1279 -6043 1456 2000 1756 "15" "15" 0x00000900] + Pad[-3838 -8129 -3838 -6043 1456 2000 1756 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN16_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN16_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN16_4_EP.fp (revision 1033) @@ -0,0 +1,62 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN16_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8129 -3838 -6043 -3838 1456 2000 1756 "1" "1" 0x00000100] + Pad[-8129 -1279 -6043 -1279 1456 2000 1756 "2" "2" 0x00000100] + Pad[-8129 1279 -6043 1279 1456 2000 1756 "3" "3" 0x00000100] + Pad[-8129 3838 -6043 3838 1456 2000 1756 "4" "4" 0x00000100] +# bottom row + Pad[-3838 8129 -3838 6043 1456 2000 1756 "5" "5" 0x00000900] + Pad[-1279 8129 -1279 6043 1456 2000 1756 "6" "6" 0x00000900] + Pad[1279 8129 1279 6043 1456 2000 1756 "7" "7" 0x00000900] + Pad[3838 8129 3838 6043 1456 2000 1756 "8" "8" 0x00000900] +# right row + Pad[8129 3838 6043 3838 1456 2000 1756 "9" "9" 0x00000100] + Pad[8129 1279 6043 1279 1456 2000 1756 "10" "10" 0x00000100] + Pad[8129 -1279 6043 -1279 1456 2000 1756 "11" "11" 0x00000100] + Pad[8129 -3838 6043 -3838 1456 2000 1756 "12" "12" 0x00000100] +# top row + Pad[3838 -8129 3838 -6043 1456 2000 1756 "13" "13" 0x00000900] + Pad[1279 -8129 1279 -6043 1456 2000 1756 "14" "14" 0x00000900] + Pad[-1279 -8129 -1279 -6043 1456 2000 1756 "15" "15" 0x00000900] + Pad[-3838 -8129 -3838 -6043 1456 2000 1756 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 8267 0 8667 "17" "17" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN16_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN16_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN16_5.fp (revision 1033) @@ -0,0 +1,59 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN16_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10000 -4724 -8110 -4724 1653 2000 1953 "1" "1" 0x00000100] + Pad[-10000 -1574 -8110 -1574 1653 2000 1953 "2" "2" 0x00000100] + Pad[-10000 1574 -8110 1574 1653 2000 1953 "3" "3" 0x00000100] + Pad[-10000 4724 -8110 4724 1653 2000 1953 "4" "4" 0x00000100] +# bottom row + Pad[-4724 10000 -4724 8110 1653 2000 1953 "5" "5" 0x00000900] + Pad[-1574 10000 -1574 8110 1653 2000 1953 "6" "6" 0x00000900] + Pad[1574 10000 1574 8110 1653 2000 1953 "7" "7" 0x00000900] + Pad[4724 10000 4724 8110 1653 2000 1953 "8" "8" 0x00000900] +# right row + Pad[10000 4724 8110 4724 1653 2000 1953 "9" "9" 0x00000100] + Pad[10000 1574 8110 1574 1653 2000 1953 "10" "10" 0x00000100] + Pad[10000 -1574 8110 -1574 1653 2000 1953 "11" "11" 0x00000100] + Pad[10000 -4724 8110 -4724 1653 2000 1953 "12" "12" 0x00000100] +# top row + Pad[4724 -10000 4724 -8110 1653 2000 1953 "13" "13" 0x00000900] + Pad[1574 -10000 1574 -8110 1653 2000 1953 "14" "14" 0x00000900] + Pad[-1574 -10000 -1574 -8110 1653 2000 1953 "15" "15" 0x00000900] + Pad[-4724 -10000 -4724 -8110 1653 2000 1953 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN16_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN16_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN16_5_EP.fp (revision 1033) @@ -0,0 +1,62 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN16_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10000 -4724 -8110 -4724 1653 2000 1953 "1" "1" 0x00000100] + Pad[-10000 -1574 -8110 -1574 1653 2000 1953 "2" "2" 0x00000100] + Pad[-10000 1574 -8110 1574 1653 2000 1953 "3" "3" 0x00000100] + Pad[-10000 4724 -8110 4724 1653 2000 1953 "4" "4" 0x00000100] +# bottom row + Pad[-4724 10000 -4724 8110 1653 2000 1953 "5" "5" 0x00000900] + Pad[-1574 10000 -1574 8110 1653 2000 1953 "6" "6" 0x00000900] + Pad[1574 10000 1574 8110 1653 2000 1953 "7" "7" 0x00000900] + Pad[4724 10000 4724 8110 1653 2000 1953 "8" "8" 0x00000900] +# right row + Pad[10000 4724 8110 4724 1653 2000 1953 "9" "9" 0x00000100] + Pad[10000 1574 8110 1574 1653 2000 1953 "10" "10" 0x00000100] + Pad[10000 -1574 8110 -1574 1653 2000 1953 "11" "11" 0x00000100] + Pad[10000 -4724 8110 -4724 1653 2000 1953 "12" "12" 0x00000100] +# top row + Pad[4724 -10000 4724 -8110 1653 2000 1953 "13" "13" 0x00000900] + Pad[1574 -10000 1574 -8110 1653 2000 1953 "14" "14" 0x00000900] + Pad[-1574 -10000 -1574 -8110 1653 2000 1953 "15" "15" 0x00000900] + Pad[-4724 -10000 -4724 -8110 1653 2000 1953 "16" "16" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12204 0 12604 "17" "17" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN20_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN20_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN20_4.fp (revision 1033) @@ -0,0 +1,63 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN20_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -3937 -5866 -3937 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -1968 -5866 -1968 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 0 -5866 0 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 1968 -5866 1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 3937 -5866 3937 1102 2000 1402 "5" "5" 0x00000100] +# bottom row + Pad[-3937 8307 -3937 5866 1102 2000 1402 "6" "6" 0x00000900] + Pad[-1968 8307 -1968 5866 1102 2000 1402 "7" "7" 0x00000900] + Pad[0 8307 0 5866 1102 2000 1402 "8" "8" 0x00000900] + Pad[1968 8307 1968 5866 1102 2000 1402 "9" "9" 0x00000900] + Pad[3937 8307 3937 5866 1102 2000 1402 "10" "10" 0x00000900] +# right row + Pad[8307 3937 5866 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[8307 1968 5866 1968 1102 2000 1402 "12" "12" 0x00000100] + Pad[8307 0 5866 0 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 -1968 5866 -1968 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 -3937 5866 -3937 1102 2000 1402 "15" "15" 0x00000100] +# top row + Pad[3937 -8307 3937 -5866 1102 2000 1402 "16" "16" 0x00000900] + Pad[1968 -8307 1968 -5866 1102 2000 1402 "17" "17" 0x00000900] + Pad[0 -8307 0 -5866 1102 2000 1402 "18" "18" 0x00000900] + Pad[-1968 -8307 -1968 -5866 1102 2000 1402 "19" "19" 0x00000900] + Pad[-3937 -8307 -3937 -5866 1102 2000 1402 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN20_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN20_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN20_4_EP.fp (revision 1033) @@ -0,0 +1,66 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN20_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -3937 -5866 -3937 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -1968 -5866 -1968 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 0 -5866 0 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 1968 -5866 1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 3937 -5866 3937 1102 2000 1402 "5" "5" 0x00000100] +# bottom row + Pad[-3937 8307 -3937 5866 1102 2000 1402 "6" "6" 0x00000900] + Pad[-1968 8307 -1968 5866 1102 2000 1402 "7" "7" 0x00000900] + Pad[0 8307 0 5866 1102 2000 1402 "8" "8" 0x00000900] + Pad[1968 8307 1968 5866 1102 2000 1402 "9" "9" 0x00000900] + Pad[3937 8307 3937 5866 1102 2000 1402 "10" "10" 0x00000900] +# right row + Pad[8307 3937 5866 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[8307 1968 5866 1968 1102 2000 1402 "12" "12" 0x00000100] + Pad[8307 0 5866 0 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 -1968 5866 -1968 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 -3937 5866 -3937 1102 2000 1402 "15" "15" 0x00000100] +# top row + Pad[3937 -8307 3937 -5866 1102 2000 1402 "16" "16" 0x00000900] + Pad[1968 -8307 1968 -5866 1102 2000 1402 "17" "17" 0x00000900] + Pad[0 -8307 0 -5866 1102 2000 1402 "18" "18" 0x00000900] + Pad[-1968 -8307 -1968 -5866 1102 2000 1402 "19" "19" 0x00000900] + Pad[-3937 -8307 -3937 -5866 1102 2000 1402 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 8267 0 8667 "21" "21" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN20_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN20_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN20_5.fp (revision 1033) @@ -0,0 +1,63 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN20_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10098 -5118 -8011 -5118 1456 2000 1756 "1" "1" 0x00000100] + Pad[-10098 -2559 -8011 -2559 1456 2000 1756 "2" "2" 0x00000100] + Pad[-10098 0 -8011 0 1456 2000 1756 "3" "3" 0x00000100] + Pad[-10098 2559 -8011 2559 1456 2000 1756 "4" "4" 0x00000100] + Pad[-10098 5118 -8011 5118 1456 2000 1756 "5" "5" 0x00000100] +# bottom row + Pad[-5118 10098 -5118 8011 1456 2000 1756 "6" "6" 0x00000900] + Pad[-2559 10098 -2559 8011 1456 2000 1756 "7" "7" 0x00000900] + Pad[0 10098 0 8011 1456 2000 1756 "8" "8" 0x00000900] + Pad[2559 10098 2559 8011 1456 2000 1756 "9" "9" 0x00000900] + Pad[5118 10098 5118 8011 1456 2000 1756 "10" "10" 0x00000900] +# right row + Pad[10098 5118 8011 5118 1456 2000 1756 "11" "11" 0x00000100] + Pad[10098 2559 8011 2559 1456 2000 1756 "12" "12" 0x00000100] + Pad[10098 0 8011 0 1456 2000 1756 "13" "13" 0x00000100] + Pad[10098 -2559 8011 -2559 1456 2000 1756 "14" "14" 0x00000100] + Pad[10098 -5118 8011 -5118 1456 2000 1756 "15" "15" 0x00000100] +# top row + Pad[5118 -10098 5118 -8011 1456 2000 1756 "16" "16" 0x00000900] + Pad[2559 -10098 2559 -8011 1456 2000 1756 "17" "17" 0x00000900] + Pad[0 -10098 0 -8011 1456 2000 1756 "18" "18" 0x00000900] + Pad[-2559 -10098 -2559 -8011 1456 2000 1756 "19" "19" 0x00000900] + Pad[-5118 -10098 -5118 -8011 1456 2000 1756 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN20_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN20_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN20_5_EP.fp (revision 1033) @@ -0,0 +1,66 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN20_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10098 -5118 -8011 -5118 1456 2000 1756 "1" "1" 0x00000100] + Pad[-10098 -2559 -8011 -2559 1456 2000 1756 "2" "2" 0x00000100] + Pad[-10098 0 -8011 0 1456 2000 1756 "3" "3" 0x00000100] + Pad[-10098 2559 -8011 2559 1456 2000 1756 "4" "4" 0x00000100] + Pad[-10098 5118 -8011 5118 1456 2000 1756 "5" "5" 0x00000100] +# bottom row + Pad[-5118 10098 -5118 8011 1456 2000 1756 "6" "6" 0x00000900] + Pad[-2559 10098 -2559 8011 1456 2000 1756 "7" "7" 0x00000900] + Pad[0 10098 0 8011 1456 2000 1756 "8" "8" 0x00000900] + Pad[2559 10098 2559 8011 1456 2000 1756 "9" "9" 0x00000900] + Pad[5118 10098 5118 8011 1456 2000 1756 "10" "10" 0x00000900] +# right row + Pad[10098 5118 8011 5118 1456 2000 1756 "11" "11" 0x00000100] + Pad[10098 2559 8011 2559 1456 2000 1756 "12" "12" 0x00000100] + Pad[10098 0 8011 0 1456 2000 1756 "13" "13" 0x00000100] + Pad[10098 -2559 8011 -2559 1456 2000 1756 "14" "14" 0x00000100] + Pad[10098 -5118 8011 -5118 1456 2000 1756 "15" "15" 0x00000100] +# top row + Pad[5118 -10098 5118 -8011 1456 2000 1756 "16" "16" 0x00000900] + Pad[2559 -10098 2559 -8011 1456 2000 1756 "17" "17" 0x00000900] + Pad[0 -10098 0 -8011 1456 2000 1756 "18" "18" 0x00000900] + Pad[-2559 -10098 -2559 -8011 1456 2000 1756 "19" "19" 0x00000900] + Pad[-5118 -10098 -5118 -8011 1456 2000 1756 "20" "20" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12204 0 12604 "21" "21" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN24_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN24_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN24_4.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN24_4" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -4921 -6653 -4921 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -2952 -6653 -2952 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 -984 -6653 -984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 984 -6653 984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 2952 -6653 2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-8307 4921 -6653 4921 1102 2000 1402 "6" "6" 0x00000100] +# bottom row + Pad[-4921 8307 -4921 6653 1102 2000 1402 "7" "7" 0x00000900] + Pad[-2952 8307 -2952 6653 1102 2000 1402 "8" "8" 0x00000900] + Pad[-984 8307 -984 6653 1102 2000 1402 "9" "9" 0x00000900] + Pad[984 8307 984 6653 1102 2000 1402 "10" "10" 0x00000900] + Pad[2952 8307 2952 6653 1102 2000 1402 "11" "11" 0x00000900] + Pad[4921 8307 4921 6653 1102 2000 1402 "12" "12" 0x00000900] +# right row + Pad[8307 4921 6653 4921 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 2952 6653 2952 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 984 6653 984 1102 2000 1402 "15" "15" 0x00000100] + Pad[8307 -984 6653 -984 1102 2000 1402 "16" "16" 0x00000100] + Pad[8307 -2952 6653 -2952 1102 2000 1402 "17" "17" 0x00000100] + Pad[8307 -4921 6653 -4921 1102 2000 1402 "18" "18" 0x00000100] +# top row + Pad[4921 -8307 4921 -6653 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 -8307 2952 -6653 1102 2000 1402 "20" "20" 0x00000900] + Pad[984 -8307 984 -6653 1102 2000 1402 "21" "21" 0x00000900] + Pad[-984 -8307 -984 -6653 1102 2000 1402 "22" "22" 0x00000900] + Pad[-2952 -8307 -2952 -6653 1102 2000 1402 "23" "23" 0x00000900] + Pad[-4921 -8307 -4921 -6653 1102 2000 1402 "24" "24" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN24_4_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN24_4_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN24_4_EP.fp (revision 1033) @@ -0,0 +1,70 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN24_4_EP" 0 0 -9858 -10908 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-8307 -4921 -6653 -4921 1102 2000 1402 "1" "1" 0x00000100] + Pad[-8307 -2952 -6653 -2952 1102 2000 1402 "2" "2" 0x00000100] + Pad[-8307 -984 -6653 -984 1102 2000 1402 "3" "3" 0x00000100] + Pad[-8307 984 -6653 984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-8307 2952 -6653 2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-8307 4921 -6653 4921 1102 2000 1402 "6" "6" 0x00000100] +# bottom row + Pad[-4921 8307 -4921 6653 1102 2000 1402 "7" "7" 0x00000900] + Pad[-2952 8307 -2952 6653 1102 2000 1402 "8" "8" 0x00000900] + Pad[-984 8307 -984 6653 1102 2000 1402 "9" "9" 0x00000900] + Pad[984 8307 984 6653 1102 2000 1402 "10" "10" 0x00000900] + Pad[2952 8307 2952 6653 1102 2000 1402 "11" "11" 0x00000900] + Pad[4921 8307 4921 6653 1102 2000 1402 "12" "12" 0x00000900] +# right row + Pad[8307 4921 6653 4921 1102 2000 1402 "13" "13" 0x00000100] + Pad[8307 2952 6653 2952 1102 2000 1402 "14" "14" 0x00000100] + Pad[8307 984 6653 984 1102 2000 1402 "15" "15" 0x00000100] + Pad[8307 -984 6653 -984 1102 2000 1402 "16" "16" 0x00000100] + Pad[8307 -2952 6653 -2952 1102 2000 1402 "17" "17" 0x00000100] + Pad[8307 -4921 6653 -4921 1102 2000 1402 "18" "18" 0x00000100] +# top row + Pad[4921 -8307 4921 -6653 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 -8307 2952 -6653 1102 2000 1402 "20" "20" 0x00000900] + Pad[984 -8307 984 -6653 1102 2000 1402 "21" "21" 0x00000900] + Pad[-984 -8307 -984 -6653 1102 2000 1402 "22" "22" 0x00000900] + Pad[-2952 -8307 -2952 -6653 1102 2000 1402 "23" "23" 0x00000900] + Pad[-4921 -8307 -4921 -6653 1102 2000 1402 "24" "24" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 10236 0 10636 "25" "25" 0x00000100] +# Silk screen around package +ElementLine[ 9858 9858 9858 -9858 1000] +ElementLine[ 9858 -9858 -9858 -9858 1000] +ElementLine[-9858 -9858 -9858 9858 1000] +ElementLine[-9858 9858 9858 9858 1000] +# Pin 1 indicator +ElementLine[-9858 -9858 -11358 -11358 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN28_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN28_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN28_5.fp (revision 1033) @@ -0,0 +1,71 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN28_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -5905 -7834 -5905 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -3937 -7834 -3937 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -1968 -7834 -1968 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 0 -7834 0 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 1968 -7834 1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 3937 -7834 3937 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 5905 -7834 5905 1102 2000 1402 "7" "7" 0x00000100] +# bottom row + Pad[-5905 10275 -5905 7834 1102 2000 1402 "8" "8" 0x00000900] + Pad[-3937 10275 -3937 7834 1102 2000 1402 "9" "9" 0x00000900] + Pad[-1968 10275 -1968 7834 1102 2000 1402 "10" "10" 0x00000900] + Pad[0 10275 0 7834 1102 2000 1402 "11" "11" 0x00000900] + Pad[1968 10275 1968 7834 1102 2000 1402 "12" "12" 0x00000900] + Pad[3937 10275 3937 7834 1102 2000 1402 "13" "13" 0x00000900] + Pad[5905 10275 5905 7834 1102 2000 1402 "14" "14" 0x00000900] +# right row + Pad[10275 5905 7834 5905 1102 2000 1402 "15" "15" 0x00000100] + Pad[10275 3937 7834 3937 1102 2000 1402 "16" "16" 0x00000100] + Pad[10275 1968 7834 1968 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 0 7834 0 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 -1968 7834 -1968 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 -3937 7834 -3937 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -5905 7834 -5905 1102 2000 1402 "21" "21" 0x00000100] +# top row + Pad[5905 -10275 5905 -7834 1102 2000 1402 "22" "22" 0x00000900] + Pad[3937 -10275 3937 -7834 1102 2000 1402 "23" "23" 0x00000900] + Pad[1968 -10275 1968 -7834 1102 2000 1402 "24" "24" 0x00000900] + Pad[0 -10275 0 -7834 1102 2000 1402 "25" "25" 0x00000900] + Pad[-1968 -10275 -1968 -7834 1102 2000 1402 "26" "26" 0x00000900] + Pad[-3937 -10275 -3937 -7834 1102 2000 1402 "27" "27" 0x00000900] + Pad[-5905 -10275 -5905 -7834 1102 2000 1402 "28" "28" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN28_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN28_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN28_5_EP.fp (revision 1033) @@ -0,0 +1,74 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN28_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -5905 -7834 -5905 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -3937 -7834 -3937 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -1968 -7834 -1968 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 0 -7834 0 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 1968 -7834 1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 3937 -7834 3937 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 5905 -7834 5905 1102 2000 1402 "7" "7" 0x00000100] +# bottom row + Pad[-5905 10275 -5905 7834 1102 2000 1402 "8" "8" 0x00000900] + Pad[-3937 10275 -3937 7834 1102 2000 1402 "9" "9" 0x00000900] + Pad[-1968 10275 -1968 7834 1102 2000 1402 "10" "10" 0x00000900] + Pad[0 10275 0 7834 1102 2000 1402 "11" "11" 0x00000900] + Pad[1968 10275 1968 7834 1102 2000 1402 "12" "12" 0x00000900] + Pad[3937 10275 3937 7834 1102 2000 1402 "13" "13" 0x00000900] + Pad[5905 10275 5905 7834 1102 2000 1402 "14" "14" 0x00000900] +# right row + Pad[10275 5905 7834 5905 1102 2000 1402 "15" "15" 0x00000100] + Pad[10275 3937 7834 3937 1102 2000 1402 "16" "16" 0x00000100] + Pad[10275 1968 7834 1968 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 0 7834 0 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 -1968 7834 -1968 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 -3937 7834 -3937 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -5905 7834 -5905 1102 2000 1402 "21" "21" 0x00000100] +# top row + Pad[5905 -10275 5905 -7834 1102 2000 1402 "22" "22" 0x00000900] + Pad[3937 -10275 3937 -7834 1102 2000 1402 "23" "23" 0x00000900] + Pad[1968 -10275 1968 -7834 1102 2000 1402 "24" "24" 0x00000900] + Pad[0 -10275 0 -7834 1102 2000 1402 "25" "25" 0x00000900] + Pad[-1968 -10275 -1968 -7834 1102 2000 1402 "26" "26" 0x00000900] + Pad[-3937 -10275 -3937 -7834 1102 2000 1402 "27" "27" 0x00000900] + Pad[-5905 -10275 -5905 -7834 1102 2000 1402 "28" "28" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12795 0 13195 "29" "29" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN32_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN32_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN32_5.fp (revision 1033) @@ -0,0 +1,75 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN32_5" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -6889 -8622 -6889 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -4921 -8622 -4921 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -2952 -8622 -2952 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 -984 -8622 -984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 984 -8622 984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 2952 -8622 2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 4921 -8622 4921 1102 2000 1402 "7" "7" 0x00000100] + Pad[-10275 6889 -8622 6889 1102 2000 1402 "8" "8" 0x00000100] +# bottom row + Pad[-6889 10275 -6889 8622 1102 2000 1402 "9" "9" 0x00000900] + Pad[-4921 10275 -4921 8622 1102 2000 1402 "10" "10" 0x00000900] + Pad[-2952 10275 -2952 8622 1102 2000 1402 "11" "11" 0x00000900] + Pad[-984 10275 -984 8622 1102 2000 1402 "12" "12" 0x00000900] + Pad[984 10275 984 8622 1102 2000 1402 "13" "13" 0x00000900] + Pad[2952 10275 2952 8622 1102 2000 1402 "14" "14" 0x00000900] + Pad[4921 10275 4921 8622 1102 2000 1402 "15" "15" 0x00000900] + Pad[6889 10275 6889 8622 1102 2000 1402 "16" "16" 0x00000900] +# right row + Pad[10275 6889 8622 6889 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 4921 8622 4921 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 2952 8622 2952 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 984 8622 984 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -984 8622 -984 1102 2000 1402 "21" "21" 0x00000100] + Pad[10275 -2952 8622 -2952 1102 2000 1402 "22" "22" 0x00000100] + Pad[10275 -4921 8622 -4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[10275 -6889 8622 -6889 1102 2000 1402 "24" "24" 0x00000100] +# top row + Pad[6889 -10275 6889 -8622 1102 2000 1402 "25" "25" 0x00000900] + Pad[4921 -10275 4921 -8622 1102 2000 1402 "26" "26" 0x00000900] + Pad[2952 -10275 2952 -8622 1102 2000 1402 "27" "27" 0x00000900] + Pad[984 -10275 984 -8622 1102 2000 1402 "28" "28" 0x00000900] + Pad[-984 -10275 -984 -8622 1102 2000 1402 "29" "29" 0x00000900] + Pad[-2952 -10275 -2952 -8622 1102 2000 1402 "30" "30" 0x00000900] + Pad[-4921 -10275 -4921 -8622 1102 2000 1402 "31" "31" 0x00000900] + Pad[-6889 -10275 -6889 -8622 1102 2000 1402 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN32_5_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN32_5_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN32_5_EP.fp (revision 1033) @@ -0,0 +1,78 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN32_5_EP" 0 0 -11826 -12876 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-10275 -6889 -8622 -6889 1102 2000 1402 "1" "1" 0x00000100] + Pad[-10275 -4921 -8622 -4921 1102 2000 1402 "2" "2" 0x00000100] + Pad[-10275 -2952 -8622 -2952 1102 2000 1402 "3" "3" 0x00000100] + Pad[-10275 -984 -8622 -984 1102 2000 1402 "4" "4" 0x00000100] + Pad[-10275 984 -8622 984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-10275 2952 -8622 2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-10275 4921 -8622 4921 1102 2000 1402 "7" "7" 0x00000100] + Pad[-10275 6889 -8622 6889 1102 2000 1402 "8" "8" 0x00000100] +# bottom row + Pad[-6889 10275 -6889 8622 1102 2000 1402 "9" "9" 0x00000900] + Pad[-4921 10275 -4921 8622 1102 2000 1402 "10" "10" 0x00000900] + Pad[-2952 10275 -2952 8622 1102 2000 1402 "11" "11" 0x00000900] + Pad[-984 10275 -984 8622 1102 2000 1402 "12" "12" 0x00000900] + Pad[984 10275 984 8622 1102 2000 1402 "13" "13" 0x00000900] + Pad[2952 10275 2952 8622 1102 2000 1402 "14" "14" 0x00000900] + Pad[4921 10275 4921 8622 1102 2000 1402 "15" "15" 0x00000900] + Pad[6889 10275 6889 8622 1102 2000 1402 "16" "16" 0x00000900] +# right row + Pad[10275 6889 8622 6889 1102 2000 1402 "17" "17" 0x00000100] + Pad[10275 4921 8622 4921 1102 2000 1402 "18" "18" 0x00000100] + Pad[10275 2952 8622 2952 1102 2000 1402 "19" "19" 0x00000100] + Pad[10275 984 8622 984 1102 2000 1402 "20" "20" 0x00000100] + Pad[10275 -984 8622 -984 1102 2000 1402 "21" "21" 0x00000100] + Pad[10275 -2952 8622 -2952 1102 2000 1402 "22" "22" 0x00000100] + Pad[10275 -4921 8622 -4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[10275 -6889 8622 -6889 1102 2000 1402 "24" "24" 0x00000100] +# top row + Pad[6889 -10275 6889 -8622 1102 2000 1402 "25" "25" 0x00000900] + Pad[4921 -10275 4921 -8622 1102 2000 1402 "26" "26" 0x00000900] + Pad[2952 -10275 2952 -8622 1102 2000 1402 "27" "27" 0x00000900] + Pad[984 -10275 984 -8622 1102 2000 1402 "28" "28" 0x00000900] + Pad[-984 -10275 -984 -8622 1102 2000 1402 "29" "29" 0x00000900] + Pad[-2952 -10275 -2952 -8622 1102 2000 1402 "30" "30" 0x00000900] + Pad[-4921 -10275 -4921 -8622 1102 2000 1402 "31" "31" 0x00000900] + Pad[-6889 -10275 -6889 -8622 1102 2000 1402 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 12204 0 12604 "33" "33" 0x00000100] +# Silk screen around package +ElementLine[ 11826 11826 11826 -11826 1000] +ElementLine[ 11826 -11826 -11826 -11826 1000] +ElementLine[-11826 -11826 -11826 11826 1000] +ElementLine[-11826 11826 11826 11826 1000] +# Pin 1 indicator +ElementLine[-11826 -11826 -13326 -13326 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN32_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN32_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN32_7.fp (revision 1033) @@ -0,0 +1,75 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN32_7" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14035 -8956 -11948 -8956 1456 2000 1756 "1" "1" 0x00000100] + Pad[-14035 -6397 -11948 -6397 1456 2000 1756 "2" "2" 0x00000100] + Pad[-14035 -3838 -11948 -3838 1456 2000 1756 "3" "3" 0x00000100] + Pad[-14035 -1279 -11948 -1279 1456 2000 1756 "4" "4" 0x00000100] + Pad[-14035 1279 -11948 1279 1456 2000 1756 "5" "5" 0x00000100] + Pad[-14035 3838 -11948 3838 1456 2000 1756 "6" "6" 0x00000100] + Pad[-14035 6397 -11948 6397 1456 2000 1756 "7" "7" 0x00000100] + Pad[-14035 8956 -11948 8956 1456 2000 1756 "8" "8" 0x00000100] +# bottom row + Pad[-8956 14035 -8956 11948 1456 2000 1756 "9" "9" 0x00000900] + Pad[-6397 14035 -6397 11948 1456 2000 1756 "10" "10" 0x00000900] + Pad[-3838 14035 -3838 11948 1456 2000 1756 "11" "11" 0x00000900] + Pad[-1279 14035 -1279 11948 1456 2000 1756 "12" "12" 0x00000900] + Pad[1279 14035 1279 11948 1456 2000 1756 "13" "13" 0x00000900] + Pad[3838 14035 3838 11948 1456 2000 1756 "14" "14" 0x00000900] + Pad[6397 14035 6397 11948 1456 2000 1756 "15" "15" 0x00000900] + Pad[8956 14035 8956 11948 1456 2000 1756 "16" "16" 0x00000900] +# right row + Pad[14035 8956 11948 8956 1456 2000 1756 "17" "17" 0x00000100] + Pad[14035 6397 11948 6397 1456 2000 1756 "18" "18" 0x00000100] + Pad[14035 3838 11948 3838 1456 2000 1756 "19" "19" 0x00000100] + Pad[14035 1279 11948 1279 1456 2000 1756 "20" "20" 0x00000100] + Pad[14035 -1279 11948 -1279 1456 2000 1756 "21" "21" 0x00000100] + Pad[14035 -3838 11948 -3838 1456 2000 1756 "22" "22" 0x00000100] + Pad[14035 -6397 11948 -6397 1456 2000 1756 "23" "23" 0x00000100] + Pad[14035 -8956 11948 -8956 1456 2000 1756 "24" "24" 0x00000100] +# top row + Pad[8956 -14035 8956 -11948 1456 2000 1756 "25" "25" 0x00000900] + Pad[6397 -14035 6397 -11948 1456 2000 1756 "26" "26" 0x00000900] + Pad[3838 -14035 3838 -11948 1456 2000 1756 "27" "27" 0x00000900] + Pad[1279 -14035 1279 -11948 1456 2000 1756 "28" "28" 0x00000900] + Pad[-1279 -14035 -1279 -11948 1456 2000 1756 "29" "29" 0x00000900] + Pad[-3838 -14035 -3838 -11948 1456 2000 1756 "30" "30" 0x00000900] + Pad[-6397 -14035 -6397 -11948 1456 2000 1756 "31" "31" 0x00000900] + Pad[-8956 -14035 -8956 -11948 1456 2000 1756 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN32_7_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN32_7_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN32_7_EP.fp (revision 1033) @@ -0,0 +1,78 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN32_7_EP" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14035 -8956 -11948 -8956 1456 2000 1756 "1" "1" 0x00000100] + Pad[-14035 -6397 -11948 -6397 1456 2000 1756 "2" "2" 0x00000100] + Pad[-14035 -3838 -11948 -3838 1456 2000 1756 "3" "3" 0x00000100] + Pad[-14035 -1279 -11948 -1279 1456 2000 1756 "4" "4" 0x00000100] + Pad[-14035 1279 -11948 1279 1456 2000 1756 "5" "5" 0x00000100] + Pad[-14035 3838 -11948 3838 1456 2000 1756 "6" "6" 0x00000100] + Pad[-14035 6397 -11948 6397 1456 2000 1756 "7" "7" 0x00000100] + Pad[-14035 8956 -11948 8956 1456 2000 1756 "8" "8" 0x00000100] +# bottom row + Pad[-8956 14035 -8956 11948 1456 2000 1756 "9" "9" 0x00000900] + Pad[-6397 14035 -6397 11948 1456 2000 1756 "10" "10" 0x00000900] + Pad[-3838 14035 -3838 11948 1456 2000 1756 "11" "11" 0x00000900] + Pad[-1279 14035 -1279 11948 1456 2000 1756 "12" "12" 0x00000900] + Pad[1279 14035 1279 11948 1456 2000 1756 "13" "13" 0x00000900] + Pad[3838 14035 3838 11948 1456 2000 1756 "14" "14" 0x00000900] + Pad[6397 14035 6397 11948 1456 2000 1756 "15" "15" 0x00000900] + Pad[8956 14035 8956 11948 1456 2000 1756 "16" "16" 0x00000900] +# right row + Pad[14035 8956 11948 8956 1456 2000 1756 "17" "17" 0x00000100] + Pad[14035 6397 11948 6397 1456 2000 1756 "18" "18" 0x00000100] + Pad[14035 3838 11948 3838 1456 2000 1756 "19" "19" 0x00000100] + Pad[14035 1279 11948 1279 1456 2000 1756 "20" "20" 0x00000100] + Pad[14035 -1279 11948 -1279 1456 2000 1756 "21" "21" 0x00000100] + Pad[14035 -3838 11948 -3838 1456 2000 1756 "22" "22" 0x00000100] + Pad[14035 -6397 11948 -6397 1456 2000 1756 "23" "23" 0x00000100] + Pad[14035 -8956 11948 -8956 1456 2000 1756 "24" "24" 0x00000100] +# top row + Pad[8956 -14035 8956 -11948 1456 2000 1756 "25" "25" 0x00000900] + Pad[6397 -14035 6397 -11948 1456 2000 1756 "26" "26" 0x00000900] + Pad[3838 -14035 3838 -11948 1456 2000 1756 "27" "27" 0x00000900] + Pad[1279 -14035 1279 -11948 1456 2000 1756 "28" "28" 0x00000900] + Pad[-1279 -14035 -1279 -11948 1456 2000 1756 "29" "29" 0x00000900] + Pad[-3838 -14035 -3838 -11948 1456 2000 1756 "30" "30" 0x00000900] + Pad[-6397 -14035 -6397 -11948 1456 2000 1756 "31" "31" 0x00000900] + Pad[-8956 -14035 -8956 -11948 1456 2000 1756 "32" "32" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 18503 0 18903 "33" "33" 0x00000100] +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN36_6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN36_6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN36_6.fp (revision 1033) @@ -0,0 +1,79 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN36_6" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -7874 -9803 -7874 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -5905 -9803 -5905 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -3937 -9803 -3937 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -1968 -9803 -1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 0 -9803 0 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 1968 -9803 1968 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 3937 -9803 3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 5905 -9803 5905 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 7874 -9803 7874 1102 2000 1402 "9" "9" 0x00000100] +# bottom row + Pad[-7874 12244 -7874 9803 1102 2000 1402 "10" "10" 0x00000900] + Pad[-5905 12244 -5905 9803 1102 2000 1402 "11" "11" 0x00000900] + Pad[-3937 12244 -3937 9803 1102 2000 1402 "12" "12" 0x00000900] + Pad[-1968 12244 -1968 9803 1102 2000 1402 "13" "13" 0x00000900] + Pad[0 12244 0 9803 1102 2000 1402 "14" "14" 0x00000900] + Pad[1968 12244 1968 9803 1102 2000 1402 "15" "15" 0x00000900] + Pad[3937 12244 3937 9803 1102 2000 1402 "16" "16" 0x00000900] + Pad[5905 12244 5905 9803 1102 2000 1402 "17" "17" 0x00000900] + Pad[7874 12244 7874 9803 1102 2000 1402 "18" "18" 0x00000900] +# right row + Pad[12244 7874 9803 7874 1102 2000 1402 "19" "19" 0x00000100] + Pad[12244 5905 9803 5905 1102 2000 1402 "20" "20" 0x00000100] + Pad[12244 3937 9803 3937 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 1968 9803 1968 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 0 9803 0 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 -1968 9803 -1968 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 -3937 9803 -3937 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -5905 9803 -5905 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -7874 9803 -7874 1102 2000 1402 "27" "27" 0x00000100] +# top row + Pad[7874 -12244 7874 -9803 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 -12244 5905 -9803 1102 2000 1402 "29" "29" 0x00000900] + Pad[3937 -12244 3937 -9803 1102 2000 1402 "30" "30" 0x00000900] + Pad[1968 -12244 1968 -9803 1102 2000 1402 "31" "31" 0x00000900] + Pad[0 -12244 0 -9803 1102 2000 1402 "32" "32" 0x00000900] + Pad[-1968 -12244 -1968 -9803 1102 2000 1402 "33" "33" 0x00000900] + Pad[-3937 -12244 -3937 -9803 1102 2000 1402 "34" "34" 0x00000900] + Pad[-5905 -12244 -5905 -9803 1102 2000 1402 "35" "35" 0x00000900] + Pad[-7874 -12244 -7874 -9803 1102 2000 1402 "36" "36" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN36_6_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN36_6_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN36_6_EP.fp (revision 1033) @@ -0,0 +1,82 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN36_6_EP" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -7874 -9803 -7874 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -5905 -9803 -5905 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -3937 -9803 -3937 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -1968 -9803 -1968 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 0 -9803 0 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 1968 -9803 1968 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 3937 -9803 3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 5905 -9803 5905 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 7874 -9803 7874 1102 2000 1402 "9" "9" 0x00000100] +# bottom row + Pad[-7874 12244 -7874 9803 1102 2000 1402 "10" "10" 0x00000900] + Pad[-5905 12244 -5905 9803 1102 2000 1402 "11" "11" 0x00000900] + Pad[-3937 12244 -3937 9803 1102 2000 1402 "12" "12" 0x00000900] + Pad[-1968 12244 -1968 9803 1102 2000 1402 "13" "13" 0x00000900] + Pad[0 12244 0 9803 1102 2000 1402 "14" "14" 0x00000900] + Pad[1968 12244 1968 9803 1102 2000 1402 "15" "15" 0x00000900] + Pad[3937 12244 3937 9803 1102 2000 1402 "16" "16" 0x00000900] + Pad[5905 12244 5905 9803 1102 2000 1402 "17" "17" 0x00000900] + Pad[7874 12244 7874 9803 1102 2000 1402 "18" "18" 0x00000900] +# right row + Pad[12244 7874 9803 7874 1102 2000 1402 "19" "19" 0x00000100] + Pad[12244 5905 9803 5905 1102 2000 1402 "20" "20" 0x00000100] + Pad[12244 3937 9803 3937 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 1968 9803 1968 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 0 9803 0 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 -1968 9803 -1968 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 -3937 9803 -3937 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -5905 9803 -5905 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -7874 9803 -7874 1102 2000 1402 "27" "27" 0x00000100] +# top row + Pad[7874 -12244 7874 -9803 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 -12244 5905 -9803 1102 2000 1402 "29" "29" 0x00000900] + Pad[3937 -12244 3937 -9803 1102 2000 1402 "30" "30" 0x00000900] + Pad[1968 -12244 1968 -9803 1102 2000 1402 "31" "31" 0x00000900] + Pad[0 -12244 0 -9803 1102 2000 1402 "32" "32" 0x00000900] + Pad[-1968 -12244 -1968 -9803 1102 2000 1402 "33" "33" 0x00000900] + Pad[-3937 -12244 -3937 -9803 1102 2000 1402 "34" "34" 0x00000900] + Pad[-5905 -12244 -5905 -9803 1102 2000 1402 "35" "35" 0x00000900] + Pad[-7874 -12244 -7874 -9803 1102 2000 1402 "36" "36" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 14566 0 14966 "37" "37" 0x00000100] +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN40_6.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN40_6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN40_6.fp (revision 1033) @@ -0,0 +1,83 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN40_6" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -8858 -10590 -8858 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -6889 -10590 -6889 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -4921 -10590 -4921 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -2952 -10590 -2952 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 -984 -10590 -984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 984 -10590 984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 2952 -10590 2952 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 4921 -10590 4921 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 6889 -10590 6889 1102 2000 1402 "9" "9" 0x00000100] + Pad[-12244 8858 -10590 8858 1102 2000 1402 "10" "10" 0x00000100] +# bottom row + Pad[-8858 12244 -8858 10590 1102 2000 1402 "11" "11" 0x00000900] + Pad[-6889 12244 -6889 10590 1102 2000 1402 "12" "12" 0x00000900] + Pad[-4921 12244 -4921 10590 1102 2000 1402 "13" "13" 0x00000900] + Pad[-2952 12244 -2952 10590 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 12244 -984 10590 1102 2000 1402 "15" "15" 0x00000900] + Pad[984 12244 984 10590 1102 2000 1402 "16" "16" 0x00000900] + Pad[2952 12244 2952 10590 1102 2000 1402 "17" "17" 0x00000900] + Pad[4921 12244 4921 10590 1102 2000 1402 "18" "18" 0x00000900] + Pad[6889 12244 6889 10590 1102 2000 1402 "19" "19" 0x00000900] + Pad[8858 12244 8858 10590 1102 2000 1402 "20" "20" 0x00000900] +# right row + Pad[12244 8858 10590 8858 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 6889 10590 6889 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 4921 10590 4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 2952 10590 2952 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 984 10590 984 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -984 10590 -984 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -2952 10590 -2952 1102 2000 1402 "27" "27" 0x00000100] + Pad[12244 -4921 10590 -4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[12244 -6889 10590 -6889 1102 2000 1402 "29" "29" 0x00000100] + Pad[12244 -8858 10590 -8858 1102 2000 1402 "30" "30" 0x00000100] +# top row + Pad[8858 -12244 8858 -10590 1102 2000 1402 "31" "31" 0x00000900] + Pad[6889 -12244 6889 -10590 1102 2000 1402 "32" "32" 0x00000900] + Pad[4921 -12244 4921 -10590 1102 2000 1402 "33" "33" 0x00000900] + Pad[2952 -12244 2952 -10590 1102 2000 1402 "34" "34" 0x00000900] + Pad[984 -12244 984 -10590 1102 2000 1402 "35" "35" 0x00000900] + Pad[-984 -12244 -984 -10590 1102 2000 1402 "36" "36" 0x00000900] + Pad[-2952 -12244 -2952 -10590 1102 2000 1402 "37" "37" 0x00000900] + Pad[-4921 -12244 -4921 -10590 1102 2000 1402 "38" "38" 0x00000900] + Pad[-6889 -12244 -6889 -10590 1102 2000 1402 "39" "39" 0x00000900] + Pad[-8858 -12244 -8858 -10590 1102 2000 1402 "40" "40" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN40_6_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN40_6_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN40_6_EP.fp (revision 1033) @@ -0,0 +1,86 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN40_6_EP" 0 0 -13795 -14845 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-12244 -8858 -10590 -8858 1102 2000 1402 "1" "1" 0x00000100] + Pad[-12244 -6889 -10590 -6889 1102 2000 1402 "2" "2" 0x00000100] + Pad[-12244 -4921 -10590 -4921 1102 2000 1402 "3" "3" 0x00000100] + Pad[-12244 -2952 -10590 -2952 1102 2000 1402 "4" "4" 0x00000100] + Pad[-12244 -984 -10590 -984 1102 2000 1402 "5" "5" 0x00000100] + Pad[-12244 984 -10590 984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-12244 2952 -10590 2952 1102 2000 1402 "7" "7" 0x00000100] + Pad[-12244 4921 -10590 4921 1102 2000 1402 "8" "8" 0x00000100] + Pad[-12244 6889 -10590 6889 1102 2000 1402 "9" "9" 0x00000100] + Pad[-12244 8858 -10590 8858 1102 2000 1402 "10" "10" 0x00000100] +# bottom row + Pad[-8858 12244 -8858 10590 1102 2000 1402 "11" "11" 0x00000900] + Pad[-6889 12244 -6889 10590 1102 2000 1402 "12" "12" 0x00000900] + Pad[-4921 12244 -4921 10590 1102 2000 1402 "13" "13" 0x00000900] + Pad[-2952 12244 -2952 10590 1102 2000 1402 "14" "14" 0x00000900] + Pad[-984 12244 -984 10590 1102 2000 1402 "15" "15" 0x00000900] + Pad[984 12244 984 10590 1102 2000 1402 "16" "16" 0x00000900] + Pad[2952 12244 2952 10590 1102 2000 1402 "17" "17" 0x00000900] + Pad[4921 12244 4921 10590 1102 2000 1402 "18" "18" 0x00000900] + Pad[6889 12244 6889 10590 1102 2000 1402 "19" "19" 0x00000900] + Pad[8858 12244 8858 10590 1102 2000 1402 "20" "20" 0x00000900] +# right row + Pad[12244 8858 10590 8858 1102 2000 1402 "21" "21" 0x00000100] + Pad[12244 6889 10590 6889 1102 2000 1402 "22" "22" 0x00000100] + Pad[12244 4921 10590 4921 1102 2000 1402 "23" "23" 0x00000100] + Pad[12244 2952 10590 2952 1102 2000 1402 "24" "24" 0x00000100] + Pad[12244 984 10590 984 1102 2000 1402 "25" "25" 0x00000100] + Pad[12244 -984 10590 -984 1102 2000 1402 "26" "26" 0x00000100] + Pad[12244 -2952 10590 -2952 1102 2000 1402 "27" "27" 0x00000100] + Pad[12244 -4921 10590 -4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[12244 -6889 10590 -6889 1102 2000 1402 "29" "29" 0x00000100] + Pad[12244 -8858 10590 -8858 1102 2000 1402 "30" "30" 0x00000100] +# top row + Pad[8858 -12244 8858 -10590 1102 2000 1402 "31" "31" 0x00000900] + Pad[6889 -12244 6889 -10590 1102 2000 1402 "32" "32" 0x00000900] + Pad[4921 -12244 4921 -10590 1102 2000 1402 "33" "33" 0x00000900] + Pad[2952 -12244 2952 -10590 1102 2000 1402 "34" "34" 0x00000900] + Pad[984 -12244 984 -10590 1102 2000 1402 "35" "35" 0x00000900] + Pad[-984 -12244 -984 -10590 1102 2000 1402 "36" "36" 0x00000900] + Pad[-2952 -12244 -2952 -10590 1102 2000 1402 "37" "37" 0x00000900] + Pad[-4921 -12244 -4921 -10590 1102 2000 1402 "38" "38" 0x00000900] + Pad[-6889 -12244 -6889 -10590 1102 2000 1402 "39" "39" 0x00000900] + Pad[-8858 -12244 -8858 -10590 1102 2000 1402 "40" "40" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 16141 0 16541 "41" "41" 0x00000100] +# Silk screen around package +ElementLine[ 13795 13795 13795 -13795 1000] +ElementLine[ 13795 -13795 -13795 -13795 1000] +ElementLine[-13795 -13795 -13795 13795 1000] +ElementLine[-13795 13795 13795 13795 1000] +# Pin 1 indicator +ElementLine[-13795 -13795 -15295 -15295 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN44_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN44_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN44_7.fp (revision 1033) @@ -0,0 +1,87 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN44_7" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -9842 -11771 -9842 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -7874 -11771 -7874 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -5905 -11771 -5905 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -3937 -11771 -3937 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -1968 -11771 -1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 0 -11771 0 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 1968 -11771 1968 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 3937 -11771 3937 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 5905 -11771 5905 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 7874 -11771 7874 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 9842 -11771 9842 1102 2000 1402 "11" "11" 0x00000100] +# bottom row + Pad[-9842 14212 -9842 11771 1102 2000 1402 "12" "12" 0x00000900] + Pad[-7874 14212 -7874 11771 1102 2000 1402 "13" "13" 0x00000900] + Pad[-5905 14212 -5905 11771 1102 2000 1402 "14" "14" 0x00000900] + Pad[-3937 14212 -3937 11771 1102 2000 1402 "15" "15" 0x00000900] + Pad[-1968 14212 -1968 11771 1102 2000 1402 "16" "16" 0x00000900] + Pad[0 14212 0 11771 1102 2000 1402 "17" "17" 0x00000900] + Pad[1968 14212 1968 11771 1102 2000 1402 "18" "18" 0x00000900] + Pad[3937 14212 3937 11771 1102 2000 1402 "19" "19" 0x00000900] + Pad[5905 14212 5905 11771 1102 2000 1402 "20" "20" 0x00000900] + Pad[7874 14212 7874 11771 1102 2000 1402 "21" "21" 0x00000900] + Pad[9842 14212 9842 11771 1102 2000 1402 "22" "22" 0x00000900] +# right row + Pad[14212 9842 11771 9842 1102 2000 1402 "23" "23" 0x00000100] + Pad[14212 7874 11771 7874 1102 2000 1402 "24" "24" 0x00000100] + Pad[14212 5905 11771 5905 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 3937 11771 3937 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 1968 11771 1968 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 0 11771 0 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 -1968 11771 -1968 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 -3937 11771 -3937 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -5905 11771 -5905 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -7874 11771 -7874 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -9842 11771 -9842 1102 2000 1402 "33" "33" 0x00000100] +# top row + Pad[9842 -14212 9842 -11771 1102 2000 1402 "34" "34" 0x00000900] + Pad[7874 -14212 7874 -11771 1102 2000 1402 "35" "35" 0x00000900] + Pad[5905 -14212 5905 -11771 1102 2000 1402 "36" "36" 0x00000900] + Pad[3937 -14212 3937 -11771 1102 2000 1402 "37" "37" 0x00000900] + Pad[1968 -14212 1968 -11771 1102 2000 1402 "38" "38" 0x00000900] + Pad[0 -14212 0 -11771 1102 2000 1402 "39" "39" 0x00000900] + Pad[-1968 -14212 -1968 -11771 1102 2000 1402 "40" "40" 0x00000900] + Pad[-3937 -14212 -3937 -11771 1102 2000 1402 "41" "41" 0x00000900] + Pad[-5905 -14212 -5905 -11771 1102 2000 1402 "42" "42" 0x00000900] + Pad[-7874 -14212 -7874 -11771 1102 2000 1402 "43" "43" 0x00000900] + Pad[-9842 -14212 -9842 -11771 1102 2000 1402 "44" "44" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN44_7_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN44_7_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN44_7_EP.fp (revision 1033) @@ -0,0 +1,90 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN44_7_EP" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -9842 -11771 -9842 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -7874 -11771 -7874 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -5905 -11771 -5905 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -3937 -11771 -3937 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -1968 -11771 -1968 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 0 -11771 0 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 1968 -11771 1968 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 3937 -11771 3937 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 5905 -11771 5905 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 7874 -11771 7874 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 9842 -11771 9842 1102 2000 1402 "11" "11" 0x00000100] +# bottom row + Pad[-9842 14212 -9842 11771 1102 2000 1402 "12" "12" 0x00000900] + Pad[-7874 14212 -7874 11771 1102 2000 1402 "13" "13" 0x00000900] + Pad[-5905 14212 -5905 11771 1102 2000 1402 "14" "14" 0x00000900] + Pad[-3937 14212 -3937 11771 1102 2000 1402 "15" "15" 0x00000900] + Pad[-1968 14212 -1968 11771 1102 2000 1402 "16" "16" 0x00000900] + Pad[0 14212 0 11771 1102 2000 1402 "17" "17" 0x00000900] + Pad[1968 14212 1968 11771 1102 2000 1402 "18" "18" 0x00000900] + Pad[3937 14212 3937 11771 1102 2000 1402 "19" "19" 0x00000900] + Pad[5905 14212 5905 11771 1102 2000 1402 "20" "20" 0x00000900] + Pad[7874 14212 7874 11771 1102 2000 1402 "21" "21" 0x00000900] + Pad[9842 14212 9842 11771 1102 2000 1402 "22" "22" 0x00000900] +# right row + Pad[14212 9842 11771 9842 1102 2000 1402 "23" "23" 0x00000100] + Pad[14212 7874 11771 7874 1102 2000 1402 "24" "24" 0x00000100] + Pad[14212 5905 11771 5905 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 3937 11771 3937 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 1968 11771 1968 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 0 11771 0 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 -1968 11771 -1968 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 -3937 11771 -3937 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -5905 11771 -5905 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -7874 11771 -7874 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -9842 11771 -9842 1102 2000 1402 "33" "33" 0x00000100] +# top row + Pad[9842 -14212 9842 -11771 1102 2000 1402 "34" "34" 0x00000900] + Pad[7874 -14212 7874 -11771 1102 2000 1402 "35" "35" 0x00000900] + Pad[5905 -14212 5905 -11771 1102 2000 1402 "36" "36" 0x00000900] + Pad[3937 -14212 3937 -11771 1102 2000 1402 "37" "37" 0x00000900] + Pad[1968 -14212 1968 -11771 1102 2000 1402 "38" "38" 0x00000900] + Pad[0 -14212 0 -11771 1102 2000 1402 "39" "39" 0x00000900] + Pad[-1968 -14212 -1968 -11771 1102 2000 1402 "40" "40" 0x00000900] + Pad[-3937 -14212 -3937 -11771 1102 2000 1402 "41" "41" 0x00000900] + Pad[-5905 -14212 -5905 -11771 1102 2000 1402 "42" "42" 0x00000900] + Pad[-7874 -14212 -7874 -11771 1102 2000 1402 "43" "43" 0x00000900] + Pad[-9842 -14212 -9842 -11771 1102 2000 1402 "44" "44" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 18503 0 18903 "45" "45" 0x00000100] +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN48_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN48_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN48_7.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN48_7" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -10826 -12559 -10826 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -8858 -12559 -8858 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -6889 -12559 -6889 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -4921 -12559 -4921 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -2952 -12559 -2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 -984 -12559 -984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 984 -12559 984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 2952 -12559 2952 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 4921 -12559 4921 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 6889 -12559 6889 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 8858 -12559 8858 1102 2000 1402 "11" "11" 0x00000100] + Pad[-14212 10826 -12559 10826 1102 2000 1402 "12" "12" 0x00000100] +# bottom row + Pad[-10826 14212 -10826 12559 1102 2000 1402 "13" "13" 0x00000900] + Pad[-8858 14212 -8858 12559 1102 2000 1402 "14" "14" 0x00000900] + Pad[-6889 14212 -6889 12559 1102 2000 1402 "15" "15" 0x00000900] + Pad[-4921 14212 -4921 12559 1102 2000 1402 "16" "16" 0x00000900] + Pad[-2952 14212 -2952 12559 1102 2000 1402 "17" "17" 0x00000900] + Pad[-984 14212 -984 12559 1102 2000 1402 "18" "18" 0x00000900] + Pad[984 14212 984 12559 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 14212 2952 12559 1102 2000 1402 "20" "20" 0x00000900] + Pad[4921 14212 4921 12559 1102 2000 1402 "21" "21" 0x00000900] + Pad[6889 14212 6889 12559 1102 2000 1402 "22" "22" 0x00000900] + Pad[8858 14212 8858 12559 1102 2000 1402 "23" "23" 0x00000900] + Pad[10826 14212 10826 12559 1102 2000 1402 "24" "24" 0x00000900] +# right row + Pad[14212 10826 12559 10826 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 8858 12559 8858 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 6889 12559 6889 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 4921 12559 4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 2952 12559 2952 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 984 12559 984 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -984 12559 -984 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -2952 12559 -2952 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -4921 12559 -4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[14212 -6889 12559 -6889 1102 2000 1402 "34" "34" 0x00000100] + Pad[14212 -8858 12559 -8858 1102 2000 1402 "35" "35" 0x00000100] + Pad[14212 -10826 12559 -10826 1102 2000 1402 "36" "36" 0x00000100] +# top row + Pad[10826 -14212 10826 -12559 1102 2000 1402 "37" "37" 0x00000900] + Pad[8858 -14212 8858 -12559 1102 2000 1402 "38" "38" 0x00000900] + Pad[6889 -14212 6889 -12559 1102 2000 1402 "39" "39" 0x00000900] + Pad[4921 -14212 4921 -12559 1102 2000 1402 "40" "40" 0x00000900] + Pad[2952 -14212 2952 -12559 1102 2000 1402 "41" "41" 0x00000900] + Pad[984 -14212 984 -12559 1102 2000 1402 "42" "42" 0x00000900] + Pad[-984 -14212 -984 -12559 1102 2000 1402 "43" "43" 0x00000900] + Pad[-2952 -14212 -2952 -12559 1102 2000 1402 "44" "44" 0x00000900] + Pad[-4921 -14212 -4921 -12559 1102 2000 1402 "45" "45" 0x00000900] + Pad[-6889 -14212 -6889 -12559 1102 2000 1402 "46" "46" 0x00000900] + Pad[-8858 -14212 -8858 -12559 1102 2000 1402 "47" "47" 0x00000900] + Pad[-10826 -14212 -10826 -12559 1102 2000 1402 "48" "48" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN48_7_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN48_7_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN48_7_EP.fp (revision 1033) @@ -0,0 +1,94 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN48_7_EP" 0 0 -15763 -16813 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-14212 -10826 -12559 -10826 1102 2000 1402 "1" "1" 0x00000100] + Pad[-14212 -8858 -12559 -8858 1102 2000 1402 "2" "2" 0x00000100] + Pad[-14212 -6889 -12559 -6889 1102 2000 1402 "3" "3" 0x00000100] + Pad[-14212 -4921 -12559 -4921 1102 2000 1402 "4" "4" 0x00000100] + Pad[-14212 -2952 -12559 -2952 1102 2000 1402 "5" "5" 0x00000100] + Pad[-14212 -984 -12559 -984 1102 2000 1402 "6" "6" 0x00000100] + Pad[-14212 984 -12559 984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-14212 2952 -12559 2952 1102 2000 1402 "8" "8" 0x00000100] + Pad[-14212 4921 -12559 4921 1102 2000 1402 "9" "9" 0x00000100] + Pad[-14212 6889 -12559 6889 1102 2000 1402 "10" "10" 0x00000100] + Pad[-14212 8858 -12559 8858 1102 2000 1402 "11" "11" 0x00000100] + Pad[-14212 10826 -12559 10826 1102 2000 1402 "12" "12" 0x00000100] +# bottom row + Pad[-10826 14212 -10826 12559 1102 2000 1402 "13" "13" 0x00000900] + Pad[-8858 14212 -8858 12559 1102 2000 1402 "14" "14" 0x00000900] + Pad[-6889 14212 -6889 12559 1102 2000 1402 "15" "15" 0x00000900] + Pad[-4921 14212 -4921 12559 1102 2000 1402 "16" "16" 0x00000900] + Pad[-2952 14212 -2952 12559 1102 2000 1402 "17" "17" 0x00000900] + Pad[-984 14212 -984 12559 1102 2000 1402 "18" "18" 0x00000900] + Pad[984 14212 984 12559 1102 2000 1402 "19" "19" 0x00000900] + Pad[2952 14212 2952 12559 1102 2000 1402 "20" "20" 0x00000900] + Pad[4921 14212 4921 12559 1102 2000 1402 "21" "21" 0x00000900] + Pad[6889 14212 6889 12559 1102 2000 1402 "22" "22" 0x00000900] + Pad[8858 14212 8858 12559 1102 2000 1402 "23" "23" 0x00000900] + Pad[10826 14212 10826 12559 1102 2000 1402 "24" "24" 0x00000900] +# right row + Pad[14212 10826 12559 10826 1102 2000 1402 "25" "25" 0x00000100] + Pad[14212 8858 12559 8858 1102 2000 1402 "26" "26" 0x00000100] + Pad[14212 6889 12559 6889 1102 2000 1402 "27" "27" 0x00000100] + Pad[14212 4921 12559 4921 1102 2000 1402 "28" "28" 0x00000100] + Pad[14212 2952 12559 2952 1102 2000 1402 "29" "29" 0x00000100] + Pad[14212 984 12559 984 1102 2000 1402 "30" "30" 0x00000100] + Pad[14212 -984 12559 -984 1102 2000 1402 "31" "31" 0x00000100] + Pad[14212 -2952 12559 -2952 1102 2000 1402 "32" "32" 0x00000100] + Pad[14212 -4921 12559 -4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[14212 -6889 12559 -6889 1102 2000 1402 "34" "34" 0x00000100] + Pad[14212 -8858 12559 -8858 1102 2000 1402 "35" "35" 0x00000100] + Pad[14212 -10826 12559 -10826 1102 2000 1402 "36" "36" 0x00000100] +# top row + Pad[10826 -14212 10826 -12559 1102 2000 1402 "37" "37" 0x00000900] + Pad[8858 -14212 8858 -12559 1102 2000 1402 "38" "38" 0x00000900] + Pad[6889 -14212 6889 -12559 1102 2000 1402 "39" "39" 0x00000900] + Pad[4921 -14212 4921 -12559 1102 2000 1402 "40" "40" 0x00000900] + Pad[2952 -14212 2952 -12559 1102 2000 1402 "41" "41" 0x00000900] + Pad[984 -14212 984 -12559 1102 2000 1402 "42" "42" 0x00000900] + Pad[-984 -14212 -984 -12559 1102 2000 1402 "43" "43" 0x00000900] + Pad[-2952 -14212 -2952 -12559 1102 2000 1402 "44" "44" 0x00000900] + Pad[-4921 -14212 -4921 -12559 1102 2000 1402 "45" "45" 0x00000900] + Pad[-6889 -14212 -6889 -12559 1102 2000 1402 "46" "46" 0x00000900] + Pad[-8858 -14212 -8858 -12559 1102 2000 1402 "47" "47" 0x00000900] + Pad[-10826 -14212 -10826 -12559 1102 2000 1402 "48" "48" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 22047 0 22447 "49" "49" 0x00000100] +# Silk screen around package +ElementLine[ 15763 15763 15763 -15763 1000] +ElementLine[ 15763 -15763 -15763 -15763 1000] +ElementLine[-15763 -15763 -15763 15763 1000] +ElementLine[-15763 15763 15763 15763 1000] +# Pin 1 indicator +ElementLine[-15763 -15763 -17263 -17263 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN56_8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN56_8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN56_8.fp (revision 1033) @@ -0,0 +1,99 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN56_8" 0 0 -17732 -18782 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-16181 -12795 -14527 -12795 1102 2000 1402 "1" "1" 0x00000100] + Pad[-16181 -10826 -14527 -10826 1102 2000 1402 "2" "2" 0x00000100] + Pad[-16181 -8858 -14527 -8858 1102 2000 1402 "3" "3" 0x00000100] + Pad[-16181 -6889 -14527 -6889 1102 2000 1402 "4" "4" 0x00000100] + Pad[-16181 -4921 -14527 -4921 1102 2000 1402 "5" "5" 0x00000100] + Pad[-16181 -2952 -14527 -2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-16181 -984 -14527 -984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-16181 984 -14527 984 1102 2000 1402 "8" "8" 0x00000100] + Pad[-16181 2952 -14527 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[-16181 4921 -14527 4921 1102 2000 1402 "10" "10" 0x00000100] + Pad[-16181 6889 -14527 6889 1102 2000 1402 "11" "11" 0x00000100] + Pad[-16181 8858 -14527 8858 1102 2000 1402 "12" "12" 0x00000100] + Pad[-16181 10826 -14527 10826 1102 2000 1402 "13" "13" 0x00000100] + Pad[-16181 12795 -14527 12795 1102 2000 1402 "14" "14" 0x00000100] +# bottom row + Pad[-12795 16181 -12795 14527 1102 2000 1402 "15" "15" 0x00000900] + Pad[-10826 16181 -10826 14527 1102 2000 1402 "16" "16" 0x00000900] + Pad[-8858 16181 -8858 14527 1102 2000 1402 "17" "17" 0x00000900] + Pad[-6889 16181 -6889 14527 1102 2000 1402 "18" "18" 0x00000900] + Pad[-4921 16181 -4921 14527 1102 2000 1402 "19" "19" 0x00000900] + Pad[-2952 16181 -2952 14527 1102 2000 1402 "20" "20" 0x00000900] + Pad[-984 16181 -984 14527 1102 2000 1402 "21" "21" 0x00000900] + Pad[984 16181 984 14527 1102 2000 1402 "22" "22" 0x00000900] + Pad[2952 16181 2952 14527 1102 2000 1402 "23" "23" 0x00000900] + Pad[4921 16181 4921 14527 1102 2000 1402 "24" "24" 0x00000900] + Pad[6889 16181 6889 14527 1102 2000 1402 "25" "25" 0x00000900] + Pad[8858 16181 8858 14527 1102 2000 1402 "26" "26" 0x00000900] + Pad[10826 16181 10826 14527 1102 2000 1402 "27" "27" 0x00000900] + Pad[12795 16181 12795 14527 1102 2000 1402 "28" "28" 0x00000900] +# right row + Pad[16181 12795 14527 12795 1102 2000 1402 "29" "29" 0x00000100] + Pad[16181 10826 14527 10826 1102 2000 1402 "30" "30" 0x00000100] + Pad[16181 8858 14527 8858 1102 2000 1402 "31" "31" 0x00000100] + Pad[16181 6889 14527 6889 1102 2000 1402 "32" "32" 0x00000100] + Pad[16181 4921 14527 4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[16181 2952 14527 2952 1102 2000 1402 "34" "34" 0x00000100] + Pad[16181 984 14527 984 1102 2000 1402 "35" "35" 0x00000100] + Pad[16181 -984 14527 -984 1102 2000 1402 "36" "36" 0x00000100] + Pad[16181 -2952 14527 -2952 1102 2000 1402 "37" "37" 0x00000100] + Pad[16181 -4921 14527 -4921 1102 2000 1402 "38" "38" 0x00000100] + Pad[16181 -6889 14527 -6889 1102 2000 1402 "39" "39" 0x00000100] + Pad[16181 -8858 14527 -8858 1102 2000 1402 "40" "40" 0x00000100] + Pad[16181 -10826 14527 -10826 1102 2000 1402 "41" "41" 0x00000100] + Pad[16181 -12795 14527 -12795 1102 2000 1402 "42" "42" 0x00000100] +# top row + Pad[12795 -16181 12795 -14527 1102 2000 1402 "43" "43" 0x00000900] + Pad[10826 -16181 10826 -14527 1102 2000 1402 "44" "44" 0x00000900] + Pad[8858 -16181 8858 -14527 1102 2000 1402 "45" "45" 0x00000900] + Pad[6889 -16181 6889 -14527 1102 2000 1402 "46" "46" 0x00000900] + Pad[4921 -16181 4921 -14527 1102 2000 1402 "47" "47" 0x00000900] + Pad[2952 -16181 2952 -14527 1102 2000 1402 "48" "48" 0x00000900] + Pad[984 -16181 984 -14527 1102 2000 1402 "49" "49" 0x00000900] + Pad[-984 -16181 -984 -14527 1102 2000 1402 "50" "50" 0x00000900] + Pad[-2952 -16181 -2952 -14527 1102 2000 1402 "51" "51" 0x00000900] + Pad[-4921 -16181 -4921 -14527 1102 2000 1402 "52" "52" 0x00000900] + Pad[-6889 -16181 -6889 -14527 1102 2000 1402 "53" "53" 0x00000900] + Pad[-8858 -16181 -8858 -14527 1102 2000 1402 "54" "54" 0x00000900] + Pad[-10826 -16181 -10826 -14527 1102 2000 1402 "55" "55" 0x00000900] + Pad[-12795 -16181 -12795 -14527 1102 2000 1402 "56" "56" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 17732 17732 17732 -17732 1000] +ElementLine[ 17732 -17732 -17732 -17732 1000] +ElementLine[-17732 -17732 -17732 17732 1000] +ElementLine[-17732 17732 17732 17732 1000] +# Pin 1 indicator +ElementLine[-17732 -17732 -19232 -19232 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN56_8_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN56_8_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN56_8_EP.fp (revision 1033) @@ -0,0 +1,102 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN56_8_EP" 0 0 -17732 -18782 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-16181 -12795 -14527 -12795 1102 2000 1402 "1" "1" 0x00000100] + Pad[-16181 -10826 -14527 -10826 1102 2000 1402 "2" "2" 0x00000100] + Pad[-16181 -8858 -14527 -8858 1102 2000 1402 "3" "3" 0x00000100] + Pad[-16181 -6889 -14527 -6889 1102 2000 1402 "4" "4" 0x00000100] + Pad[-16181 -4921 -14527 -4921 1102 2000 1402 "5" "5" 0x00000100] + Pad[-16181 -2952 -14527 -2952 1102 2000 1402 "6" "6" 0x00000100] + Pad[-16181 -984 -14527 -984 1102 2000 1402 "7" "7" 0x00000100] + Pad[-16181 984 -14527 984 1102 2000 1402 "8" "8" 0x00000100] + Pad[-16181 2952 -14527 2952 1102 2000 1402 "9" "9" 0x00000100] + Pad[-16181 4921 -14527 4921 1102 2000 1402 "10" "10" 0x00000100] + Pad[-16181 6889 -14527 6889 1102 2000 1402 "11" "11" 0x00000100] + Pad[-16181 8858 -14527 8858 1102 2000 1402 "12" "12" 0x00000100] + Pad[-16181 10826 -14527 10826 1102 2000 1402 "13" "13" 0x00000100] + Pad[-16181 12795 -14527 12795 1102 2000 1402 "14" "14" 0x00000100] +# bottom row + Pad[-12795 16181 -12795 14527 1102 2000 1402 "15" "15" 0x00000900] + Pad[-10826 16181 -10826 14527 1102 2000 1402 "16" "16" 0x00000900] + Pad[-8858 16181 -8858 14527 1102 2000 1402 "17" "17" 0x00000900] + Pad[-6889 16181 -6889 14527 1102 2000 1402 "18" "18" 0x00000900] + Pad[-4921 16181 -4921 14527 1102 2000 1402 "19" "19" 0x00000900] + Pad[-2952 16181 -2952 14527 1102 2000 1402 "20" "20" 0x00000900] + Pad[-984 16181 -984 14527 1102 2000 1402 "21" "21" 0x00000900] + Pad[984 16181 984 14527 1102 2000 1402 "22" "22" 0x00000900] + Pad[2952 16181 2952 14527 1102 2000 1402 "23" "23" 0x00000900] + Pad[4921 16181 4921 14527 1102 2000 1402 "24" "24" 0x00000900] + Pad[6889 16181 6889 14527 1102 2000 1402 "25" "25" 0x00000900] + Pad[8858 16181 8858 14527 1102 2000 1402 "26" "26" 0x00000900] + Pad[10826 16181 10826 14527 1102 2000 1402 "27" "27" 0x00000900] + Pad[12795 16181 12795 14527 1102 2000 1402 "28" "28" 0x00000900] +# right row + Pad[16181 12795 14527 12795 1102 2000 1402 "29" "29" 0x00000100] + Pad[16181 10826 14527 10826 1102 2000 1402 "30" "30" 0x00000100] + Pad[16181 8858 14527 8858 1102 2000 1402 "31" "31" 0x00000100] + Pad[16181 6889 14527 6889 1102 2000 1402 "32" "32" 0x00000100] + Pad[16181 4921 14527 4921 1102 2000 1402 "33" "33" 0x00000100] + Pad[16181 2952 14527 2952 1102 2000 1402 "34" "34" 0x00000100] + Pad[16181 984 14527 984 1102 2000 1402 "35" "35" 0x00000100] + Pad[16181 -984 14527 -984 1102 2000 1402 "36" "36" 0x00000100] + Pad[16181 -2952 14527 -2952 1102 2000 1402 "37" "37" 0x00000100] + Pad[16181 -4921 14527 -4921 1102 2000 1402 "38" "38" 0x00000100] + Pad[16181 -6889 14527 -6889 1102 2000 1402 "39" "39" 0x00000100] + Pad[16181 -8858 14527 -8858 1102 2000 1402 "40" "40" 0x00000100] + Pad[16181 -10826 14527 -10826 1102 2000 1402 "41" "41" 0x00000100] + Pad[16181 -12795 14527 -12795 1102 2000 1402 "42" "42" 0x00000100] +# top row + Pad[12795 -16181 12795 -14527 1102 2000 1402 "43" "43" 0x00000900] + Pad[10826 -16181 10826 -14527 1102 2000 1402 "44" "44" 0x00000900] + Pad[8858 -16181 8858 -14527 1102 2000 1402 "45" "45" 0x00000900] + Pad[6889 -16181 6889 -14527 1102 2000 1402 "46" "46" 0x00000900] + Pad[4921 -16181 4921 -14527 1102 2000 1402 "47" "47" 0x00000900] + Pad[2952 -16181 2952 -14527 1102 2000 1402 "48" "48" 0x00000900] + Pad[984 -16181 984 -14527 1102 2000 1402 "49" "49" 0x00000900] + Pad[-984 -16181 -984 -14527 1102 2000 1402 "50" "50" 0x00000900] + Pad[-2952 -16181 -2952 -14527 1102 2000 1402 "51" "51" 0x00000900] + Pad[-4921 -16181 -4921 -14527 1102 2000 1402 "52" "52" 0x00000900] + Pad[-6889 -16181 -6889 -14527 1102 2000 1402 "53" "53" 0x00000900] + Pad[-8858 -16181 -8858 -14527 1102 2000 1402 "54" "54" 0x00000900] + Pad[-10826 -16181 -10826 -14527 1102 2000 1402 "55" "55" 0x00000900] + Pad[-12795 -16181 -12795 -14527 1102 2000 1402 "56" "56" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 26181 0 26581 "57" "57" 0x00000100] +# Silk screen around package +ElementLine[ 17732 17732 17732 -17732 1000] +ElementLine[ 17732 -17732 -17732 -17732 1000] +ElementLine[-17732 -17732 -17732 17732 1000] +ElementLine[-17732 17732 17732 17732 1000] +# Pin 1 indicator +ElementLine[-17732 -17732 -19232 -19232 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN68_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN68_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN68_10.fp (revision 1033) @@ -0,0 +1,111 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN68_10" 0 0 -21669 -22719 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-20118 -15748 -17677 -15748 1102 2000 1402 "1" "1" 0x00000100] + Pad[-20118 -13779 -17677 -13779 1102 2000 1402 "2" "2" 0x00000100] + Pad[-20118 -11811 -17677 -11811 1102 2000 1402 "3" "3" 0x00000100] + Pad[-20118 -9842 -17677 -9842 1102 2000 1402 "4" "4" 0x00000100] + Pad[-20118 -7874 -17677 -7874 1102 2000 1402 "5" "5" 0x00000100] + Pad[-20118 -5905 -17677 -5905 1102 2000 1402 "6" "6" 0x00000100] + Pad[-20118 -3937 -17677 -3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-20118 -1968 -17677 -1968 1102 2000 1402 "8" "8" 0x00000100] + Pad[-20118 0 -17677 0 1102 2000 1402 "9" "9" 0x00000100] + Pad[-20118 1968 -17677 1968 1102 2000 1402 "10" "10" 0x00000100] + Pad[-20118 3937 -17677 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[-20118 5905 -17677 5905 1102 2000 1402 "12" "12" 0x00000100] + Pad[-20118 7874 -17677 7874 1102 2000 1402 "13" "13" 0x00000100] + Pad[-20118 9842 -17677 9842 1102 2000 1402 "14" "14" 0x00000100] + Pad[-20118 11811 -17677 11811 1102 2000 1402 "15" "15" 0x00000100] + Pad[-20118 13779 -17677 13779 1102 2000 1402 "16" "16" 0x00000100] + Pad[-20118 15748 -17677 15748 1102 2000 1402 "17" "17" 0x00000100] +# bottom row + Pad[-15748 20118 -15748 17677 1102 2000 1402 "18" "18" 0x00000900] + Pad[-13779 20118 -13779 17677 1102 2000 1402 "19" "19" 0x00000900] + Pad[-11811 20118 -11811 17677 1102 2000 1402 "20" "20" 0x00000900] + Pad[-9842 20118 -9842 17677 1102 2000 1402 "21" "21" 0x00000900] + Pad[-7874 20118 -7874 17677 1102 2000 1402 "22" "22" 0x00000900] + Pad[-5905 20118 -5905 17677 1102 2000 1402 "23" "23" 0x00000900] + Pad[-3937 20118 -3937 17677 1102 2000 1402 "24" "24" 0x00000900] + Pad[-1968 20118 -1968 17677 1102 2000 1402 "25" "25" 0x00000900] + Pad[0 20118 0 17677 1102 2000 1402 "26" "26" 0x00000900] + Pad[1968 20118 1968 17677 1102 2000 1402 "27" "27" 0x00000900] + Pad[3937 20118 3937 17677 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 20118 5905 17677 1102 2000 1402 "29" "29" 0x00000900] + Pad[7874 20118 7874 17677 1102 2000 1402 "30" "30" 0x00000900] + Pad[9842 20118 9842 17677 1102 2000 1402 "31" "31" 0x00000900] + Pad[11811 20118 11811 17677 1102 2000 1402 "32" "32" 0x00000900] + Pad[13779 20118 13779 17677 1102 2000 1402 "33" "33" 0x00000900] + Pad[15748 20118 15748 17677 1102 2000 1402 "34" "34" 0x00000900] +# right row + Pad[20118 15748 17677 15748 1102 2000 1402 "35" "35" 0x00000100] + Pad[20118 13779 17677 13779 1102 2000 1402 "36" "36" 0x00000100] + Pad[20118 11811 17677 11811 1102 2000 1402 "37" "37" 0x00000100] + Pad[20118 9842 17677 9842 1102 2000 1402 "38" "38" 0x00000100] + Pad[20118 7874 17677 7874 1102 2000 1402 "39" "39" 0x00000100] + Pad[20118 5905 17677 5905 1102 2000 1402 "40" "40" 0x00000100] + Pad[20118 3937 17677 3937 1102 2000 1402 "41" "41" 0x00000100] + Pad[20118 1968 17677 1968 1102 2000 1402 "42" "42" 0x00000100] + Pad[20118 0 17677 0 1102 2000 1402 "43" "43" 0x00000100] + Pad[20118 -1968 17677 -1968 1102 2000 1402 "44" "44" 0x00000100] + Pad[20118 -3937 17677 -3937 1102 2000 1402 "45" "45" 0x00000100] + Pad[20118 -5905 17677 -5905 1102 2000 1402 "46" "46" 0x00000100] + Pad[20118 -7874 17677 -7874 1102 2000 1402 "47" "47" 0x00000100] + Pad[20118 -9842 17677 -9842 1102 2000 1402 "48" "48" 0x00000100] + Pad[20118 -11811 17677 -11811 1102 2000 1402 "49" "49" 0x00000100] + Pad[20118 -13779 17677 -13779 1102 2000 1402 "50" "50" 0x00000100] + Pad[20118 -15748 17677 -15748 1102 2000 1402 "51" "51" 0x00000100] +# top row + Pad[15748 -20118 15748 -17677 1102 2000 1402 "52" "52" 0x00000900] + Pad[13779 -20118 13779 -17677 1102 2000 1402 "53" "53" 0x00000900] + Pad[11811 -20118 11811 -17677 1102 2000 1402 "54" "54" 0x00000900] + Pad[9842 -20118 9842 -17677 1102 2000 1402 "55" "55" 0x00000900] + Pad[7874 -20118 7874 -17677 1102 2000 1402 "56" "56" 0x00000900] + Pad[5905 -20118 5905 -17677 1102 2000 1402 "57" "57" 0x00000900] + Pad[3937 -20118 3937 -17677 1102 2000 1402 "58" "58" 0x00000900] + Pad[1968 -20118 1968 -17677 1102 2000 1402 "59" "59" 0x00000900] + Pad[0 -20118 0 -17677 1102 2000 1402 "60" "60" 0x00000900] + Pad[-1968 -20118 -1968 -17677 1102 2000 1402 "61" "61" 0x00000900] + Pad[-3937 -20118 -3937 -17677 1102 2000 1402 "62" "62" 0x00000900] + Pad[-5905 -20118 -5905 -17677 1102 2000 1402 "63" "63" 0x00000900] + Pad[-7874 -20118 -7874 -17677 1102 2000 1402 "64" "64" 0x00000900] + Pad[-9842 -20118 -9842 -17677 1102 2000 1402 "65" "65" 0x00000900] + Pad[-11811 -20118 -11811 -17677 1102 2000 1402 "66" "66" 0x00000900] + Pad[-13779 -20118 -13779 -17677 1102 2000 1402 "67" "67" 0x00000900] + Pad[-15748 -20118 -15748 -17677 1102 2000 1402 "68" "68" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Silk screen around package +ElementLine[ 21669 21669 21669 -21669 1000] +ElementLine[ 21669 -21669 -21669 -21669 1000] +ElementLine[-21669 -21669 -21669 21669 1000] +ElementLine[-21669 21669 21669 21669 1000] +# Pin 1 indicator +ElementLine[-21669 -21669 -23169 -23169 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFN68_10_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFN68_10_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFN68_10_EP.fp (revision 1033) @@ -0,0 +1,114 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # pad clearance to polygons (1/100 mil) + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Square Quad Flat Nolead (QFN) package" "" "TQFN68_10_EP" 0 0 -21669 -22719 0 100 0x00000000] +( +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] +# left row + Pad[-20118 -15748 -17677 -15748 1102 2000 1402 "1" "1" 0x00000100] + Pad[-20118 -13779 -17677 -13779 1102 2000 1402 "2" "2" 0x00000100] + Pad[-20118 -11811 -17677 -11811 1102 2000 1402 "3" "3" 0x00000100] + Pad[-20118 -9842 -17677 -9842 1102 2000 1402 "4" "4" 0x00000100] + Pad[-20118 -7874 -17677 -7874 1102 2000 1402 "5" "5" 0x00000100] + Pad[-20118 -5905 -17677 -5905 1102 2000 1402 "6" "6" 0x00000100] + Pad[-20118 -3937 -17677 -3937 1102 2000 1402 "7" "7" 0x00000100] + Pad[-20118 -1968 -17677 -1968 1102 2000 1402 "8" "8" 0x00000100] + Pad[-20118 0 -17677 0 1102 2000 1402 "9" "9" 0x00000100] + Pad[-20118 1968 -17677 1968 1102 2000 1402 "10" "10" 0x00000100] + Pad[-20118 3937 -17677 3937 1102 2000 1402 "11" "11" 0x00000100] + Pad[-20118 5905 -17677 5905 1102 2000 1402 "12" "12" 0x00000100] + Pad[-20118 7874 -17677 7874 1102 2000 1402 "13" "13" 0x00000100] + Pad[-20118 9842 -17677 9842 1102 2000 1402 "14" "14" 0x00000100] + Pad[-20118 11811 -17677 11811 1102 2000 1402 "15" "15" 0x00000100] + Pad[-20118 13779 -17677 13779 1102 2000 1402 "16" "16" 0x00000100] + Pad[-20118 15748 -17677 15748 1102 2000 1402 "17" "17" 0x00000100] +# bottom row + Pad[-15748 20118 -15748 17677 1102 2000 1402 "18" "18" 0x00000900] + Pad[-13779 20118 -13779 17677 1102 2000 1402 "19" "19" 0x00000900] + Pad[-11811 20118 -11811 17677 1102 2000 1402 "20" "20" 0x00000900] + Pad[-9842 20118 -9842 17677 1102 2000 1402 "21" "21" 0x00000900] + Pad[-7874 20118 -7874 17677 1102 2000 1402 "22" "22" 0x00000900] + Pad[-5905 20118 -5905 17677 1102 2000 1402 "23" "23" 0x00000900] + Pad[-3937 20118 -3937 17677 1102 2000 1402 "24" "24" 0x00000900] + Pad[-1968 20118 -1968 17677 1102 2000 1402 "25" "25" 0x00000900] + Pad[0 20118 0 17677 1102 2000 1402 "26" "26" 0x00000900] + Pad[1968 20118 1968 17677 1102 2000 1402 "27" "27" 0x00000900] + Pad[3937 20118 3937 17677 1102 2000 1402 "28" "28" 0x00000900] + Pad[5905 20118 5905 17677 1102 2000 1402 "29" "29" 0x00000900] + Pad[7874 20118 7874 17677 1102 2000 1402 "30" "30" 0x00000900] + Pad[9842 20118 9842 17677 1102 2000 1402 "31" "31" 0x00000900] + Pad[11811 20118 11811 17677 1102 2000 1402 "32" "32" 0x00000900] + Pad[13779 20118 13779 17677 1102 2000 1402 "33" "33" 0x00000900] + Pad[15748 20118 15748 17677 1102 2000 1402 "34" "34" 0x00000900] +# right row + Pad[20118 15748 17677 15748 1102 2000 1402 "35" "35" 0x00000100] + Pad[20118 13779 17677 13779 1102 2000 1402 "36" "36" 0x00000100] + Pad[20118 11811 17677 11811 1102 2000 1402 "37" "37" 0x00000100] + Pad[20118 9842 17677 9842 1102 2000 1402 "38" "38" 0x00000100] + Pad[20118 7874 17677 7874 1102 2000 1402 "39" "39" 0x00000100] + Pad[20118 5905 17677 5905 1102 2000 1402 "40" "40" 0x00000100] + Pad[20118 3937 17677 3937 1102 2000 1402 "41" "41" 0x00000100] + Pad[20118 1968 17677 1968 1102 2000 1402 "42" "42" 0x00000100] + Pad[20118 0 17677 0 1102 2000 1402 "43" "43" 0x00000100] + Pad[20118 -1968 17677 -1968 1102 2000 1402 "44" "44" 0x00000100] + Pad[20118 -3937 17677 -3937 1102 2000 1402 "45" "45" 0x00000100] + Pad[20118 -5905 17677 -5905 1102 2000 1402 "46" "46" 0x00000100] + Pad[20118 -7874 17677 -7874 1102 2000 1402 "47" "47" 0x00000100] + Pad[20118 -9842 17677 -9842 1102 2000 1402 "48" "48" 0x00000100] + Pad[20118 -11811 17677 -11811 1102 2000 1402 "49" "49" 0x00000100] + Pad[20118 -13779 17677 -13779 1102 2000 1402 "50" "50" 0x00000100] + Pad[20118 -15748 17677 -15748 1102 2000 1402 "51" "51" 0x00000100] +# top row + Pad[15748 -20118 15748 -17677 1102 2000 1402 "52" "52" 0x00000900] + Pad[13779 -20118 13779 -17677 1102 2000 1402 "53" "53" 0x00000900] + Pad[11811 -20118 11811 -17677 1102 2000 1402 "54" "54" 0x00000900] + Pad[9842 -20118 9842 -17677 1102 2000 1402 "55" "55" 0x00000900] + Pad[7874 -20118 7874 -17677 1102 2000 1402 "56" "56" 0x00000900] + Pad[5905 -20118 5905 -17677 1102 2000 1402 "57" "57" 0x00000900] + Pad[3937 -20118 3937 -17677 1102 2000 1402 "58" "58" 0x00000900] + Pad[1968 -20118 1968 -17677 1102 2000 1402 "59" "59" 0x00000900] + Pad[0 -20118 0 -17677 1102 2000 1402 "60" "60" 0x00000900] + Pad[-1968 -20118 -1968 -17677 1102 2000 1402 "61" "61" 0x00000900] + Pad[-3937 -20118 -3937 -17677 1102 2000 1402 "62" "62" 0x00000900] + Pad[-5905 -20118 -5905 -17677 1102 2000 1402 "63" "63" 0x00000900] + Pad[-7874 -20118 -7874 -17677 1102 2000 1402 "64" "64" 0x00000900] + Pad[-9842 -20118 -9842 -17677 1102 2000 1402 "65" "65" 0x00000900] + Pad[-11811 -20118 -11811 -17677 1102 2000 1402 "66" "66" 0x00000900] + Pad[-13779 -20118 -13779 -17677 1102 2000 1402 "67" "67" 0x00000900] + Pad[-15748 -20118 -15748 -17677 1102 2000 1402 "68" "68" 0x00000900] +# Exposed paddle (if this is an exposed paddle part) +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 30314 0 30714 "69" "69" 0x00000100] +# Silk screen around package +ElementLine[ 21669 21669 21669 -21669 1000] +ElementLine[ 21669 -21669 -21669 -21669 1000] +ElementLine[-21669 -21669 -21669 21669 1000] +ElementLine[-21669 21669 21669 21669 1000] +# Pin 1 indicator +ElementLine[-21669 -21669 -23169 -23169 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP100_12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP100_12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP100_12.fp (revision 1033) @@ -0,0 +1,119 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP100_12" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-31130 -18897 -26114 -18897 984 3000 1284 "1" "1" 0x00000100] + Pad[-31130 -17323 -26114 -17323 984 3000 1284 "2" "2" 0x00000100] + Pad[-31130 -15748 -26114 -15748 984 3000 1284 "3" "3" 0x00000100] + Pad[-31130 -14173 -26114 -14173 984 3000 1284 "4" "4" 0x00000100] + Pad[-31130 -12598 -26114 -12598 984 3000 1284 "5" "5" 0x00000100] + Pad[-31130 -11023 -26114 -11023 984 3000 1284 "6" "6" 0x00000100] + Pad[-31130 -9449 -26114 -9449 984 3000 1284 "7" "7" 0x00000100] + Pad[-31130 -7874 -26114 -7874 984 3000 1284 "8" "8" 0x00000100] + Pad[-31130 -6299 -26114 -6299 984 3000 1284 "9" "9" 0x00000100] + Pad[-31130 -4724 -26114 -4724 984 3000 1284 "10" "10" 0x00000100] + Pad[-31130 -3149 -26114 -3149 984 3000 1284 "11" "11" 0x00000100] + Pad[-31130 -1575 -26114 -1575 984 3000 1284 "12" "12" 0x00000100] + Pad[-31130 0 -26114 0 984 3000 1284 "13" "13" 0x00000100] + Pad[-31130 1575 -26114 1575 984 3000 1284 "14" "14" 0x00000100] + Pad[-31130 3150 -26114 3150 984 3000 1284 "15" "15" 0x00000100] + Pad[-31130 4725 -26114 4725 984 3000 1284 "16" "16" 0x00000100] + Pad[-31130 6299 -26114 6299 984 3000 1284 "17" "17" 0x00000100] + Pad[-31130 7874 -26114 7874 984 3000 1284 "18" "18" 0x00000100] + Pad[-31130 9449 -26114 9449 984 3000 1284 "19" "19" 0x00000100] + Pad[-31130 11024 -26114 11024 984 3000 1284 "20" "20" 0x00000100] + Pad[-31130 12599 -26114 12599 984 3000 1284 "21" "21" 0x00000100] + Pad[-31130 14173 -26114 14173 984 3000 1284 "22" "22" 0x00000100] + Pad[-31130 15748 -26114 15748 984 3000 1284 "23" "23" 0x00000100] + Pad[-31130 17323 -26114 17323 984 3000 1284 "24" "24" 0x00000100] + Pad[-31130 18898 -26114 18898 984 3000 1284 "25" "25" 0x00000100] + # bottom row + Pad[-18897 31130 -18897 26114 984 3000 1284 "26" "26" 0x00000900] + Pad[-17323 31130 -17323 26114 984 3000 1284 "27" "27" 0x00000900] + Pad[-15748 31130 -15748 26114 984 3000 1284 "28" "28" 0x00000900] + Pad[-14173 31130 -14173 26114 984 3000 1284 "29" "29" 0x00000900] + Pad[-12598 31130 -12598 26114 984 3000 1284 "30" "30" 0x00000900] + Pad[-11023 31130 -11023 26114 984 3000 1284 "31" "31" 0x00000900] + Pad[-9449 31130 -9449 26114 984 3000 1284 "32" "32" 0x00000900] + Pad[-7874 31130 -7874 26114 984 3000 1284 "33" "33" 0x00000900] + Pad[-6299 31130 -6299 26114 984 3000 1284 "34" "34" 0x00000900] + Pad[-4724 31130 -4724 26114 984 3000 1284 "35" "35" 0x00000900] + Pad[-3149 31130 -3149 26114 984 3000 1284 "36" "36" 0x00000900] + Pad[-1575 31130 -1575 26114 984 3000 1284 "37" "37" 0x00000900] + Pad[0 31130 0 26114 984 3000 1284 "38" "38" 0x00000900] + Pad[1575 31130 1575 26114 984 3000 1284 "39" "39" 0x00000900] + Pad[3150 31130 3150 26114 984 3000 1284 "40" "40" 0x00000900] + Pad[4725 31130 4725 26114 984 3000 1284 "41" "41" 0x00000900] + Pad[6299 31130 6299 26114 984 3000 1284 "42" "42" 0x00000900] + Pad[7874 31130 7874 26114 984 3000 1284 "43" "43" 0x00000900] + Pad[9449 31130 9449 26114 984 3000 1284 "44" "44" 0x00000900] + Pad[11024 31130 11024 26114 984 3000 1284 "45" "45" 0x00000900] + Pad[12599 31130 12599 26114 984 3000 1284 "46" "46" 0x00000900] + Pad[14173 31130 14173 26114 984 3000 1284 "47" "47" 0x00000900] + Pad[15748 31130 15748 26114 984 3000 1284 "48" "48" 0x00000900] + Pad[17323 31130 17323 26114 984 3000 1284 "49" "49" 0x00000900] + Pad[18898 31130 18898 26114 984 3000 1284 "50" "50" 0x00000900] + # right row + Pad[31130 18897 26114 18897 984 3000 1284 "51" "51" 0x00000100] + Pad[31130 17323 26114 17323 984 3000 1284 "52" "52" 0x00000100] + Pad[31130 15748 26114 15748 984 3000 1284 "53" "53" 0x00000100] + Pad[31130 14173 26114 14173 984 3000 1284 "54" "54" 0x00000100] + Pad[31130 12598 26114 12598 984 3000 1284 "55" "55" 0x00000100] + Pad[31130 11023 26114 11023 984 3000 1284 "56" "56" 0x00000100] + Pad[31130 9449 26114 9449 984 3000 1284 "57" "57" 0x00000100] + Pad[31130 7874 26114 7874 984 3000 1284 "58" "58" 0x00000100] + Pad[31130 6299 26114 6299 984 3000 1284 "59" "59" 0x00000100] + Pad[31130 4724 26114 4724 984 3000 1284 "60" "60" 0x00000100] + Pad[31130 3149 26114 3149 984 3000 1284 "61" "61" 0x00000100] + Pad[31130 1575 26114 1575 984 3000 1284 "62" "62" 0x00000100] + Pad[31130 0 26114 0 984 3000 1284 "63" "63" 0x00000100] + Pad[31130 -1575 26114 -1575 984 3000 1284 "64" "64" 0x00000100] + Pad[31130 -3150 26114 -3150 984 3000 1284 "65" "65" 0x00000100] + Pad[31130 -4725 26114 -4725 984 3000 1284 "66" "66" 0x00000100] + Pad[31130 -6299 26114 -6299 984 3000 1284 "67" "67" 0x00000100] + Pad[31130 -7874 26114 -7874 984 3000 1284 "68" "68" 0x00000100] + Pad[31130 -9449 26114 -9449 984 3000 1284 "69" "69" 0x00000100] + Pad[31130 -11024 26114 -11024 984 3000 1284 "70" "70" 0x00000100] + Pad[31130 -12599 26114 -12599 984 3000 1284 "71" "71" 0x00000100] + Pad[31130 -14173 26114 -14173 984 3000 1284 "72" "72" 0x00000100] + Pad[31130 -15748 26114 -15748 984 3000 1284 "73" "73" 0x00000100] + Pad[31130 -17323 26114 -17323 984 3000 1284 "74" "74" 0x00000100] + Pad[31130 -18898 26114 -18898 984 3000 1284 "75" "75" 0x00000100] + # top row + Pad[18897 -31130 18897 -26114 984 3000 1284 "76" "76" 0x00000900] + Pad[17323 -31130 17323 -26114 984 3000 1284 "77" "77" 0x00000900] + Pad[15748 -31130 15748 -26114 984 3000 1284 "78" "78" 0x00000900] + Pad[14173 -31130 14173 -26114 984 3000 1284 "79" "79" 0x00000900] + Pad[12598 -31130 12598 -26114 984 3000 1284 "80" "80" 0x00000900] + Pad[11023 -31130 11023 -26114 984 3000 1284 "81" "81" 0x00000900] + Pad[9449 -31130 9449 -26114 984 3000 1284 "82" "82" 0x00000900] + Pad[7874 -31130 7874 -26114 984 3000 1284 "83" "83" 0x00000900] + Pad[6299 -31130 6299 -26114 984 3000 1284 "84" "84" 0x00000900] + Pad[4724 -31130 4724 -26114 984 3000 1284 "85" "85" 0x00000900] + Pad[3149 -31130 3149 -26114 984 3000 1284 "86" "86" 0x00000900] + Pad[1575 -31130 1575 -26114 984 3000 1284 "87" "87" 0x00000900] + Pad[0 -31130 0 -26114 984 3000 1284 "88" "88" 0x00000900] + Pad[-1575 -31130 -1575 -26114 984 3000 1284 "89" "89" 0x00000900] + Pad[-3150 -31130 -3150 -26114 984 3000 1284 "90" "90" 0x00000900] + Pad[-4725 -31130 -4725 -26114 984 3000 1284 "91" "91" 0x00000900] + Pad[-6299 -31130 -6299 -26114 984 3000 1284 "92" "92" 0x00000900] + Pad[-7874 -31130 -7874 -26114 984 3000 1284 "93" "93" 0x00000900] + Pad[-9449 -31130 -9449 -26114 984 3000 1284 "94" "94" 0x00000900] + Pad[-11024 -31130 -11024 -26114 984 3000 1284 "95" "95" 0x00000900] + Pad[-12599 -31130 -12599 -26114 984 3000 1284 "96" "96" 0x00000900] + Pad[-14173 -31130 -14173 -26114 984 3000 1284 "97" "97" 0x00000900] + Pad[-15748 -31130 -15748 -26114 984 3000 1284 "98" "98" 0x00000900] + Pad[-17323 -31130 -17323 -26114 984 3000 1284 "99" "99" 0x00000900] + Pad[-18898 -31130 -18898 -26114 984 3000 1284 "100" "100" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-20322 -23222 23222 -23222 800] + # right + ElementLine[23222 -23222 23222 23222 800] + # bottom + ElementLine[23222 23222 -23222 23222 800] + # left + ElementLine[-23222 23222 -23222 -20322 800] + # angled corner + ElementLine[-23222 -20322 -20322 -23222 800] + ElementArc[-20322 -20322 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP100_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP100_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP100_14.fp (revision 1033) @@ -0,0 +1,119 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP100_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-35008 -23622 -30110 -23622 1102 3000 1402 "1" "1" 0x00000100] + Pad[-35008 -21654 -30110 -21654 1102 3000 1402 "2" "2" 0x00000100] + Pad[-35008 -19685 -30110 -19685 1102 3000 1402 "3" "3" 0x00000100] + Pad[-35008 -17717 -30110 -17717 1102 3000 1402 "4" "4" 0x00000100] + Pad[-35008 -15748 -30110 -15748 1102 3000 1402 "5" "5" 0x00000100] + Pad[-35008 -13780 -30110 -13780 1102 3000 1402 "6" "6" 0x00000100] + Pad[-35008 -11811 -30110 -11811 1102 3000 1402 "7" "7" 0x00000100] + Pad[-35008 -9843 -30110 -9843 1102 3000 1402 "8" "8" 0x00000100] + Pad[-35008 -7874 -30110 -7874 1102 3000 1402 "9" "9" 0x00000100] + Pad[-35008 -5906 -30110 -5906 1102 3000 1402 "10" "10" 0x00000100] + Pad[-35008 -3937 -30110 -3937 1102 3000 1402 "11" "11" 0x00000100] + Pad[-35008 -1969 -30110 -1969 1102 3000 1402 "12" "12" 0x00000100] + Pad[-35008 0 -30110 0 1102 3000 1402 "13" "13" 0x00000100] + Pad[-35008 1968 -30110 1968 1102 3000 1402 "14" "14" 0x00000100] + Pad[-35008 3937 -30110 3937 1102 3000 1402 "15" "15" 0x00000100] + Pad[-35008 5905 -30110 5905 1102 3000 1402 "16" "16" 0x00000100] + Pad[-35008 7874 -30110 7874 1102 3000 1402 "17" "17" 0x00000100] + Pad[-35008 9842 -30110 9842 1102 3000 1402 "18" "18" 0x00000100] + Pad[-35008 11811 -30110 11811 1102 3000 1402 "19" "19" 0x00000100] + Pad[-35008 13779 -30110 13779 1102 3000 1402 "20" "20" 0x00000100] + Pad[-35008 15748 -30110 15748 1102 3000 1402 "21" "21" 0x00000100] + Pad[-35008 17716 -30110 17716 1102 3000 1402 "22" "22" 0x00000100] + Pad[-35008 19685 -30110 19685 1102 3000 1402 "23" "23" 0x00000100] + Pad[-35008 21653 -30110 21653 1102 3000 1402 "24" "24" 0x00000100] + Pad[-35008 23622 -30110 23622 1102 3000 1402 "25" "25" 0x00000100] + # bottom row + Pad[-23622 35008 -23622 30110 1102 3000 1402 "26" "26" 0x00000900] + Pad[-21654 35008 -21654 30110 1102 3000 1402 "27" "27" 0x00000900] + Pad[-19685 35008 -19685 30110 1102 3000 1402 "28" "28" 0x00000900] + Pad[-17717 35008 -17717 30110 1102 3000 1402 "29" "29" 0x00000900] + Pad[-15748 35008 -15748 30110 1102 3000 1402 "30" "30" 0x00000900] + Pad[-13780 35008 -13780 30110 1102 3000 1402 "31" "31" 0x00000900] + Pad[-11811 35008 -11811 30110 1102 3000 1402 "32" "32" 0x00000900] + Pad[-9843 35008 -9843 30110 1102 3000 1402 "33" "33" 0x00000900] + Pad[-7874 35008 -7874 30110 1102 3000 1402 "34" "34" 0x00000900] + Pad[-5906 35008 -5906 30110 1102 3000 1402 "35" "35" 0x00000900] + Pad[-3937 35008 -3937 30110 1102 3000 1402 "36" "36" 0x00000900] + Pad[-1969 35008 -1969 30110 1102 3000 1402 "37" "37" 0x00000900] + Pad[0 35008 0 30110 1102 3000 1402 "38" "38" 0x00000900] + Pad[1968 35008 1968 30110 1102 3000 1402 "39" "39" 0x00000900] + Pad[3937 35008 3937 30110 1102 3000 1402 "40" "40" 0x00000900] + Pad[5905 35008 5905 30110 1102 3000 1402 "41" "41" 0x00000900] + Pad[7874 35008 7874 30110 1102 3000 1402 "42" "42" 0x00000900] + Pad[9842 35008 9842 30110 1102 3000 1402 "43" "43" 0x00000900] + Pad[11811 35008 11811 30110 1102 3000 1402 "44" "44" 0x00000900] + Pad[13779 35008 13779 30110 1102 3000 1402 "45" "45" 0x00000900] + Pad[15748 35008 15748 30110 1102 3000 1402 "46" "46" 0x00000900] + Pad[17716 35008 17716 30110 1102 3000 1402 "47" "47" 0x00000900] + Pad[19685 35008 19685 30110 1102 3000 1402 "48" "48" 0x00000900] + Pad[21653 35008 21653 30110 1102 3000 1402 "49" "49" 0x00000900] + Pad[23622 35008 23622 30110 1102 3000 1402 "50" "50" 0x00000900] + # right row + Pad[35008 23622 30110 23622 1102 3000 1402 "51" "51" 0x00000100] + Pad[35008 21654 30110 21654 1102 3000 1402 "52" "52" 0x00000100] + Pad[35008 19685 30110 19685 1102 3000 1402 "53" "53" 0x00000100] + Pad[35008 17717 30110 17717 1102 3000 1402 "54" "54" 0x00000100] + Pad[35008 15748 30110 15748 1102 3000 1402 "55" "55" 0x00000100] + Pad[35008 13780 30110 13780 1102 3000 1402 "56" "56" 0x00000100] + Pad[35008 11811 30110 11811 1102 3000 1402 "57" "57" 0x00000100] + Pad[35008 9843 30110 9843 1102 3000 1402 "58" "58" 0x00000100] + Pad[35008 7874 30110 7874 1102 3000 1402 "59" "59" 0x00000100] + Pad[35008 5906 30110 5906 1102 3000 1402 "60" "60" 0x00000100] + Pad[35008 3937 30110 3937 1102 3000 1402 "61" "61" 0x00000100] + Pad[35008 1969 30110 1969 1102 3000 1402 "62" "62" 0x00000100] + Pad[35008 0 30110 0 1102 3000 1402 "63" "63" 0x00000100] + Pad[35008 -1968 30110 -1968 1102 3000 1402 "64" "64" 0x00000100] + Pad[35008 -3937 30110 -3937 1102 3000 1402 "65" "65" 0x00000100] + Pad[35008 -5905 30110 -5905 1102 3000 1402 "66" "66" 0x00000100] + Pad[35008 -7874 30110 -7874 1102 3000 1402 "67" "67" 0x00000100] + Pad[35008 -9842 30110 -9842 1102 3000 1402 "68" "68" 0x00000100] + Pad[35008 -11811 30110 -11811 1102 3000 1402 "69" "69" 0x00000100] + Pad[35008 -13779 30110 -13779 1102 3000 1402 "70" "70" 0x00000100] + Pad[35008 -15748 30110 -15748 1102 3000 1402 "71" "71" 0x00000100] + Pad[35008 -17716 30110 -17716 1102 3000 1402 "72" "72" 0x00000100] + Pad[35008 -19685 30110 -19685 1102 3000 1402 "73" "73" 0x00000100] + Pad[35008 -21653 30110 -21653 1102 3000 1402 "74" "74" 0x00000100] + Pad[35008 -23622 30110 -23622 1102 3000 1402 "75" "75" 0x00000100] + # top row + Pad[23622 -35008 23622 -30110 1102 3000 1402 "76" "76" 0x00000900] + Pad[21654 -35008 21654 -30110 1102 3000 1402 "77" "77" 0x00000900] + Pad[19685 -35008 19685 -30110 1102 3000 1402 "78" "78" 0x00000900] + Pad[17717 -35008 17717 -30110 1102 3000 1402 "79" "79" 0x00000900] + Pad[15748 -35008 15748 -30110 1102 3000 1402 "80" "80" 0x00000900] + Pad[13780 -35008 13780 -30110 1102 3000 1402 "81" "81" 0x00000900] + Pad[11811 -35008 11811 -30110 1102 3000 1402 "82" "82" 0x00000900] + Pad[9843 -35008 9843 -30110 1102 3000 1402 "83" "83" 0x00000900] + Pad[7874 -35008 7874 -30110 1102 3000 1402 "84" "84" 0x00000900] + Pad[5906 -35008 5906 -30110 1102 3000 1402 "85" "85" 0x00000900] + Pad[3937 -35008 3937 -30110 1102 3000 1402 "86" "86" 0x00000900] + Pad[1969 -35008 1969 -30110 1102 3000 1402 "87" "87" 0x00000900] + Pad[0 -35008 0 -30110 1102 3000 1402 "88" "88" 0x00000900] + Pad[-1968 -35008 -1968 -30110 1102 3000 1402 "89" "89" 0x00000900] + Pad[-3937 -35008 -3937 -30110 1102 3000 1402 "90" "90" 0x00000900] + Pad[-5905 -35008 -5905 -30110 1102 3000 1402 "91" "91" 0x00000900] + Pad[-7874 -35008 -7874 -30110 1102 3000 1402 "92" "92" 0x00000900] + Pad[-9842 -35008 -9842 -30110 1102 3000 1402 "93" "93" 0x00000900] + Pad[-11811 -35008 -11811 -30110 1102 3000 1402 "94" "94" 0x00000900] + Pad[-13779 -35008 -13779 -30110 1102 3000 1402 "95" "95" 0x00000900] + Pad[-15748 -35008 -15748 -30110 1102 3000 1402 "96" "96" 0x00000900] + Pad[-17716 -35008 -17716 -30110 1102 3000 1402 "97" "97" 0x00000900] + Pad[-19685 -35008 -19685 -30110 1102 3000 1402 "98" "98" 0x00000900] + Pad[-21653 -35008 -21653 -30110 1102 3000 1402 "99" "99" 0x00000900] + Pad[-23622 -35008 -23622 -30110 1102 3000 1402 "100" "100" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP120_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP120_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP120_28.fp (revision 1033) @@ -0,0 +1,139 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP120_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -45669 -57905 -45669 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -42520 -57905 -42520 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -39370 -57905 -39370 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -36221 -57905 -36221 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -33071 -57905 -33071 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -29921 -57905 -29921 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -26772 -57905 -26772 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -23622 -57905 -23622 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -20473 -57905 -20473 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -17323 -57905 -17323 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -14173 -57905 -14173 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -11024 -57905 -11024 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -7874 -57905 -7874 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -4725 -57905 -4725 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -1575 -57905 -1575 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 1575 -57905 1575 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 4724 -57905 4724 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 7874 -57905 7874 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 11023 -57905 11023 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 14173 -57905 14173 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 17323 -57905 17323 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 20472 -57905 20472 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 23622 -57905 23622 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 26771 -57905 26771 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 29921 -57905 29921 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 33071 -57905 33071 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 36220 -57905 36220 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 39370 -57905 39370 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 42519 -57905 42519 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 45669 -57905 45669 1574 3000 1874 "30" "30" 0x00000100] + # bottom row + Pad[-45669 62331 -45669 57905 1574 3000 1874 "31" "31" 0x00000900] + Pad[-42520 62331 -42520 57905 1574 3000 1874 "32" "32" 0x00000900] + Pad[-39370 62331 -39370 57905 1574 3000 1874 "33" "33" 0x00000900] + Pad[-36221 62331 -36221 57905 1574 3000 1874 "34" "34" 0x00000900] + Pad[-33071 62331 -33071 57905 1574 3000 1874 "35" "35" 0x00000900] + Pad[-29921 62331 -29921 57905 1574 3000 1874 "36" "36" 0x00000900] + Pad[-26772 62331 -26772 57905 1574 3000 1874 "37" "37" 0x00000900] + Pad[-23622 62331 -23622 57905 1574 3000 1874 "38" "38" 0x00000900] + Pad[-20473 62331 -20473 57905 1574 3000 1874 "39" "39" 0x00000900] + Pad[-17323 62331 -17323 57905 1574 3000 1874 "40" "40" 0x00000900] + Pad[-14173 62331 -14173 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-11024 62331 -11024 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-7874 62331 -7874 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-4725 62331 -4725 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-1575 62331 -1575 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[1575 62331 1575 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[4724 62331 4724 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[7874 62331 7874 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[11023 62331 11023 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[14173 62331 14173 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[17323 62331 17323 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[20472 62331 20472 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[23622 62331 23622 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[26771 62331 26771 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[29921 62331 29921 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[33071 62331 33071 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[36220 62331 36220 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[39370 62331 39370 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[42519 62331 42519 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[45669 62331 45669 57905 1574 3000 1874 "60" "60" 0x00000900] + # right row + Pad[62331 45669 57905 45669 1574 3000 1874 "61" "61" 0x00000100] + Pad[62331 42520 57905 42520 1574 3000 1874 "62" "62" 0x00000100] + Pad[62331 39370 57905 39370 1574 3000 1874 "63" "63" 0x00000100] + Pad[62331 36221 57905 36221 1574 3000 1874 "64" "64" 0x00000100] + Pad[62331 33071 57905 33071 1574 3000 1874 "65" "65" 0x00000100] + Pad[62331 29921 57905 29921 1574 3000 1874 "66" "66" 0x00000100] + Pad[62331 26772 57905 26772 1574 3000 1874 "67" "67" 0x00000100] + Pad[62331 23622 57905 23622 1574 3000 1874 "68" "68" 0x00000100] + Pad[62331 20473 57905 20473 1574 3000 1874 "69" "69" 0x00000100] + Pad[62331 17323 57905 17323 1574 3000 1874 "70" "70" 0x00000100] + Pad[62331 14173 57905 14173 1574 3000 1874 "71" "71" 0x00000100] + Pad[62331 11024 57905 11024 1574 3000 1874 "72" "72" 0x00000100] + Pad[62331 7874 57905 7874 1574 3000 1874 "73" "73" 0x00000100] + Pad[62331 4725 57905 4725 1574 3000 1874 "74" "74" 0x00000100] + Pad[62331 1575 57905 1575 1574 3000 1874 "75" "75" 0x00000100] + Pad[62331 -1575 57905 -1575 1574 3000 1874 "76" "76" 0x00000100] + Pad[62331 -4724 57905 -4724 1574 3000 1874 "77" "77" 0x00000100] + Pad[62331 -7874 57905 -7874 1574 3000 1874 "78" "78" 0x00000100] + Pad[62331 -11023 57905 -11023 1574 3000 1874 "79" "79" 0x00000100] + Pad[62331 -14173 57905 -14173 1574 3000 1874 "80" "80" 0x00000100] + Pad[62331 -17323 57905 -17323 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 -20472 57905 -20472 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 -23622 57905 -23622 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 -26771 57905 -26771 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 -29921 57905 -29921 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 -33071 57905 -33071 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 -36220 57905 -36220 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 -39370 57905 -39370 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 -42519 57905 -42519 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 -45669 57905 -45669 1574 3000 1874 "90" "90" 0x00000100] + # top row + Pad[45669 -62331 45669 -57905 1574 3000 1874 "91" "91" 0x00000900] + Pad[42520 -62331 42520 -57905 1574 3000 1874 "92" "92" 0x00000900] + Pad[39370 -62331 39370 -57905 1574 3000 1874 "93" "93" 0x00000900] + Pad[36221 -62331 36221 -57905 1574 3000 1874 "94" "94" 0x00000900] + Pad[33071 -62331 33071 -57905 1574 3000 1874 "95" "95" 0x00000900] + Pad[29921 -62331 29921 -57905 1574 3000 1874 "96" "96" 0x00000900] + Pad[26772 -62331 26772 -57905 1574 3000 1874 "97" "97" 0x00000900] + Pad[23622 -62331 23622 -57905 1574 3000 1874 "98" "98" 0x00000900] + Pad[20473 -62331 20473 -57905 1574 3000 1874 "99" "99" 0x00000900] + Pad[17323 -62331 17323 -57905 1574 3000 1874 "100" "100" 0x00000900] + Pad[14173 -62331 14173 -57905 1574 3000 1874 "101" "101" 0x00000900] + Pad[11024 -62331 11024 -57905 1574 3000 1874 "102" "102" 0x00000900] + Pad[7874 -62331 7874 -57905 1574 3000 1874 "103" "103" 0x00000900] + Pad[4725 -62331 4725 -57905 1574 3000 1874 "104" "104" 0x00000900] + Pad[1575 -62331 1575 -57905 1574 3000 1874 "105" "105" 0x00000900] + Pad[-1575 -62331 -1575 -57905 1574 3000 1874 "106" "106" 0x00000900] + Pad[-4724 -62331 -4724 -57905 1574 3000 1874 "107" "107" 0x00000900] + Pad[-7874 -62331 -7874 -57905 1574 3000 1874 "108" "108" 0x00000900] + Pad[-11023 -62331 -11023 -57905 1574 3000 1874 "109" "109" 0x00000900] + Pad[-14173 -62331 -14173 -57905 1574 3000 1874 "110" "110" 0x00000900] + Pad[-17323 -62331 -17323 -57905 1574 3000 1874 "111" "111" 0x00000900] + Pad[-20472 -62331 -20472 -57905 1574 3000 1874 "112" "112" 0x00000900] + Pad[-23622 -62331 -23622 -57905 1574 3000 1874 "113" "113" 0x00000900] + Pad[-26771 -62331 -26771 -57905 1574 3000 1874 "114" "114" 0x00000900] + Pad[-29921 -62331 -29921 -57905 1574 3000 1874 "115" "115" 0x00000900] + Pad[-33071 -62331 -33071 -57905 1574 3000 1874 "116" "116" 0x00000900] + Pad[-36220 -62331 -36220 -57905 1574 3000 1874 "117" "117" 0x00000900] + Pad[-39370 -62331 -39370 -57905 1574 3000 1874 "118" "118" 0x00000900] + Pad[-42519 -62331 -42519 -57905 1574 3000 1874 "119" "119" 0x00000900] + Pad[-45669 -62331 -45669 -57905 1574 3000 1874 "120" "120" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP128_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP128_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP128_14.fp (revision 1033) @@ -0,0 +1,147 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP128_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-32067 -24409 -28551 -24409 984 3000 1284 "1" "1" 0x00000100] + Pad[-32067 -22835 -28551 -22835 984 3000 1284 "2" "2" 0x00000100] + Pad[-32067 -21260 -28551 -21260 984 3000 1284 "3" "3" 0x00000100] + Pad[-32067 -19685 -28551 -19685 984 3000 1284 "4" "4" 0x00000100] + Pad[-32067 -18110 -28551 -18110 984 3000 1284 "5" "5" 0x00000100] + Pad[-32067 -16535 -28551 -16535 984 3000 1284 "6" "6" 0x00000100] + Pad[-32067 -14961 -28551 -14961 984 3000 1284 "7" "7" 0x00000100] + Pad[-32067 -13386 -28551 -13386 984 3000 1284 "8" "8" 0x00000100] + Pad[-32067 -11811 -28551 -11811 984 3000 1284 "9" "9" 0x00000100] + Pad[-32067 -10236 -28551 -10236 984 3000 1284 "10" "10" 0x00000100] + Pad[-32067 -8661 -28551 -8661 984 3000 1284 "11" "11" 0x00000100] + Pad[-32067 -7087 -28551 -7087 984 3000 1284 "12" "12" 0x00000100] + Pad[-32067 -5512 -28551 -5512 984 3000 1284 "13" "13" 0x00000100] + Pad[-32067 -3937 -28551 -3937 984 3000 1284 "14" "14" 0x00000100] + Pad[-32067 -2362 -28551 -2362 984 3000 1284 "15" "15" 0x00000100] + Pad[-32067 -787 -28551 -787 984 3000 1284 "16" "16" 0x00000100] + Pad[-32067 787 -28551 787 984 3000 1284 "17" "17" 0x00000100] + Pad[-32067 2362 -28551 2362 984 3000 1284 "18" "18" 0x00000100] + Pad[-32067 3937 -28551 3937 984 3000 1284 "19" "19" 0x00000100] + Pad[-32067 5512 -28551 5512 984 3000 1284 "20" "20" 0x00000100] + Pad[-32067 7087 -28551 7087 984 3000 1284 "21" "21" 0x00000100] + Pad[-32067 8661 -28551 8661 984 3000 1284 "22" "22" 0x00000100] + Pad[-32067 10236 -28551 10236 984 3000 1284 "23" "23" 0x00000100] + Pad[-32067 11811 -28551 11811 984 3000 1284 "24" "24" 0x00000100] + Pad[-32067 13386 -28551 13386 984 3000 1284 "25" "25" 0x00000100] + Pad[-32067 14961 -28551 14961 984 3000 1284 "26" "26" 0x00000100] + Pad[-32067 16535 -28551 16535 984 3000 1284 "27" "27" 0x00000100] + Pad[-32067 18110 -28551 18110 984 3000 1284 "28" "28" 0x00000100] + Pad[-32067 19685 -28551 19685 984 3000 1284 "29" "29" 0x00000100] + Pad[-32067 21260 -28551 21260 984 3000 1284 "30" "30" 0x00000100] + Pad[-32067 22835 -28551 22835 984 3000 1284 "31" "31" 0x00000100] + Pad[-32067 24409 -28551 24409 984 3000 1284 "32" "32" 0x00000100] + # bottom row + Pad[-24409 32067 -24409 28551 984 3000 1284 "33" "33" 0x00000900] + Pad[-22835 32067 -22835 28551 984 3000 1284 "34" "34" 0x00000900] + Pad[-21260 32067 -21260 28551 984 3000 1284 "35" "35" 0x00000900] + Pad[-19685 32067 -19685 28551 984 3000 1284 "36" "36" 0x00000900] + Pad[-18110 32067 -18110 28551 984 3000 1284 "37" "37" 0x00000900] + Pad[-16535 32067 -16535 28551 984 3000 1284 "38" "38" 0x00000900] + Pad[-14961 32067 -14961 28551 984 3000 1284 "39" "39" 0x00000900] + Pad[-13386 32067 -13386 28551 984 3000 1284 "40" "40" 0x00000900] + Pad[-11811 32067 -11811 28551 984 3000 1284 "41" "41" 0x00000900] + Pad[-10236 32067 -10236 28551 984 3000 1284 "42" "42" 0x00000900] + Pad[-8661 32067 -8661 28551 984 3000 1284 "43" "43" 0x00000900] + Pad[-7087 32067 -7087 28551 984 3000 1284 "44" "44" 0x00000900] + Pad[-5512 32067 -5512 28551 984 3000 1284 "45" "45" 0x00000900] + Pad[-3937 32067 -3937 28551 984 3000 1284 "46" "46" 0x00000900] + Pad[-2362 32067 -2362 28551 984 3000 1284 "47" "47" 0x00000900] + Pad[-787 32067 -787 28551 984 3000 1284 "48" "48" 0x00000900] + Pad[787 32067 787 28551 984 3000 1284 "49" "49" 0x00000900] + Pad[2362 32067 2362 28551 984 3000 1284 "50" "50" 0x00000900] + Pad[3937 32067 3937 28551 984 3000 1284 "51" "51" 0x00000900] + Pad[5512 32067 5512 28551 984 3000 1284 "52" "52" 0x00000900] + Pad[7087 32067 7087 28551 984 3000 1284 "53" "53" 0x00000900] + Pad[8661 32067 8661 28551 984 3000 1284 "54" "54" 0x00000900] + Pad[10236 32067 10236 28551 984 3000 1284 "55" "55" 0x00000900] + Pad[11811 32067 11811 28551 984 3000 1284 "56" "56" 0x00000900] + Pad[13386 32067 13386 28551 984 3000 1284 "57" "57" 0x00000900] + Pad[14961 32067 14961 28551 984 3000 1284 "58" "58" 0x00000900] + Pad[16535 32067 16535 28551 984 3000 1284 "59" "59" 0x00000900] + Pad[18110 32067 18110 28551 984 3000 1284 "60" "60" 0x00000900] + Pad[19685 32067 19685 28551 984 3000 1284 "61" "61" 0x00000900] + Pad[21260 32067 21260 28551 984 3000 1284 "62" "62" 0x00000900] + Pad[22835 32067 22835 28551 984 3000 1284 "63" "63" 0x00000900] + Pad[24409 32067 24409 28551 984 3000 1284 "64" "64" 0x00000900] + # right row + Pad[32067 24409 28551 24409 984 3000 1284 "65" "65" 0x00000100] + Pad[32067 22835 28551 22835 984 3000 1284 "66" "66" 0x00000100] + Pad[32067 21260 28551 21260 984 3000 1284 "67" "67" 0x00000100] + Pad[32067 19685 28551 19685 984 3000 1284 "68" "68" 0x00000100] + Pad[32067 18110 28551 18110 984 3000 1284 "69" "69" 0x00000100] + Pad[32067 16535 28551 16535 984 3000 1284 "70" "70" 0x00000100] + Pad[32067 14961 28551 14961 984 3000 1284 "71" "71" 0x00000100] + Pad[32067 13386 28551 13386 984 3000 1284 "72" "72" 0x00000100] + Pad[32067 11811 28551 11811 984 3000 1284 "73" "73" 0x00000100] + Pad[32067 10236 28551 10236 984 3000 1284 "74" "74" 0x00000100] + Pad[32067 8661 28551 8661 984 3000 1284 "75" "75" 0x00000100] + Pad[32067 7087 28551 7087 984 3000 1284 "76" "76" 0x00000100] + Pad[32067 5512 28551 5512 984 3000 1284 "77" "77" 0x00000100] + Pad[32067 3937 28551 3937 984 3000 1284 "78" "78" 0x00000100] + Pad[32067 2362 28551 2362 984 3000 1284 "79" "79" 0x00000100] + Pad[32067 787 28551 787 984 3000 1284 "80" "80" 0x00000100] + Pad[32067 -787 28551 -787 984 3000 1284 "81" "81" 0x00000100] + Pad[32067 -2362 28551 -2362 984 3000 1284 "82" "82" 0x00000100] + Pad[32067 -3937 28551 -3937 984 3000 1284 "83" "83" 0x00000100] + Pad[32067 -5512 28551 -5512 984 3000 1284 "84" "84" 0x00000100] + Pad[32067 -7087 28551 -7087 984 3000 1284 "85" "85" 0x00000100] + Pad[32067 -8661 28551 -8661 984 3000 1284 "86" "86" 0x00000100] + Pad[32067 -10236 28551 -10236 984 3000 1284 "87" "87" 0x00000100] + Pad[32067 -11811 28551 -11811 984 3000 1284 "88" "88" 0x00000100] + Pad[32067 -13386 28551 -13386 984 3000 1284 "89" "89" 0x00000100] + Pad[32067 -14961 28551 -14961 984 3000 1284 "90" "90" 0x00000100] + Pad[32067 -16535 28551 -16535 984 3000 1284 "91" "91" 0x00000100] + Pad[32067 -18110 28551 -18110 984 3000 1284 "92" "92" 0x00000100] + Pad[32067 -19685 28551 -19685 984 3000 1284 "93" "93" 0x00000100] + Pad[32067 -21260 28551 -21260 984 3000 1284 "94" "94" 0x00000100] + Pad[32067 -22835 28551 -22835 984 3000 1284 "95" "95" 0x00000100] + Pad[32067 -24409 28551 -24409 984 3000 1284 "96" "96" 0x00000100] + # top row + Pad[24409 -32067 24409 -28551 984 3000 1284 "97" "97" 0x00000900] + Pad[22835 -32067 22835 -28551 984 3000 1284 "98" "98" 0x00000900] + Pad[21260 -32067 21260 -28551 984 3000 1284 "99" "99" 0x00000900] + Pad[19685 -32067 19685 -28551 984 3000 1284 "100" "100" 0x00000900] + Pad[18110 -32067 18110 -28551 984 3000 1284 "101" "101" 0x00000900] + Pad[16535 -32067 16535 -28551 984 3000 1284 "102" "102" 0x00000900] + Pad[14961 -32067 14961 -28551 984 3000 1284 "103" "103" 0x00000900] + Pad[13386 -32067 13386 -28551 984 3000 1284 "104" "104" 0x00000900] + Pad[11811 -32067 11811 -28551 984 3000 1284 "105" "105" 0x00000900] + Pad[10236 -32067 10236 -28551 984 3000 1284 "106" "106" 0x00000900] + Pad[8661 -32067 8661 -28551 984 3000 1284 "107" "107" 0x00000900] + Pad[7087 -32067 7087 -28551 984 3000 1284 "108" "108" 0x00000900] + Pad[5512 -32067 5512 -28551 984 3000 1284 "109" "109" 0x00000900] + Pad[3937 -32067 3937 -28551 984 3000 1284 "110" "110" 0x00000900] + Pad[2362 -32067 2362 -28551 984 3000 1284 "111" "111" 0x00000900] + Pad[787 -32067 787 -28551 984 3000 1284 "112" "112" 0x00000900] + Pad[-787 -32067 -787 -28551 984 3000 1284 "113" "113" 0x00000900] + Pad[-2362 -32067 -2362 -28551 984 3000 1284 "114" "114" 0x00000900] + Pad[-3937 -32067 -3937 -28551 984 3000 1284 "115" "115" 0x00000900] + Pad[-5512 -32067 -5512 -28551 984 3000 1284 "116" "116" 0x00000900] + Pad[-7087 -32067 -7087 -28551 984 3000 1284 "117" "117" 0x00000900] + Pad[-8661 -32067 -8661 -28551 984 3000 1284 "118" "118" 0x00000900] + Pad[-10236 -32067 -10236 -28551 984 3000 1284 "119" "119" 0x00000900] + Pad[-11811 -32067 -11811 -28551 984 3000 1284 "120" "120" 0x00000900] + Pad[-13386 -32067 -13386 -28551 984 3000 1284 "121" "121" 0x00000900] + Pad[-14961 -32067 -14961 -28551 984 3000 1284 "122" "122" 0x00000900] + Pad[-16535 -32067 -16535 -28551 984 3000 1284 "123" "123" 0x00000900] + Pad[-18110 -32067 -18110 -28551 984 3000 1284 "124" "124" 0x00000900] + Pad[-19685 -32067 -19685 -28551 984 3000 1284 "125" "125" 0x00000900] + Pad[-21260 -32067 -21260 -28551 984 3000 1284 "126" "126" 0x00000900] + Pad[-22835 -32067 -22835 -28551 984 3000 1284 "127" "127" 0x00000900] + Pad[-24409 -32067 -24409 -28551 984 3000 1284 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP128_14_EP.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP128_14_EP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP128_14_EP.fp (revision 1033) @@ -0,0 +1,148 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP128_14_EP" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-32067 -24409 -28551 -24409 984 3000 1284 "1" "1" 0x00000100] + Pad[-32067 -22835 -28551 -22835 984 3000 1284 "2" "2" 0x00000100] + Pad[-32067 -21260 -28551 -21260 984 3000 1284 "3" "3" 0x00000100] + Pad[-32067 -19685 -28551 -19685 984 3000 1284 "4" "4" 0x00000100] + Pad[-32067 -18110 -28551 -18110 984 3000 1284 "5" "5" 0x00000100] + Pad[-32067 -16535 -28551 -16535 984 3000 1284 "6" "6" 0x00000100] + Pad[-32067 -14961 -28551 -14961 984 3000 1284 "7" "7" 0x00000100] + Pad[-32067 -13386 -28551 -13386 984 3000 1284 "8" "8" 0x00000100] + Pad[-32067 -11811 -28551 -11811 984 3000 1284 "9" "9" 0x00000100] + Pad[-32067 -10236 -28551 -10236 984 3000 1284 "10" "10" 0x00000100] + Pad[-32067 -8661 -28551 -8661 984 3000 1284 "11" "11" 0x00000100] + Pad[-32067 -7087 -28551 -7087 984 3000 1284 "12" "12" 0x00000100] + Pad[-32067 -5512 -28551 -5512 984 3000 1284 "13" "13" 0x00000100] + Pad[-32067 -3937 -28551 -3937 984 3000 1284 "14" "14" 0x00000100] + Pad[-32067 -2362 -28551 -2362 984 3000 1284 "15" "15" 0x00000100] + Pad[-32067 -787 -28551 -787 984 3000 1284 "16" "16" 0x00000100] + Pad[-32067 787 -28551 787 984 3000 1284 "17" "17" 0x00000100] + Pad[-32067 2362 -28551 2362 984 3000 1284 "18" "18" 0x00000100] + Pad[-32067 3937 -28551 3937 984 3000 1284 "19" "19" 0x00000100] + Pad[-32067 5512 -28551 5512 984 3000 1284 "20" "20" 0x00000100] + Pad[-32067 7087 -28551 7087 984 3000 1284 "21" "21" 0x00000100] + Pad[-32067 8661 -28551 8661 984 3000 1284 "22" "22" 0x00000100] + Pad[-32067 10236 -28551 10236 984 3000 1284 "23" "23" 0x00000100] + Pad[-32067 11811 -28551 11811 984 3000 1284 "24" "24" 0x00000100] + Pad[-32067 13386 -28551 13386 984 3000 1284 "25" "25" 0x00000100] + Pad[-32067 14961 -28551 14961 984 3000 1284 "26" "26" 0x00000100] + Pad[-32067 16535 -28551 16535 984 3000 1284 "27" "27" 0x00000100] + Pad[-32067 18110 -28551 18110 984 3000 1284 "28" "28" 0x00000100] + Pad[-32067 19685 -28551 19685 984 3000 1284 "29" "29" 0x00000100] + Pad[-32067 21260 -28551 21260 984 3000 1284 "30" "30" 0x00000100] + Pad[-32067 22835 -28551 22835 984 3000 1284 "31" "31" 0x00000100] + Pad[-32067 24409 -28551 24409 984 3000 1284 "32" "32" 0x00000100] + # bottom row + Pad[-24409 32067 -24409 28551 984 3000 1284 "33" "33" 0x00000900] + Pad[-22835 32067 -22835 28551 984 3000 1284 "34" "34" 0x00000900] + Pad[-21260 32067 -21260 28551 984 3000 1284 "35" "35" 0x00000900] + Pad[-19685 32067 -19685 28551 984 3000 1284 "36" "36" 0x00000900] + Pad[-18110 32067 -18110 28551 984 3000 1284 "37" "37" 0x00000900] + Pad[-16535 32067 -16535 28551 984 3000 1284 "38" "38" 0x00000900] + Pad[-14961 32067 -14961 28551 984 3000 1284 "39" "39" 0x00000900] + Pad[-13386 32067 -13386 28551 984 3000 1284 "40" "40" 0x00000900] + Pad[-11811 32067 -11811 28551 984 3000 1284 "41" "41" 0x00000900] + Pad[-10236 32067 -10236 28551 984 3000 1284 "42" "42" 0x00000900] + Pad[-8661 32067 -8661 28551 984 3000 1284 "43" "43" 0x00000900] + Pad[-7087 32067 -7087 28551 984 3000 1284 "44" "44" 0x00000900] + Pad[-5512 32067 -5512 28551 984 3000 1284 "45" "45" 0x00000900] + Pad[-3937 32067 -3937 28551 984 3000 1284 "46" "46" 0x00000900] + Pad[-2362 32067 -2362 28551 984 3000 1284 "47" "47" 0x00000900] + Pad[-787 32067 -787 28551 984 3000 1284 "48" "48" 0x00000900] + Pad[787 32067 787 28551 984 3000 1284 "49" "49" 0x00000900] + Pad[2362 32067 2362 28551 984 3000 1284 "50" "50" 0x00000900] + Pad[3937 32067 3937 28551 984 3000 1284 "51" "51" 0x00000900] + Pad[5512 32067 5512 28551 984 3000 1284 "52" "52" 0x00000900] + Pad[7087 32067 7087 28551 984 3000 1284 "53" "53" 0x00000900] + Pad[8661 32067 8661 28551 984 3000 1284 "54" "54" 0x00000900] + Pad[10236 32067 10236 28551 984 3000 1284 "55" "55" 0x00000900] + Pad[11811 32067 11811 28551 984 3000 1284 "56" "56" 0x00000900] + Pad[13386 32067 13386 28551 984 3000 1284 "57" "57" 0x00000900] + Pad[14961 32067 14961 28551 984 3000 1284 "58" "58" 0x00000900] + Pad[16535 32067 16535 28551 984 3000 1284 "59" "59" 0x00000900] + Pad[18110 32067 18110 28551 984 3000 1284 "60" "60" 0x00000900] + Pad[19685 32067 19685 28551 984 3000 1284 "61" "61" 0x00000900] + Pad[21260 32067 21260 28551 984 3000 1284 "62" "62" 0x00000900] + Pad[22835 32067 22835 28551 984 3000 1284 "63" "63" 0x00000900] + Pad[24409 32067 24409 28551 984 3000 1284 "64" "64" 0x00000900] + # right row + Pad[32067 24409 28551 24409 984 3000 1284 "65" "65" 0x00000100] + Pad[32067 22835 28551 22835 984 3000 1284 "66" "66" 0x00000100] + Pad[32067 21260 28551 21260 984 3000 1284 "67" "67" 0x00000100] + Pad[32067 19685 28551 19685 984 3000 1284 "68" "68" 0x00000100] + Pad[32067 18110 28551 18110 984 3000 1284 "69" "69" 0x00000100] + Pad[32067 16535 28551 16535 984 3000 1284 "70" "70" 0x00000100] + Pad[32067 14961 28551 14961 984 3000 1284 "71" "71" 0x00000100] + Pad[32067 13386 28551 13386 984 3000 1284 "72" "72" 0x00000100] + Pad[32067 11811 28551 11811 984 3000 1284 "73" "73" 0x00000100] + Pad[32067 10236 28551 10236 984 3000 1284 "74" "74" 0x00000100] + Pad[32067 8661 28551 8661 984 3000 1284 "75" "75" 0x00000100] + Pad[32067 7087 28551 7087 984 3000 1284 "76" "76" 0x00000100] + Pad[32067 5512 28551 5512 984 3000 1284 "77" "77" 0x00000100] + Pad[32067 3937 28551 3937 984 3000 1284 "78" "78" 0x00000100] + Pad[32067 2362 28551 2362 984 3000 1284 "79" "79" 0x00000100] + Pad[32067 787 28551 787 984 3000 1284 "80" "80" 0x00000100] + Pad[32067 -787 28551 -787 984 3000 1284 "81" "81" 0x00000100] + Pad[32067 -2362 28551 -2362 984 3000 1284 "82" "82" 0x00000100] + Pad[32067 -3937 28551 -3937 984 3000 1284 "83" "83" 0x00000100] + Pad[32067 -5512 28551 -5512 984 3000 1284 "84" "84" 0x00000100] + Pad[32067 -7087 28551 -7087 984 3000 1284 "85" "85" 0x00000100] + Pad[32067 -8661 28551 -8661 984 3000 1284 "86" "86" 0x00000100] + Pad[32067 -10236 28551 -10236 984 3000 1284 "87" "87" 0x00000100] + Pad[32067 -11811 28551 -11811 984 3000 1284 "88" "88" 0x00000100] + Pad[32067 -13386 28551 -13386 984 3000 1284 "89" "89" 0x00000100] + Pad[32067 -14961 28551 -14961 984 3000 1284 "90" "90" 0x00000100] + Pad[32067 -16535 28551 -16535 984 3000 1284 "91" "91" 0x00000100] + Pad[32067 -18110 28551 -18110 984 3000 1284 "92" "92" 0x00000100] + Pad[32067 -19685 28551 -19685 984 3000 1284 "93" "93" 0x00000100] + Pad[32067 -21260 28551 -21260 984 3000 1284 "94" "94" 0x00000100] + Pad[32067 -22835 28551 -22835 984 3000 1284 "95" "95" 0x00000100] + Pad[32067 -24409 28551 -24409 984 3000 1284 "96" "96" 0x00000100] + # top row + Pad[24409 -32067 24409 -28551 984 3000 1284 "97" "97" 0x00000900] + Pad[22835 -32067 22835 -28551 984 3000 1284 "98" "98" 0x00000900] + Pad[21260 -32067 21260 -28551 984 3000 1284 "99" "99" 0x00000900] + Pad[19685 -32067 19685 -28551 984 3000 1284 "100" "100" 0x00000900] + Pad[18110 -32067 18110 -28551 984 3000 1284 "101" "101" 0x00000900] + Pad[16535 -32067 16535 -28551 984 3000 1284 "102" "102" 0x00000900] + Pad[14961 -32067 14961 -28551 984 3000 1284 "103" "103" 0x00000900] + Pad[13386 -32067 13386 -28551 984 3000 1284 "104" "104" 0x00000900] + Pad[11811 -32067 11811 -28551 984 3000 1284 "105" "105" 0x00000900] + Pad[10236 -32067 10236 -28551 984 3000 1284 "106" "106" 0x00000900] + Pad[8661 -32067 8661 -28551 984 3000 1284 "107" "107" 0x00000900] + Pad[7087 -32067 7087 -28551 984 3000 1284 "108" "108" 0x00000900] + Pad[5512 -32067 5512 -28551 984 3000 1284 "109" "109" 0x00000900] + Pad[3937 -32067 3937 -28551 984 3000 1284 "110" "110" 0x00000900] + Pad[2362 -32067 2362 -28551 984 3000 1284 "111" "111" 0x00000900] + Pad[787 -32067 787 -28551 984 3000 1284 "112" "112" 0x00000900] + Pad[-787 -32067 -787 -28551 984 3000 1284 "113" "113" 0x00000900] + Pad[-2362 -32067 -2362 -28551 984 3000 1284 "114" "114" 0x00000900] + Pad[-3937 -32067 -3937 -28551 984 3000 1284 "115" "115" 0x00000900] + Pad[-5512 -32067 -5512 -28551 984 3000 1284 "116" "116" 0x00000900] + Pad[-7087 -32067 -7087 -28551 984 3000 1284 "117" "117" 0x00000900] + Pad[-8661 -32067 -8661 -28551 984 3000 1284 "118" "118" 0x00000900] + Pad[-10236 -32067 -10236 -28551 984 3000 1284 "119" "119" 0x00000900] + Pad[-11811 -32067 -11811 -28551 984 3000 1284 "120" "120" 0x00000900] + Pad[-13386 -32067 -13386 -28551 984 3000 1284 "121" "121" 0x00000900] + Pad[-14961 -32067 -14961 -28551 984 3000 1284 "122" "122" 0x00000900] + Pad[-16535 -32067 -16535 -28551 984 3000 1284 "123" "123" 0x00000900] + Pad[-18110 -32067 -18110 -28551 984 3000 1284 "124" "124" 0x00000900] + Pad[-19685 -32067 -19685 -28551 984 3000 1284 "125" "125" 0x00000900] + Pad[-21260 -32067 -21260 -28551 984 3000 1284 "126" "126" 0x00000900] + Pad[-22835 -32067 -22835 -28551 984 3000 1284 "127" "127" 0x00000900] + Pad[-24409 -32067 -24409 -28551 984 3000 1284 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) +Pad[0 0 0 0 38188 500 38588 "1" "1" 0x00000100] + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP128_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP128_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP128_28.fp (revision 1033) @@ -0,0 +1,147 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP128_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -48818 -57905 -48818 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -45669 -57905 -45669 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -42519 -57905 -42519 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -39370 -57905 -39370 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -36220 -57905 -36220 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -33070 -57905 -33070 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -29921 -57905 -29921 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -26771 -57905 -26771 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -23622 -57905 -23622 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -20472 -57905 -20472 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -17322 -57905 -17322 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -14173 -57905 -14173 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -11023 -57905 -11023 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -7874 -57905 -7874 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -4724 -57905 -4724 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 -1574 -57905 -1574 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 1575 -57905 1575 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 4725 -57905 4725 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 7874 -57905 7874 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 11024 -57905 11024 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 14174 -57905 14174 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 17323 -57905 17323 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 20473 -57905 20473 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 23622 -57905 23622 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 26772 -57905 26772 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 29922 -57905 29922 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 33071 -57905 33071 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 36221 -57905 36221 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 39370 -57905 39370 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 42520 -57905 42520 1574 3000 1874 "30" "30" 0x00000100] + Pad[-62331 45670 -57905 45670 1574 3000 1874 "31" "31" 0x00000100] + Pad[-62331 48819 -57905 48819 1574 3000 1874 "32" "32" 0x00000100] + # bottom row + Pad[-48818 62331 -48818 57905 1574 3000 1874 "33" "33" 0x00000900] + Pad[-45669 62331 -45669 57905 1574 3000 1874 "34" "34" 0x00000900] + Pad[-42519 62331 -42519 57905 1574 3000 1874 "35" "35" 0x00000900] + Pad[-39370 62331 -39370 57905 1574 3000 1874 "36" "36" 0x00000900] + Pad[-36220 62331 -36220 57905 1574 3000 1874 "37" "37" 0x00000900] + Pad[-33070 62331 -33070 57905 1574 3000 1874 "38" "38" 0x00000900] + Pad[-29921 62331 -29921 57905 1574 3000 1874 "39" "39" 0x00000900] + Pad[-26771 62331 -26771 57905 1574 3000 1874 "40" "40" 0x00000900] + Pad[-23622 62331 -23622 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-20472 62331 -20472 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-17322 62331 -17322 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-14173 62331 -14173 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-11023 62331 -11023 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[-7874 62331 -7874 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[-4724 62331 -4724 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[-1574 62331 -1574 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[1575 62331 1575 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[4725 62331 4725 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[7874 62331 7874 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[11024 62331 11024 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[14174 62331 14174 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[17323 62331 17323 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[20473 62331 20473 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[23622 62331 23622 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[26772 62331 26772 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[29922 62331 29922 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[33071 62331 33071 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[36221 62331 36221 57905 1574 3000 1874 "60" "60" 0x00000900] + Pad[39370 62331 39370 57905 1574 3000 1874 "61" "61" 0x00000900] + Pad[42520 62331 42520 57905 1574 3000 1874 "62" "62" 0x00000900] + Pad[45670 62331 45670 57905 1574 3000 1874 "63" "63" 0x00000900] + Pad[48819 62331 48819 57905 1574 3000 1874 "64" "64" 0x00000900] + # right row + Pad[62331 48818 57905 48818 1574 3000 1874 "65" "65" 0x00000100] + Pad[62331 45669 57905 45669 1574 3000 1874 "66" "66" 0x00000100] + Pad[62331 42519 57905 42519 1574 3000 1874 "67" "67" 0x00000100] + Pad[62331 39370 57905 39370 1574 3000 1874 "68" "68" 0x00000100] + Pad[62331 36220 57905 36220 1574 3000 1874 "69" "69" 0x00000100] + Pad[62331 33070 57905 33070 1574 3000 1874 "70" "70" 0x00000100] + Pad[62331 29921 57905 29921 1574 3000 1874 "71" "71" 0x00000100] + Pad[62331 26771 57905 26771 1574 3000 1874 "72" "72" 0x00000100] + Pad[62331 23622 57905 23622 1574 3000 1874 "73" "73" 0x00000100] + Pad[62331 20472 57905 20472 1574 3000 1874 "74" "74" 0x00000100] + Pad[62331 17322 57905 17322 1574 3000 1874 "75" "75" 0x00000100] + Pad[62331 14173 57905 14173 1574 3000 1874 "76" "76" 0x00000100] + Pad[62331 11023 57905 11023 1574 3000 1874 "77" "77" 0x00000100] + Pad[62331 7874 57905 7874 1574 3000 1874 "78" "78" 0x00000100] + Pad[62331 4724 57905 4724 1574 3000 1874 "79" "79" 0x00000100] + Pad[62331 1574 57905 1574 1574 3000 1874 "80" "80" 0x00000100] + Pad[62331 -1575 57905 -1575 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 -4725 57905 -4725 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 -7874 57905 -7874 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 -11024 57905 -11024 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 -14174 57905 -14174 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 -17323 57905 -17323 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 -20473 57905 -20473 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 -23622 57905 -23622 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 -26772 57905 -26772 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 -29922 57905 -29922 1574 3000 1874 "90" "90" 0x00000100] + Pad[62331 -33071 57905 -33071 1574 3000 1874 "91" "91" 0x00000100] + Pad[62331 -36221 57905 -36221 1574 3000 1874 "92" "92" 0x00000100] + Pad[62331 -39370 57905 -39370 1574 3000 1874 "93" "93" 0x00000100] + Pad[62331 -42520 57905 -42520 1574 3000 1874 "94" "94" 0x00000100] + Pad[62331 -45670 57905 -45670 1574 3000 1874 "95" "95" 0x00000100] + Pad[62331 -48819 57905 -48819 1574 3000 1874 "96" "96" 0x00000100] + # top row + Pad[48818 -62331 48818 -57905 1574 3000 1874 "97" "97" 0x00000900] + Pad[45669 -62331 45669 -57905 1574 3000 1874 "98" "98" 0x00000900] + Pad[42519 -62331 42519 -57905 1574 3000 1874 "99" "99" 0x00000900] + Pad[39370 -62331 39370 -57905 1574 3000 1874 "100" "100" 0x00000900] + Pad[36220 -62331 36220 -57905 1574 3000 1874 "101" "101" 0x00000900] + Pad[33070 -62331 33070 -57905 1574 3000 1874 "102" "102" 0x00000900] + Pad[29921 -62331 29921 -57905 1574 3000 1874 "103" "103" 0x00000900] + Pad[26771 -62331 26771 -57905 1574 3000 1874 "104" "104" 0x00000900] + Pad[23622 -62331 23622 -57905 1574 3000 1874 "105" "105" 0x00000900] + Pad[20472 -62331 20472 -57905 1574 3000 1874 "106" "106" 0x00000900] + Pad[17322 -62331 17322 -57905 1574 3000 1874 "107" "107" 0x00000900] + Pad[14173 -62331 14173 -57905 1574 3000 1874 "108" "108" 0x00000900] + Pad[11023 -62331 11023 -57905 1574 3000 1874 "109" "109" 0x00000900] + Pad[7874 -62331 7874 -57905 1574 3000 1874 "110" "110" 0x00000900] + Pad[4724 -62331 4724 -57905 1574 3000 1874 "111" "111" 0x00000900] + Pad[1574 -62331 1574 -57905 1574 3000 1874 "112" "112" 0x00000900] + Pad[-1575 -62331 -1575 -57905 1574 3000 1874 "113" "113" 0x00000900] + Pad[-4725 -62331 -4725 -57905 1574 3000 1874 "114" "114" 0x00000900] + Pad[-7874 -62331 -7874 -57905 1574 3000 1874 "115" "115" 0x00000900] + Pad[-11024 -62331 -11024 -57905 1574 3000 1874 "116" "116" 0x00000900] + Pad[-14174 -62331 -14174 -57905 1574 3000 1874 "117" "117" 0x00000900] + Pad[-17323 -62331 -17323 -57905 1574 3000 1874 "118" "118" 0x00000900] + Pad[-20473 -62331 -20473 -57905 1574 3000 1874 "119" "119" 0x00000900] + Pad[-23622 -62331 -23622 -57905 1574 3000 1874 "120" "120" 0x00000900] + Pad[-26772 -62331 -26772 -57905 1574 3000 1874 "121" "121" 0x00000900] + Pad[-29922 -62331 -29922 -57905 1574 3000 1874 "122" "122" 0x00000900] + Pad[-33071 -62331 -33071 -57905 1574 3000 1874 "123" "123" 0x00000900] + Pad[-36221 -62331 -36221 -57905 1574 3000 1874 "124" "124" 0x00000900] + Pad[-39370 -62331 -39370 -57905 1574 3000 1874 "125" "125" 0x00000900] + Pad[-42520 -62331 -42520 -57905 1574 3000 1874 "126" "126" 0x00000900] + Pad[-45670 -62331 -45670 -57905 1574 3000 1874 "127" "127" 0x00000900] + Pad[-48819 -62331 -48819 -57905 1574 3000 1874 "128" "128" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP144_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP144_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP144_28.fp (revision 1033) @@ -0,0 +1,163 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP144_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -44782 -57905 -44782 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -42223 -57905 -42223 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -39664 -57905 -39664 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -37105 -57905 -37105 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -34546 -57905 -34546 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -31987 -57905 -31987 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -29428 -57905 -29428 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -26869 -57905 -26869 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -24310 -57905 -24310 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -21751 -57905 -21751 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -19192 -57905 -19192 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -16633 -57905 -16633 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -14074 -57905 -14074 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -11515 -57905 -11515 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -8956 -57905 -8956 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 -6397 -57905 -6397 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 -3838 -57905 -3838 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 -1279 -57905 -1279 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 1280 -57905 1280 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 3839 -57905 3839 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 6398 -57905 6398 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 8957 -57905 8957 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 11516 -57905 11516 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 14075 -57905 14075 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 16634 -57905 16634 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 19193 -57905 19193 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 21752 -57905 21752 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 24311 -57905 24311 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 26870 -57905 26870 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 29429 -57905 29429 1574 3000 1874 "30" "30" 0x00000100] + Pad[-62331 31988 -57905 31988 1574 3000 1874 "31" "31" 0x00000100] + Pad[-62331 34547 -57905 34547 1574 3000 1874 "32" "32" 0x00000100] + Pad[-62331 37106 -57905 37106 1574 3000 1874 "33" "33" 0x00000100] + Pad[-62331 39665 -57905 39665 1574 3000 1874 "34" "34" 0x00000100] + Pad[-62331 42224 -57905 42224 1574 3000 1874 "35" "35" 0x00000100] + Pad[-62331 44783 -57905 44783 1574 3000 1874 "36" "36" 0x00000100] + # bottom row + Pad[-44782 62331 -44782 57905 1574 3000 1874 "37" "37" 0x00000900] + Pad[-42223 62331 -42223 57905 1574 3000 1874 "38" "38" 0x00000900] + Pad[-39664 62331 -39664 57905 1574 3000 1874 "39" "39" 0x00000900] + Pad[-37105 62331 -37105 57905 1574 3000 1874 "40" "40" 0x00000900] + Pad[-34546 62331 -34546 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-31987 62331 -31987 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-29428 62331 -29428 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-26869 62331 -26869 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-24310 62331 -24310 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[-21751 62331 -21751 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[-19192 62331 -19192 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[-16633 62331 -16633 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[-14074 62331 -14074 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[-11515 62331 -11515 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[-8956 62331 -8956 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[-6397 62331 -6397 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[-3838 62331 -3838 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[-1279 62331 -1279 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[1280 62331 1280 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[3839 62331 3839 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[6398 62331 6398 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[8957 62331 8957 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[11516 62331 11516 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[14075 62331 14075 57905 1574 3000 1874 "60" "60" 0x00000900] + Pad[16634 62331 16634 57905 1574 3000 1874 "61" "61" 0x00000900] + Pad[19193 62331 19193 57905 1574 3000 1874 "62" "62" 0x00000900] + Pad[21752 62331 21752 57905 1574 3000 1874 "63" "63" 0x00000900] + Pad[24311 62331 24311 57905 1574 3000 1874 "64" "64" 0x00000900] + Pad[26870 62331 26870 57905 1574 3000 1874 "65" "65" 0x00000900] + Pad[29429 62331 29429 57905 1574 3000 1874 "66" "66" 0x00000900] + Pad[31988 62331 31988 57905 1574 3000 1874 "67" "67" 0x00000900] + Pad[34547 62331 34547 57905 1574 3000 1874 "68" "68" 0x00000900] + Pad[37106 62331 37106 57905 1574 3000 1874 "69" "69" 0x00000900] + Pad[39665 62331 39665 57905 1574 3000 1874 "70" "70" 0x00000900] + Pad[42224 62331 42224 57905 1574 3000 1874 "71" "71" 0x00000900] + Pad[44783 62331 44783 57905 1574 3000 1874 "72" "72" 0x00000900] + # right row + Pad[62331 44782 57905 44782 1574 3000 1874 "73" "73" 0x00000100] + Pad[62331 42223 57905 42223 1574 3000 1874 "74" "74" 0x00000100] + Pad[62331 39664 57905 39664 1574 3000 1874 "75" "75" 0x00000100] + Pad[62331 37105 57905 37105 1574 3000 1874 "76" "76" 0x00000100] + Pad[62331 34546 57905 34546 1574 3000 1874 "77" "77" 0x00000100] + Pad[62331 31987 57905 31987 1574 3000 1874 "78" "78" 0x00000100] + Pad[62331 29428 57905 29428 1574 3000 1874 "79" "79" 0x00000100] + Pad[62331 26869 57905 26869 1574 3000 1874 "80" "80" 0x00000100] + Pad[62331 24310 57905 24310 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 21751 57905 21751 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 19192 57905 19192 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 16633 57905 16633 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 14074 57905 14074 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 11515 57905 11515 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 8956 57905 8956 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 6397 57905 6397 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 3838 57905 3838 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 1279 57905 1279 1574 3000 1874 "90" "90" 0x00000100] + Pad[62331 -1280 57905 -1280 1574 3000 1874 "91" "91" 0x00000100] + Pad[62331 -3839 57905 -3839 1574 3000 1874 "92" "92" 0x00000100] + Pad[62331 -6398 57905 -6398 1574 3000 1874 "93" "93" 0x00000100] + Pad[62331 -8957 57905 -8957 1574 3000 1874 "94" "94" 0x00000100] + Pad[62331 -11516 57905 -11516 1574 3000 1874 "95" "95" 0x00000100] + Pad[62331 -14075 57905 -14075 1574 3000 1874 "96" "96" 0x00000100] + Pad[62331 -16634 57905 -16634 1574 3000 1874 "97" "97" 0x00000100] + Pad[62331 -19193 57905 -19193 1574 3000 1874 "98" "98" 0x00000100] + Pad[62331 -21752 57905 -21752 1574 3000 1874 "99" "99" 0x00000100] + Pad[62331 -24311 57905 -24311 1574 3000 1874 "100" "100" 0x00000100] + Pad[62331 -26870 57905 -26870 1574 3000 1874 "101" "101" 0x00000100] + Pad[62331 -29429 57905 -29429 1574 3000 1874 "102" "102" 0x00000100] + Pad[62331 -31988 57905 -31988 1574 3000 1874 "103" "103" 0x00000100] + Pad[62331 -34547 57905 -34547 1574 3000 1874 "104" "104" 0x00000100] + Pad[62331 -37106 57905 -37106 1574 3000 1874 "105" "105" 0x00000100] + Pad[62331 -39665 57905 -39665 1574 3000 1874 "106" "106" 0x00000100] + Pad[62331 -42224 57905 -42224 1574 3000 1874 "107" "107" 0x00000100] + Pad[62331 -44783 57905 -44783 1574 3000 1874 "108" "108" 0x00000100] + # top row + Pad[44782 -62331 44782 -57905 1574 3000 1874 "109" "109" 0x00000900] + Pad[42223 -62331 42223 -57905 1574 3000 1874 "110" "110" 0x00000900] + Pad[39664 -62331 39664 -57905 1574 3000 1874 "111" "111" 0x00000900] + Pad[37105 -62331 37105 -57905 1574 3000 1874 "112" "112" 0x00000900] + Pad[34546 -62331 34546 -57905 1574 3000 1874 "113" "113" 0x00000900] + Pad[31987 -62331 31987 -57905 1574 3000 1874 "114" "114" 0x00000900] + Pad[29428 -62331 29428 -57905 1574 3000 1874 "115" "115" 0x00000900] + Pad[26869 -62331 26869 -57905 1574 3000 1874 "116" "116" 0x00000900] + Pad[24310 -62331 24310 -57905 1574 3000 1874 "117" "117" 0x00000900] + Pad[21751 -62331 21751 -57905 1574 3000 1874 "118" "118" 0x00000900] + Pad[19192 -62331 19192 -57905 1574 3000 1874 "119" "119" 0x00000900] + Pad[16633 -62331 16633 -57905 1574 3000 1874 "120" "120" 0x00000900] + Pad[14074 -62331 14074 -57905 1574 3000 1874 "121" "121" 0x00000900] + Pad[11515 -62331 11515 -57905 1574 3000 1874 "122" "122" 0x00000900] + Pad[8956 -62331 8956 -57905 1574 3000 1874 "123" "123" 0x00000900] + Pad[6397 -62331 6397 -57905 1574 3000 1874 "124" "124" 0x00000900] + Pad[3838 -62331 3838 -57905 1574 3000 1874 "125" "125" 0x00000900] + Pad[1279 -62331 1279 -57905 1574 3000 1874 "126" "126" 0x00000900] + Pad[-1280 -62331 -1280 -57905 1574 3000 1874 "127" "127" 0x00000900] + Pad[-3839 -62331 -3839 -57905 1574 3000 1874 "128" "128" 0x00000900] + Pad[-6398 -62331 -6398 -57905 1574 3000 1874 "129" "129" 0x00000900] + Pad[-8957 -62331 -8957 -57905 1574 3000 1874 "130" "130" 0x00000900] + Pad[-11516 -62331 -11516 -57905 1574 3000 1874 "131" "131" 0x00000900] + Pad[-14075 -62331 -14075 -57905 1574 3000 1874 "132" "132" 0x00000900] + Pad[-16634 -62331 -16634 -57905 1574 3000 1874 "133" "133" 0x00000900] + Pad[-19193 -62331 -19193 -57905 1574 3000 1874 "134" "134" 0x00000900] + Pad[-21752 -62331 -21752 -57905 1574 3000 1874 "135" "135" 0x00000900] + Pad[-24311 -62331 -24311 -57905 1574 3000 1874 "136" "136" 0x00000900] + Pad[-26870 -62331 -26870 -57905 1574 3000 1874 "137" "137" 0x00000900] + Pad[-29429 -62331 -29429 -57905 1574 3000 1874 "138" "138" 0x00000900] + Pad[-31988 -62331 -31988 -57905 1574 3000 1874 "139" "139" 0x00000900] + Pad[-34547 -62331 -34547 -57905 1574 3000 1874 "140" "140" 0x00000900] + Pad[-37106 -62331 -37106 -57905 1574 3000 1874 "141" "141" 0x00000900] + Pad[-39665 -62331 -39665 -57905 1574 3000 1874 "142" "142" 0x00000900] + Pad[-42224 -62331 -42224 -57905 1574 3000 1874 "143" "143" 0x00000900] + Pad[-44783 -62331 -44783 -57905 1574 3000 1874 "144" "144" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP160_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP160_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP160_28.fp (revision 1033) @@ -0,0 +1,179 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP160_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62331 -49900 -57905 -49900 1574 3000 1874 "1" "1" 0x00000100] + Pad[-62331 -47341 -57905 -47341 1574 3000 1874 "2" "2" 0x00000100] + Pad[-62331 -44782 -57905 -44782 1574 3000 1874 "3" "3" 0x00000100] + Pad[-62331 -42223 -57905 -42223 1574 3000 1874 "4" "4" 0x00000100] + Pad[-62331 -39664 -57905 -39664 1574 3000 1874 "5" "5" 0x00000100] + Pad[-62331 -37105 -57905 -37105 1574 3000 1874 "6" "6" 0x00000100] + Pad[-62331 -34546 -57905 -34546 1574 3000 1874 "7" "7" 0x00000100] + Pad[-62331 -31987 -57905 -31987 1574 3000 1874 "8" "8" 0x00000100] + Pad[-62331 -29428 -57905 -29428 1574 3000 1874 "9" "9" 0x00000100] + Pad[-62331 -26869 -57905 -26869 1574 3000 1874 "10" "10" 0x00000100] + Pad[-62331 -24310 -57905 -24310 1574 3000 1874 "11" "11" 0x00000100] + Pad[-62331 -21751 -57905 -21751 1574 3000 1874 "12" "12" 0x00000100] + Pad[-62331 -19192 -57905 -19192 1574 3000 1874 "13" "13" 0x00000100] + Pad[-62331 -16633 -57905 -16633 1574 3000 1874 "14" "14" 0x00000100] + Pad[-62331 -14074 -57905 -14074 1574 3000 1874 "15" "15" 0x00000100] + Pad[-62331 -11515 -57905 -11515 1574 3000 1874 "16" "16" 0x00000100] + Pad[-62331 -8956 -57905 -8956 1574 3000 1874 "17" "17" 0x00000100] + Pad[-62331 -6397 -57905 -6397 1574 3000 1874 "18" "18" 0x00000100] + Pad[-62331 -3838 -57905 -3838 1574 3000 1874 "19" "19" 0x00000100] + Pad[-62331 -1279 -57905 -1279 1574 3000 1874 "20" "20" 0x00000100] + Pad[-62331 1280 -57905 1280 1574 3000 1874 "21" "21" 0x00000100] + Pad[-62331 3839 -57905 3839 1574 3000 1874 "22" "22" 0x00000100] + Pad[-62331 6398 -57905 6398 1574 3000 1874 "23" "23" 0x00000100] + Pad[-62331 8957 -57905 8957 1574 3000 1874 "24" "24" 0x00000100] + Pad[-62331 11516 -57905 11516 1574 3000 1874 "25" "25" 0x00000100] + Pad[-62331 14075 -57905 14075 1574 3000 1874 "26" "26" 0x00000100] + Pad[-62331 16634 -57905 16634 1574 3000 1874 "27" "27" 0x00000100] + Pad[-62331 19193 -57905 19193 1574 3000 1874 "28" "28" 0x00000100] + Pad[-62331 21752 -57905 21752 1574 3000 1874 "29" "29" 0x00000100] + Pad[-62331 24311 -57905 24311 1574 3000 1874 "30" "30" 0x00000100] + Pad[-62331 26870 -57905 26870 1574 3000 1874 "31" "31" 0x00000100] + Pad[-62331 29429 -57905 29429 1574 3000 1874 "32" "32" 0x00000100] + Pad[-62331 31988 -57905 31988 1574 3000 1874 "33" "33" 0x00000100] + Pad[-62331 34547 -57905 34547 1574 3000 1874 "34" "34" 0x00000100] + Pad[-62331 37106 -57905 37106 1574 3000 1874 "35" "35" 0x00000100] + Pad[-62331 39665 -57905 39665 1574 3000 1874 "36" "36" 0x00000100] + Pad[-62331 42224 -57905 42224 1574 3000 1874 "37" "37" 0x00000100] + Pad[-62331 44783 -57905 44783 1574 3000 1874 "38" "38" 0x00000100] + Pad[-62331 47342 -57905 47342 1574 3000 1874 "39" "39" 0x00000100] + Pad[-62331 49901 -57905 49901 1574 3000 1874 "40" "40" 0x00000100] + # bottom row + Pad[-49900 62331 -49900 57905 1574 3000 1874 "41" "41" 0x00000900] + Pad[-47341 62331 -47341 57905 1574 3000 1874 "42" "42" 0x00000900] + Pad[-44782 62331 -44782 57905 1574 3000 1874 "43" "43" 0x00000900] + Pad[-42223 62331 -42223 57905 1574 3000 1874 "44" "44" 0x00000900] + Pad[-39664 62331 -39664 57905 1574 3000 1874 "45" "45" 0x00000900] + Pad[-37105 62331 -37105 57905 1574 3000 1874 "46" "46" 0x00000900] + Pad[-34546 62331 -34546 57905 1574 3000 1874 "47" "47" 0x00000900] + Pad[-31987 62331 -31987 57905 1574 3000 1874 "48" "48" 0x00000900] + Pad[-29428 62331 -29428 57905 1574 3000 1874 "49" "49" 0x00000900] + Pad[-26869 62331 -26869 57905 1574 3000 1874 "50" "50" 0x00000900] + Pad[-24310 62331 -24310 57905 1574 3000 1874 "51" "51" 0x00000900] + Pad[-21751 62331 -21751 57905 1574 3000 1874 "52" "52" 0x00000900] + Pad[-19192 62331 -19192 57905 1574 3000 1874 "53" "53" 0x00000900] + Pad[-16633 62331 -16633 57905 1574 3000 1874 "54" "54" 0x00000900] + Pad[-14074 62331 -14074 57905 1574 3000 1874 "55" "55" 0x00000900] + Pad[-11515 62331 -11515 57905 1574 3000 1874 "56" "56" 0x00000900] + Pad[-8956 62331 -8956 57905 1574 3000 1874 "57" "57" 0x00000900] + Pad[-6397 62331 -6397 57905 1574 3000 1874 "58" "58" 0x00000900] + Pad[-3838 62331 -3838 57905 1574 3000 1874 "59" "59" 0x00000900] + Pad[-1279 62331 -1279 57905 1574 3000 1874 "60" "60" 0x00000900] + Pad[1280 62331 1280 57905 1574 3000 1874 "61" "61" 0x00000900] + Pad[3839 62331 3839 57905 1574 3000 1874 "62" "62" 0x00000900] + Pad[6398 62331 6398 57905 1574 3000 1874 "63" "63" 0x00000900] + Pad[8957 62331 8957 57905 1574 3000 1874 "64" "64" 0x00000900] + Pad[11516 62331 11516 57905 1574 3000 1874 "65" "65" 0x00000900] + Pad[14075 62331 14075 57905 1574 3000 1874 "66" "66" 0x00000900] + Pad[16634 62331 16634 57905 1574 3000 1874 "67" "67" 0x00000900] + Pad[19193 62331 19193 57905 1574 3000 1874 "68" "68" 0x00000900] + Pad[21752 62331 21752 57905 1574 3000 1874 "69" "69" 0x00000900] + Pad[24311 62331 24311 57905 1574 3000 1874 "70" "70" 0x00000900] + Pad[26870 62331 26870 57905 1574 3000 1874 "71" "71" 0x00000900] + Pad[29429 62331 29429 57905 1574 3000 1874 "72" "72" 0x00000900] + Pad[31988 62331 31988 57905 1574 3000 1874 "73" "73" 0x00000900] + Pad[34547 62331 34547 57905 1574 3000 1874 "74" "74" 0x00000900] + Pad[37106 62331 37106 57905 1574 3000 1874 "75" "75" 0x00000900] + Pad[39665 62331 39665 57905 1574 3000 1874 "76" "76" 0x00000900] + Pad[42224 62331 42224 57905 1574 3000 1874 "77" "77" 0x00000900] + Pad[44783 62331 44783 57905 1574 3000 1874 "78" "78" 0x00000900] + Pad[47342 62331 47342 57905 1574 3000 1874 "79" "79" 0x00000900] + Pad[49901 62331 49901 57905 1574 3000 1874 "80" "80" 0x00000900] + # right row + Pad[62331 49900 57905 49900 1574 3000 1874 "81" "81" 0x00000100] + Pad[62331 47341 57905 47341 1574 3000 1874 "82" "82" 0x00000100] + Pad[62331 44782 57905 44782 1574 3000 1874 "83" "83" 0x00000100] + Pad[62331 42223 57905 42223 1574 3000 1874 "84" "84" 0x00000100] + Pad[62331 39664 57905 39664 1574 3000 1874 "85" "85" 0x00000100] + Pad[62331 37105 57905 37105 1574 3000 1874 "86" "86" 0x00000100] + Pad[62331 34546 57905 34546 1574 3000 1874 "87" "87" 0x00000100] + Pad[62331 31987 57905 31987 1574 3000 1874 "88" "88" 0x00000100] + Pad[62331 29428 57905 29428 1574 3000 1874 "89" "89" 0x00000100] + Pad[62331 26869 57905 26869 1574 3000 1874 "90" "90" 0x00000100] + Pad[62331 24310 57905 24310 1574 3000 1874 "91" "91" 0x00000100] + Pad[62331 21751 57905 21751 1574 3000 1874 "92" "92" 0x00000100] + Pad[62331 19192 57905 19192 1574 3000 1874 "93" "93" 0x00000100] + Pad[62331 16633 57905 16633 1574 3000 1874 "94" "94" 0x00000100] + Pad[62331 14074 57905 14074 1574 3000 1874 "95" "95" 0x00000100] + Pad[62331 11515 57905 11515 1574 3000 1874 "96" "96" 0x00000100] + Pad[62331 8956 57905 8956 1574 3000 1874 "97" "97" 0x00000100] + Pad[62331 6397 57905 6397 1574 3000 1874 "98" "98" 0x00000100] + Pad[62331 3838 57905 3838 1574 3000 1874 "99" "99" 0x00000100] + Pad[62331 1279 57905 1279 1574 3000 1874 "100" "100" 0x00000100] + Pad[62331 -1280 57905 -1280 1574 3000 1874 "101" "101" 0x00000100] + Pad[62331 -3839 57905 -3839 1574 3000 1874 "102" "102" 0x00000100] + Pad[62331 -6398 57905 -6398 1574 3000 1874 "103" "103" 0x00000100] + Pad[62331 -8957 57905 -8957 1574 3000 1874 "104" "104" 0x00000100] + Pad[62331 -11516 57905 -11516 1574 3000 1874 "105" "105" 0x00000100] + Pad[62331 -14075 57905 -14075 1574 3000 1874 "106" "106" 0x00000100] + Pad[62331 -16634 57905 -16634 1574 3000 1874 "107" "107" 0x00000100] + Pad[62331 -19193 57905 -19193 1574 3000 1874 "108" "108" 0x00000100] + Pad[62331 -21752 57905 -21752 1574 3000 1874 "109" "109" 0x00000100] + Pad[62331 -24311 57905 -24311 1574 3000 1874 "110" "110" 0x00000100] + Pad[62331 -26870 57905 -26870 1574 3000 1874 "111" "111" 0x00000100] + Pad[62331 -29429 57905 -29429 1574 3000 1874 "112" "112" 0x00000100] + Pad[62331 -31988 57905 -31988 1574 3000 1874 "113" "113" 0x00000100] + Pad[62331 -34547 57905 -34547 1574 3000 1874 "114" "114" 0x00000100] + Pad[62331 -37106 57905 -37106 1574 3000 1874 "115" "115" 0x00000100] + Pad[62331 -39665 57905 -39665 1574 3000 1874 "116" "116" 0x00000100] + Pad[62331 -42224 57905 -42224 1574 3000 1874 "117" "117" 0x00000100] + Pad[62331 -44783 57905 -44783 1574 3000 1874 "118" "118" 0x00000100] + Pad[62331 -47342 57905 -47342 1574 3000 1874 "119" "119" 0x00000100] + Pad[62331 -49901 57905 -49901 1574 3000 1874 "120" "120" 0x00000100] + # top row + Pad[49900 -62331 49900 -57905 1574 3000 1874 "121" "121" 0x00000900] + Pad[47341 -62331 47341 -57905 1574 3000 1874 "122" "122" 0x00000900] + Pad[44782 -62331 44782 -57905 1574 3000 1874 "123" "123" 0x00000900] + Pad[42223 -62331 42223 -57905 1574 3000 1874 "124" "124" 0x00000900] + Pad[39664 -62331 39664 -57905 1574 3000 1874 "125" "125" 0x00000900] + Pad[37105 -62331 37105 -57905 1574 3000 1874 "126" "126" 0x00000900] + Pad[34546 -62331 34546 -57905 1574 3000 1874 "127" "127" 0x00000900] + Pad[31987 -62331 31987 -57905 1574 3000 1874 "128" "128" 0x00000900] + Pad[29428 -62331 29428 -57905 1574 3000 1874 "129" "129" 0x00000900] + Pad[26869 -62331 26869 -57905 1574 3000 1874 "130" "130" 0x00000900] + Pad[24310 -62331 24310 -57905 1574 3000 1874 "131" "131" 0x00000900] + Pad[21751 -62331 21751 -57905 1574 3000 1874 "132" "132" 0x00000900] + Pad[19192 -62331 19192 -57905 1574 3000 1874 "133" "133" 0x00000900] + Pad[16633 -62331 16633 -57905 1574 3000 1874 "134" "134" 0x00000900] + Pad[14074 -62331 14074 -57905 1574 3000 1874 "135" "135" 0x00000900] + Pad[11515 -62331 11515 -57905 1574 3000 1874 "136" "136" 0x00000900] + Pad[8956 -62331 8956 -57905 1574 3000 1874 "137" "137" 0x00000900] + Pad[6397 -62331 6397 -57905 1574 3000 1874 "138" "138" 0x00000900] + Pad[3838 -62331 3838 -57905 1574 3000 1874 "139" "139" 0x00000900] + Pad[1279 -62331 1279 -57905 1574 3000 1874 "140" "140" 0x00000900] + Pad[-1280 -62331 -1280 -57905 1574 3000 1874 "141" "141" 0x00000900] + Pad[-3839 -62331 -3839 -57905 1574 3000 1874 "142" "142" 0x00000900] + Pad[-6398 -62331 -6398 -57905 1574 3000 1874 "143" "143" 0x00000900] + Pad[-8957 -62331 -8957 -57905 1574 3000 1874 "144" "144" 0x00000900] + Pad[-11516 -62331 -11516 -57905 1574 3000 1874 "145" "145" 0x00000900] + Pad[-14075 -62331 -14075 -57905 1574 3000 1874 "146" "146" 0x00000900] + Pad[-16634 -62331 -16634 -57905 1574 3000 1874 "147" "147" 0x00000900] + Pad[-19193 -62331 -19193 -57905 1574 3000 1874 "148" "148" 0x00000900] + Pad[-21752 -62331 -21752 -57905 1574 3000 1874 "149" "149" 0x00000900] + Pad[-24311 -62331 -24311 -57905 1574 3000 1874 "150" "150" 0x00000900] + Pad[-26870 -62331 -26870 -57905 1574 3000 1874 "151" "151" 0x00000900] + Pad[-29429 -62331 -29429 -57905 1574 3000 1874 "152" "152" 0x00000900] + Pad[-31988 -62331 -31988 -57905 1574 3000 1874 "153" "153" 0x00000900] + Pad[-34547 -62331 -34547 -57905 1574 3000 1874 "154" "154" 0x00000900] + Pad[-37106 -62331 -37106 -57905 1574 3000 1874 "155" "155" 0x00000900] + Pad[-39665 -62331 -39665 -57905 1574 3000 1874 "156" "156" 0x00000900] + Pad[-42224 -62331 -42224 -57905 1574 3000 1874 "157" "157" 0x00000900] + Pad[-44783 -62331 -44783 -57905 1574 3000 1874 "158" "158" 0x00000900] + Pad[-47342 -62331 -47342 -57905 1574 3000 1874 "159" "159" 0x00000900] + Pad[-49901 -62331 -49901 -57905 1574 3000 1874 "160" "160" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP208_28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP208_28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP208_28.fp (revision 1033) @@ -0,0 +1,227 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP208_28" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-62567 -50196 -57669 -50196 1102 3000 1402 "1" "1" 0x00000100] + Pad[-62567 -48228 -57669 -48228 1102 3000 1402 "2" "2" 0x00000100] + Pad[-62567 -46259 -57669 -46259 1102 3000 1402 "3" "3" 0x00000100] + Pad[-62567 -44291 -57669 -44291 1102 3000 1402 "4" "4" 0x00000100] + Pad[-62567 -42322 -57669 -42322 1102 3000 1402 "5" "5" 0x00000100] + Pad[-62567 -40354 -57669 -40354 1102 3000 1402 "6" "6" 0x00000100] + Pad[-62567 -38385 -57669 -38385 1102 3000 1402 "7" "7" 0x00000100] + Pad[-62567 -36417 -57669 -36417 1102 3000 1402 "8" "8" 0x00000100] + Pad[-62567 -34448 -57669 -34448 1102 3000 1402 "9" "9" 0x00000100] + Pad[-62567 -32480 -57669 -32480 1102 3000 1402 "10" "10" 0x00000100] + Pad[-62567 -30511 -57669 -30511 1102 3000 1402 "11" "11" 0x00000100] + Pad[-62567 -28543 -57669 -28543 1102 3000 1402 "12" "12" 0x00000100] + Pad[-62567 -26574 -57669 -26574 1102 3000 1402 "13" "13" 0x00000100] + Pad[-62567 -24606 -57669 -24606 1102 3000 1402 "14" "14" 0x00000100] + Pad[-62567 -22637 -57669 -22637 1102 3000 1402 "15" "15" 0x00000100] + Pad[-62567 -20669 -57669 -20669 1102 3000 1402 "16" "16" 0x00000100] + Pad[-62567 -18700 -57669 -18700 1102 3000 1402 "17" "17" 0x00000100] + Pad[-62567 -16732 -57669 -16732 1102 3000 1402 "18" "18" 0x00000100] + Pad[-62567 -14763 -57669 -14763 1102 3000 1402 "19" "19" 0x00000100] + Pad[-62567 -12795 -57669 -12795 1102 3000 1402 "20" "20" 0x00000100] + Pad[-62567 -10826 -57669 -10826 1102 3000 1402 "21" "21" 0x00000100] + Pad[-62567 -8858 -57669 -8858 1102 3000 1402 "22" "22" 0x00000100] + Pad[-62567 -6889 -57669 -6889 1102 3000 1402 "23" "23" 0x00000100] + Pad[-62567 -4921 -57669 -4921 1102 3000 1402 "24" "24" 0x00000100] + Pad[-62567 -2952 -57669 -2952 1102 3000 1402 "25" "25" 0x00000100] + Pad[-62567 -984 -57669 -984 1102 3000 1402 "26" "26" 0x00000100] + Pad[-62567 985 -57669 985 1102 3000 1402 "27" "27" 0x00000100] + Pad[-62567 2953 -57669 2953 1102 3000 1402 "28" "28" 0x00000100] + Pad[-62567 4922 -57669 4922 1102 3000 1402 "29" "29" 0x00000100] + Pad[-62567 6890 -57669 6890 1102 3000 1402 "30" "30" 0x00000100] + Pad[-62567 8859 -57669 8859 1102 3000 1402 "31" "31" 0x00000100] + Pad[-62567 10827 -57669 10827 1102 3000 1402 "32" "32" 0x00000100] + Pad[-62567 12796 -57669 12796 1102 3000 1402 "33" "33" 0x00000100] + Pad[-62567 14764 -57669 14764 1102 3000 1402 "34" "34" 0x00000100] + Pad[-62567 16733 -57669 16733 1102 3000 1402 "35" "35" 0x00000100] + Pad[-62567 18701 -57669 18701 1102 3000 1402 "36" "36" 0x00000100] + Pad[-62567 20670 -57669 20670 1102 3000 1402 "37" "37" 0x00000100] + Pad[-62567 22638 -57669 22638 1102 3000 1402 "38" "38" 0x00000100] + Pad[-62567 24607 -57669 24607 1102 3000 1402 "39" "39" 0x00000100] + Pad[-62567 26575 -57669 26575 1102 3000 1402 "40" "40" 0x00000100] + Pad[-62567 28544 -57669 28544 1102 3000 1402 "41" "41" 0x00000100] + Pad[-62567 30512 -57669 30512 1102 3000 1402 "42" "42" 0x00000100] + Pad[-62567 32481 -57669 32481 1102 3000 1402 "43" "43" 0x00000100] + Pad[-62567 34449 -57669 34449 1102 3000 1402 "44" "44" 0x00000100] + Pad[-62567 36418 -57669 36418 1102 3000 1402 "45" "45" 0x00000100] + Pad[-62567 38386 -57669 38386 1102 3000 1402 "46" "46" 0x00000100] + Pad[-62567 40355 -57669 40355 1102 3000 1402 "47" "47" 0x00000100] + Pad[-62567 42323 -57669 42323 1102 3000 1402 "48" "48" 0x00000100] + Pad[-62567 44292 -57669 44292 1102 3000 1402 "49" "49" 0x00000100] + Pad[-62567 46260 -57669 46260 1102 3000 1402 "50" "50" 0x00000100] + Pad[-62567 48229 -57669 48229 1102 3000 1402 "51" "51" 0x00000100] + Pad[-62567 50197 -57669 50197 1102 3000 1402 "52" "52" 0x00000100] + # bottom row + Pad[-50196 62567 -50196 57669 1102 3000 1402 "53" "53" 0x00000900] + Pad[-48228 62567 -48228 57669 1102 3000 1402 "54" "54" 0x00000900] + Pad[-46259 62567 -46259 57669 1102 3000 1402 "55" "55" 0x00000900] + Pad[-44291 62567 -44291 57669 1102 3000 1402 "56" "56" 0x00000900] + Pad[-42322 62567 -42322 57669 1102 3000 1402 "57" "57" 0x00000900] + Pad[-40354 62567 -40354 57669 1102 3000 1402 "58" "58" 0x00000900] + Pad[-38385 62567 -38385 57669 1102 3000 1402 "59" "59" 0x00000900] + Pad[-36417 62567 -36417 57669 1102 3000 1402 "60" "60" 0x00000900] + Pad[-34448 62567 -34448 57669 1102 3000 1402 "61" "61" 0x00000900] + Pad[-32480 62567 -32480 57669 1102 3000 1402 "62" "62" 0x00000900] + Pad[-30511 62567 -30511 57669 1102 3000 1402 "63" "63" 0x00000900] + Pad[-28543 62567 -28543 57669 1102 3000 1402 "64" "64" 0x00000900] + Pad[-26574 62567 -26574 57669 1102 3000 1402 "65" "65" 0x00000900] + Pad[-24606 62567 -24606 57669 1102 3000 1402 "66" "66" 0x00000900] + Pad[-22637 62567 -22637 57669 1102 3000 1402 "67" "67" 0x00000900] + Pad[-20669 62567 -20669 57669 1102 3000 1402 "68" "68" 0x00000900] + Pad[-18700 62567 -18700 57669 1102 3000 1402 "69" "69" 0x00000900] + Pad[-16732 62567 -16732 57669 1102 3000 1402 "70" "70" 0x00000900] + Pad[-14763 62567 -14763 57669 1102 3000 1402 "71" "71" 0x00000900] + Pad[-12795 62567 -12795 57669 1102 3000 1402 "72" "72" 0x00000900] + Pad[-10826 62567 -10826 57669 1102 3000 1402 "73" "73" 0x00000900] + Pad[-8858 62567 -8858 57669 1102 3000 1402 "74" "74" 0x00000900] + Pad[-6889 62567 -6889 57669 1102 3000 1402 "75" "75" 0x00000900] + Pad[-4921 62567 -4921 57669 1102 3000 1402 "76" "76" 0x00000900] + Pad[-2952 62567 -2952 57669 1102 3000 1402 "77" "77" 0x00000900] + Pad[-984 62567 -984 57669 1102 3000 1402 "78" "78" 0x00000900] + Pad[985 62567 985 57669 1102 3000 1402 "79" "79" 0x00000900] + Pad[2953 62567 2953 57669 1102 3000 1402 "80" "80" 0x00000900] + Pad[4922 62567 4922 57669 1102 3000 1402 "81" "81" 0x00000900] + Pad[6890 62567 6890 57669 1102 3000 1402 "82" "82" 0x00000900] + Pad[8859 62567 8859 57669 1102 3000 1402 "83" "83" 0x00000900] + Pad[10827 62567 10827 57669 1102 3000 1402 "84" "84" 0x00000900] + Pad[12796 62567 12796 57669 1102 3000 1402 "85" "85" 0x00000900] + Pad[14764 62567 14764 57669 1102 3000 1402 "86" "86" 0x00000900] + Pad[16733 62567 16733 57669 1102 3000 1402 "87" "87" 0x00000900] + Pad[18701 62567 18701 57669 1102 3000 1402 "88" "88" 0x00000900] + Pad[20670 62567 20670 57669 1102 3000 1402 "89" "89" 0x00000900] + Pad[22638 62567 22638 57669 1102 3000 1402 "90" "90" 0x00000900] + Pad[24607 62567 24607 57669 1102 3000 1402 "91" "91" 0x00000900] + Pad[26575 62567 26575 57669 1102 3000 1402 "92" "92" 0x00000900] + Pad[28544 62567 28544 57669 1102 3000 1402 "93" "93" 0x00000900] + Pad[30512 62567 30512 57669 1102 3000 1402 "94" "94" 0x00000900] + Pad[32481 62567 32481 57669 1102 3000 1402 "95" "95" 0x00000900] + Pad[34449 62567 34449 57669 1102 3000 1402 "96" "96" 0x00000900] + Pad[36418 62567 36418 57669 1102 3000 1402 "97" "97" 0x00000900] + Pad[38386 62567 38386 57669 1102 3000 1402 "98" "98" 0x00000900] + Pad[40355 62567 40355 57669 1102 3000 1402 "99" "99" 0x00000900] + Pad[42323 62567 42323 57669 1102 3000 1402 "100" "100" 0x00000900] + Pad[44292 62567 44292 57669 1102 3000 1402 "101" "101" 0x00000900] + Pad[46260 62567 46260 57669 1102 3000 1402 "102" "102" 0x00000900] + Pad[48229 62567 48229 57669 1102 3000 1402 "103" "103" 0x00000900] + Pad[50197 62567 50197 57669 1102 3000 1402 "104" "104" 0x00000900] + # right row + Pad[62567 50196 57669 50196 1102 3000 1402 "105" "105" 0x00000100] + Pad[62567 48228 57669 48228 1102 3000 1402 "106" "106" 0x00000100] + Pad[62567 46259 57669 46259 1102 3000 1402 "107" "107" 0x00000100] + Pad[62567 44291 57669 44291 1102 3000 1402 "108" "108" 0x00000100] + Pad[62567 42322 57669 42322 1102 3000 1402 "109" "109" 0x00000100] + Pad[62567 40354 57669 40354 1102 3000 1402 "110" "110" 0x00000100] + Pad[62567 38385 57669 38385 1102 3000 1402 "111" "111" 0x00000100] + Pad[62567 36417 57669 36417 1102 3000 1402 "112" "112" 0x00000100] + Pad[62567 34448 57669 34448 1102 3000 1402 "113" "113" 0x00000100] + Pad[62567 32480 57669 32480 1102 3000 1402 "114" "114" 0x00000100] + Pad[62567 30511 57669 30511 1102 3000 1402 "115" "115" 0x00000100] + Pad[62567 28543 57669 28543 1102 3000 1402 "116" "116" 0x00000100] + Pad[62567 26574 57669 26574 1102 3000 1402 "117" "117" 0x00000100] + Pad[62567 24606 57669 24606 1102 3000 1402 "118" "118" 0x00000100] + Pad[62567 22637 57669 22637 1102 3000 1402 "119" "119" 0x00000100] + Pad[62567 20669 57669 20669 1102 3000 1402 "120" "120" 0x00000100] + Pad[62567 18700 57669 18700 1102 3000 1402 "121" "121" 0x00000100] + Pad[62567 16732 57669 16732 1102 3000 1402 "122" "122" 0x00000100] + Pad[62567 14763 57669 14763 1102 3000 1402 "123" "123" 0x00000100] + Pad[62567 12795 57669 12795 1102 3000 1402 "124" "124" 0x00000100] + Pad[62567 10826 57669 10826 1102 3000 1402 "125" "125" 0x00000100] + Pad[62567 8858 57669 8858 1102 3000 1402 "126" "126" 0x00000100] + Pad[62567 6889 57669 6889 1102 3000 1402 "127" "127" 0x00000100] + Pad[62567 4921 57669 4921 1102 3000 1402 "128" "128" 0x00000100] + Pad[62567 2952 57669 2952 1102 3000 1402 "129" "129" 0x00000100] + Pad[62567 984 57669 984 1102 3000 1402 "130" "130" 0x00000100] + Pad[62567 -985 57669 -985 1102 3000 1402 "131" "131" 0x00000100] + Pad[62567 -2953 57669 -2953 1102 3000 1402 "132" "132" 0x00000100] + Pad[62567 -4922 57669 -4922 1102 3000 1402 "133" "133" 0x00000100] + Pad[62567 -6890 57669 -6890 1102 3000 1402 "134" "134" 0x00000100] + Pad[62567 -8859 57669 -8859 1102 3000 1402 "135" "135" 0x00000100] + Pad[62567 -10827 57669 -10827 1102 3000 1402 "136" "136" 0x00000100] + Pad[62567 -12796 57669 -12796 1102 3000 1402 "137" "137" 0x00000100] + Pad[62567 -14764 57669 -14764 1102 3000 1402 "138" "138" 0x00000100] + Pad[62567 -16733 57669 -16733 1102 3000 1402 "139" "139" 0x00000100] + Pad[62567 -18701 57669 -18701 1102 3000 1402 "140" "140" 0x00000100] + Pad[62567 -20670 57669 -20670 1102 3000 1402 "141" "141" 0x00000100] + Pad[62567 -22638 57669 -22638 1102 3000 1402 "142" "142" 0x00000100] + Pad[62567 -24607 57669 -24607 1102 3000 1402 "143" "143" 0x00000100] + Pad[62567 -26575 57669 -26575 1102 3000 1402 "144" "144" 0x00000100] + Pad[62567 -28544 57669 -28544 1102 3000 1402 "145" "145" 0x00000100] + Pad[62567 -30512 57669 -30512 1102 3000 1402 "146" "146" 0x00000100] + Pad[62567 -32481 57669 -32481 1102 3000 1402 "147" "147" 0x00000100] + Pad[62567 -34449 57669 -34449 1102 3000 1402 "148" "148" 0x00000100] + Pad[62567 -36418 57669 -36418 1102 3000 1402 "149" "149" 0x00000100] + Pad[62567 -38386 57669 -38386 1102 3000 1402 "150" "150" 0x00000100] + Pad[62567 -40355 57669 -40355 1102 3000 1402 "151" "151" 0x00000100] + Pad[62567 -42323 57669 -42323 1102 3000 1402 "152" "152" 0x00000100] + Pad[62567 -44292 57669 -44292 1102 3000 1402 "153" "153" 0x00000100] + Pad[62567 -46260 57669 -46260 1102 3000 1402 "154" "154" 0x00000100] + Pad[62567 -48229 57669 -48229 1102 3000 1402 "155" "155" 0x00000100] + Pad[62567 -50197 57669 -50197 1102 3000 1402 "156" "156" 0x00000100] + # top row + Pad[50196 -62567 50196 -57669 1102 3000 1402 "157" "157" 0x00000900] + Pad[48228 -62567 48228 -57669 1102 3000 1402 "158" "158" 0x00000900] + Pad[46259 -62567 46259 -57669 1102 3000 1402 "159" "159" 0x00000900] + Pad[44291 -62567 44291 -57669 1102 3000 1402 "160" "160" 0x00000900] + Pad[42322 -62567 42322 -57669 1102 3000 1402 "161" "161" 0x00000900] + Pad[40354 -62567 40354 -57669 1102 3000 1402 "162" "162" 0x00000900] + Pad[38385 -62567 38385 -57669 1102 3000 1402 "163" "163" 0x00000900] + Pad[36417 -62567 36417 -57669 1102 3000 1402 "164" "164" 0x00000900] + Pad[34448 -62567 34448 -57669 1102 3000 1402 "165" "165" 0x00000900] + Pad[32480 -62567 32480 -57669 1102 3000 1402 "166" "166" 0x00000900] + Pad[30511 -62567 30511 -57669 1102 3000 1402 "167" "167" 0x00000900] + Pad[28543 -62567 28543 -57669 1102 3000 1402 "168" "168" 0x00000900] + Pad[26574 -62567 26574 -57669 1102 3000 1402 "169" "169" 0x00000900] + Pad[24606 -62567 24606 -57669 1102 3000 1402 "170" "170" 0x00000900] + Pad[22637 -62567 22637 -57669 1102 3000 1402 "171" "171" 0x00000900] + Pad[20669 -62567 20669 -57669 1102 3000 1402 "172" "172" 0x00000900] + Pad[18700 -62567 18700 -57669 1102 3000 1402 "173" "173" 0x00000900] + Pad[16732 -62567 16732 -57669 1102 3000 1402 "174" "174" 0x00000900] + Pad[14763 -62567 14763 -57669 1102 3000 1402 "175" "175" 0x00000900] + Pad[12795 -62567 12795 -57669 1102 3000 1402 "176" "176" 0x00000900] + Pad[10826 -62567 10826 -57669 1102 3000 1402 "177" "177" 0x00000900] + Pad[8858 -62567 8858 -57669 1102 3000 1402 "178" "178" 0x00000900] + Pad[6889 -62567 6889 -57669 1102 3000 1402 "179" "179" 0x00000900] + Pad[4921 -62567 4921 -57669 1102 3000 1402 "180" "180" 0x00000900] + Pad[2952 -62567 2952 -57669 1102 3000 1402 "181" "181" 0x00000900] + Pad[984 -62567 984 -57669 1102 3000 1402 "182" "182" 0x00000900] + Pad[-985 -62567 -985 -57669 1102 3000 1402 "183" "183" 0x00000900] + Pad[-2953 -62567 -2953 -57669 1102 3000 1402 "184" "184" 0x00000900] + Pad[-4922 -62567 -4922 -57669 1102 3000 1402 "185" "185" 0x00000900] + Pad[-6890 -62567 -6890 -57669 1102 3000 1402 "186" "186" 0x00000900] + Pad[-8859 -62567 -8859 -57669 1102 3000 1402 "187" "187" 0x00000900] + Pad[-10827 -62567 -10827 -57669 1102 3000 1402 "188" "188" 0x00000900] + Pad[-12796 -62567 -12796 -57669 1102 3000 1402 "189" "189" 0x00000900] + Pad[-14764 -62567 -14764 -57669 1102 3000 1402 "190" "190" 0x00000900] + Pad[-16733 -62567 -16733 -57669 1102 3000 1402 "191" "191" 0x00000900] + Pad[-18701 -62567 -18701 -57669 1102 3000 1402 "192" "192" 0x00000900] + Pad[-20670 -62567 -20670 -57669 1102 3000 1402 "193" "193" 0x00000900] + Pad[-22638 -62567 -22638 -57669 1102 3000 1402 "194" "194" 0x00000900] + Pad[-24607 -62567 -24607 -57669 1102 3000 1402 "195" "195" 0x00000900] + Pad[-26575 -62567 -26575 -57669 1102 3000 1402 "196" "196" 0x00000900] + Pad[-28544 -62567 -28544 -57669 1102 3000 1402 "197" "197" 0x00000900] + Pad[-30512 -62567 -30512 -57669 1102 3000 1402 "198" "198" 0x00000900] + Pad[-32481 -62567 -32481 -57669 1102 3000 1402 "199" "199" 0x00000900] + Pad[-34449 -62567 -34449 -57669 1102 3000 1402 "200" "200" 0x00000900] + Pad[-36418 -62567 -36418 -57669 1102 3000 1402 "201" "201" 0x00000900] + Pad[-38386 -62567 -38386 -57669 1102 3000 1402 "202" "202" 0x00000900] + Pad[-40355 -62567 -40355 -57669 1102 3000 1402 "203" "203" 0x00000900] + Pad[-42323 -62567 -42323 -57669 1102 3000 1402 "204" "204" 0x00000900] + Pad[-44292 -62567 -44292 -57669 1102 3000 1402 "205" "205" 0x00000900] + Pad[-46260 -62567 -46260 -57669 1102 3000 1402 "206" "206" 0x00000900] + Pad[-48229 -62567 -48229 -57669 1102 3000 1402 "207" "207" 0x00000900] + Pad[-50197 -62567 -50197 -57669 1102 3000 1402 "208" "208" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-51818 -54718 54718 -54718 800] + # right + ElementLine[54718 -54718 54718 54718 800] + # bottom + ElementLine[54718 54718 -54718 54718 800] + # left + ElementLine[-54718 54718 -54718 -51818 800] + # angled corner + ElementLine[-54718 -51818 -51818 -54718 800] + ElementArc[-51818 -51818 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP240_32.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP240_32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP240_32.fp (revision 1033) @@ -0,0 +1,259 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP240_32" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-70441 -58070 -65543 -58070 1102 3000 1402 "1" "1" 0x00000100] + Pad[-70441 -56102 -65543 -56102 1102 3000 1402 "2" "2" 0x00000100] + Pad[-70441 -54133 -65543 -54133 1102 3000 1402 "3" "3" 0x00000100] + Pad[-70441 -52165 -65543 -52165 1102 3000 1402 "4" "4" 0x00000100] + Pad[-70441 -50196 -65543 -50196 1102 3000 1402 "5" "5" 0x00000100] + Pad[-70441 -48228 -65543 -48228 1102 3000 1402 "6" "6" 0x00000100] + Pad[-70441 -46259 -65543 -46259 1102 3000 1402 "7" "7" 0x00000100] + Pad[-70441 -44291 -65543 -44291 1102 3000 1402 "8" "8" 0x00000100] + Pad[-70441 -42322 -65543 -42322 1102 3000 1402 "9" "9" 0x00000100] + Pad[-70441 -40354 -65543 -40354 1102 3000 1402 "10" "10" 0x00000100] + Pad[-70441 -38385 -65543 -38385 1102 3000 1402 "11" "11" 0x00000100] + Pad[-70441 -36417 -65543 -36417 1102 3000 1402 "12" "12" 0x00000100] + Pad[-70441 -34448 -65543 -34448 1102 3000 1402 "13" "13" 0x00000100] + Pad[-70441 -32480 -65543 -32480 1102 3000 1402 "14" "14" 0x00000100] + Pad[-70441 -30511 -65543 -30511 1102 3000 1402 "15" "15" 0x00000100] + Pad[-70441 -28543 -65543 -28543 1102 3000 1402 "16" "16" 0x00000100] + Pad[-70441 -26574 -65543 -26574 1102 3000 1402 "17" "17" 0x00000100] + Pad[-70441 -24606 -65543 -24606 1102 3000 1402 "18" "18" 0x00000100] + Pad[-70441 -22637 -65543 -22637 1102 3000 1402 "19" "19" 0x00000100] + Pad[-70441 -20669 -65543 -20669 1102 3000 1402 "20" "20" 0x00000100] + Pad[-70441 -18700 -65543 -18700 1102 3000 1402 "21" "21" 0x00000100] + Pad[-70441 -16732 -65543 -16732 1102 3000 1402 "22" "22" 0x00000100] + Pad[-70441 -14763 -65543 -14763 1102 3000 1402 "23" "23" 0x00000100] + Pad[-70441 -12795 -65543 -12795 1102 3000 1402 "24" "24" 0x00000100] + Pad[-70441 -10826 -65543 -10826 1102 3000 1402 "25" "25" 0x00000100] + Pad[-70441 -8858 -65543 -8858 1102 3000 1402 "26" "26" 0x00000100] + Pad[-70441 -6889 -65543 -6889 1102 3000 1402 "27" "27" 0x00000100] + Pad[-70441 -4921 -65543 -4921 1102 3000 1402 "28" "28" 0x00000100] + Pad[-70441 -2952 -65543 -2952 1102 3000 1402 "29" "29" 0x00000100] + Pad[-70441 -984 -65543 -984 1102 3000 1402 "30" "30" 0x00000100] + Pad[-70441 985 -65543 985 1102 3000 1402 "31" "31" 0x00000100] + Pad[-70441 2953 -65543 2953 1102 3000 1402 "32" "32" 0x00000100] + Pad[-70441 4922 -65543 4922 1102 3000 1402 "33" "33" 0x00000100] + Pad[-70441 6890 -65543 6890 1102 3000 1402 "34" "34" 0x00000100] + Pad[-70441 8859 -65543 8859 1102 3000 1402 "35" "35" 0x00000100] + Pad[-70441 10827 -65543 10827 1102 3000 1402 "36" "36" 0x00000100] + Pad[-70441 12796 -65543 12796 1102 3000 1402 "37" "37" 0x00000100] + Pad[-70441 14764 -65543 14764 1102 3000 1402 "38" "38" 0x00000100] + Pad[-70441 16733 -65543 16733 1102 3000 1402 "39" "39" 0x00000100] + Pad[-70441 18701 -65543 18701 1102 3000 1402 "40" "40" 0x00000100] + Pad[-70441 20670 -65543 20670 1102 3000 1402 "41" "41" 0x00000100] + Pad[-70441 22638 -65543 22638 1102 3000 1402 "42" "42" 0x00000100] + Pad[-70441 24607 -65543 24607 1102 3000 1402 "43" "43" 0x00000100] + Pad[-70441 26575 -65543 26575 1102 3000 1402 "44" "44" 0x00000100] + Pad[-70441 28544 -65543 28544 1102 3000 1402 "45" "45" 0x00000100] + Pad[-70441 30512 -65543 30512 1102 3000 1402 "46" "46" 0x00000100] + Pad[-70441 32481 -65543 32481 1102 3000 1402 "47" "47" 0x00000100] + Pad[-70441 34449 -65543 34449 1102 3000 1402 "48" "48" 0x00000100] + Pad[-70441 36418 -65543 36418 1102 3000 1402 "49" "49" 0x00000100] + Pad[-70441 38386 -65543 38386 1102 3000 1402 "50" "50" 0x00000100] + Pad[-70441 40355 -65543 40355 1102 3000 1402 "51" "51" 0x00000100] + Pad[-70441 42323 -65543 42323 1102 3000 1402 "52" "52" 0x00000100] + Pad[-70441 44292 -65543 44292 1102 3000 1402 "53" "53" 0x00000100] + Pad[-70441 46260 -65543 46260 1102 3000 1402 "54" "54" 0x00000100] + Pad[-70441 48229 -65543 48229 1102 3000 1402 "55" "55" 0x00000100] + Pad[-70441 50197 -65543 50197 1102 3000 1402 "56" "56" 0x00000100] + Pad[-70441 52166 -65543 52166 1102 3000 1402 "57" "57" 0x00000100] + Pad[-70441 54134 -65543 54134 1102 3000 1402 "58" "58" 0x00000100] + Pad[-70441 56103 -65543 56103 1102 3000 1402 "59" "59" 0x00000100] + Pad[-70441 58071 -65543 58071 1102 3000 1402 "60" "60" 0x00000100] + # bottom row + Pad[-58070 70441 -58070 65543 1102 3000 1402 "61" "61" 0x00000900] + Pad[-56102 70441 -56102 65543 1102 3000 1402 "62" "62" 0x00000900] + Pad[-54133 70441 -54133 65543 1102 3000 1402 "63" "63" 0x00000900] + Pad[-52165 70441 -52165 65543 1102 3000 1402 "64" "64" 0x00000900] + Pad[-50196 70441 -50196 65543 1102 3000 1402 "65" "65" 0x00000900] + Pad[-48228 70441 -48228 65543 1102 3000 1402 "66" "66" 0x00000900] + Pad[-46259 70441 -46259 65543 1102 3000 1402 "67" "67" 0x00000900] + Pad[-44291 70441 -44291 65543 1102 3000 1402 "68" "68" 0x00000900] + Pad[-42322 70441 -42322 65543 1102 3000 1402 "69" "69" 0x00000900] + Pad[-40354 70441 -40354 65543 1102 3000 1402 "70" "70" 0x00000900] + Pad[-38385 70441 -38385 65543 1102 3000 1402 "71" "71" 0x00000900] + Pad[-36417 70441 -36417 65543 1102 3000 1402 "72" "72" 0x00000900] + Pad[-34448 70441 -34448 65543 1102 3000 1402 "73" "73" 0x00000900] + Pad[-32480 70441 -32480 65543 1102 3000 1402 "74" "74" 0x00000900] + Pad[-30511 70441 -30511 65543 1102 3000 1402 "75" "75" 0x00000900] + Pad[-28543 70441 -28543 65543 1102 3000 1402 "76" "76" 0x00000900] + Pad[-26574 70441 -26574 65543 1102 3000 1402 "77" "77" 0x00000900] + Pad[-24606 70441 -24606 65543 1102 3000 1402 "78" "78" 0x00000900] + Pad[-22637 70441 -22637 65543 1102 3000 1402 "79" "79" 0x00000900] + Pad[-20669 70441 -20669 65543 1102 3000 1402 "80" "80" 0x00000900] + Pad[-18700 70441 -18700 65543 1102 3000 1402 "81" "81" 0x00000900] + Pad[-16732 70441 -16732 65543 1102 3000 1402 "82" "82" 0x00000900] + Pad[-14763 70441 -14763 65543 1102 3000 1402 "83" "83" 0x00000900] + Pad[-12795 70441 -12795 65543 1102 3000 1402 "84" "84" 0x00000900] + Pad[-10826 70441 -10826 65543 1102 3000 1402 "85" "85" 0x00000900] + Pad[-8858 70441 -8858 65543 1102 3000 1402 "86" "86" 0x00000900] + Pad[-6889 70441 -6889 65543 1102 3000 1402 "87" "87" 0x00000900] + Pad[-4921 70441 -4921 65543 1102 3000 1402 "88" "88" 0x00000900] + Pad[-2952 70441 -2952 65543 1102 3000 1402 "89" "89" 0x00000900] + Pad[-984 70441 -984 65543 1102 3000 1402 "90" "90" 0x00000900] + Pad[985 70441 985 65543 1102 3000 1402 "91" "91" 0x00000900] + Pad[2953 70441 2953 65543 1102 3000 1402 "92" "92" 0x00000900] + Pad[4922 70441 4922 65543 1102 3000 1402 "93" "93" 0x00000900] + Pad[6890 70441 6890 65543 1102 3000 1402 "94" "94" 0x00000900] + Pad[8859 70441 8859 65543 1102 3000 1402 "95" "95" 0x00000900] + Pad[10827 70441 10827 65543 1102 3000 1402 "96" "96" 0x00000900] + Pad[12796 70441 12796 65543 1102 3000 1402 "97" "97" 0x00000900] + Pad[14764 70441 14764 65543 1102 3000 1402 "98" "98" 0x00000900] + Pad[16733 70441 16733 65543 1102 3000 1402 "99" "99" 0x00000900] + Pad[18701 70441 18701 65543 1102 3000 1402 "100" "100" 0x00000900] + Pad[20670 70441 20670 65543 1102 3000 1402 "101" "101" 0x00000900] + Pad[22638 70441 22638 65543 1102 3000 1402 "102" "102" 0x00000900] + Pad[24607 70441 24607 65543 1102 3000 1402 "103" "103" 0x00000900] + Pad[26575 70441 26575 65543 1102 3000 1402 "104" "104" 0x00000900] + Pad[28544 70441 28544 65543 1102 3000 1402 "105" "105" 0x00000900] + Pad[30512 70441 30512 65543 1102 3000 1402 "106" "106" 0x00000900] + Pad[32481 70441 32481 65543 1102 3000 1402 "107" "107" 0x00000900] + Pad[34449 70441 34449 65543 1102 3000 1402 "108" "108" 0x00000900] + Pad[36418 70441 36418 65543 1102 3000 1402 "109" "109" 0x00000900] + Pad[38386 70441 38386 65543 1102 3000 1402 "110" "110" 0x00000900] + Pad[40355 70441 40355 65543 1102 3000 1402 "111" "111" 0x00000900] + Pad[42323 70441 42323 65543 1102 3000 1402 "112" "112" 0x00000900] + Pad[44292 70441 44292 65543 1102 3000 1402 "113" "113" 0x00000900] + Pad[46260 70441 46260 65543 1102 3000 1402 "114" "114" 0x00000900] + Pad[48229 70441 48229 65543 1102 3000 1402 "115" "115" 0x00000900] + Pad[50197 70441 50197 65543 1102 3000 1402 "116" "116" 0x00000900] + Pad[52166 70441 52166 65543 1102 3000 1402 "117" "117" 0x00000900] + Pad[54134 70441 54134 65543 1102 3000 1402 "118" "118" 0x00000900] + Pad[56103 70441 56103 65543 1102 3000 1402 "119" "119" 0x00000900] + Pad[58071 70441 58071 65543 1102 3000 1402 "120" "120" 0x00000900] + # right row + Pad[70441 58070 65543 58070 1102 3000 1402 "121" "121" 0x00000100] + Pad[70441 56102 65543 56102 1102 3000 1402 "122" "122" 0x00000100] + Pad[70441 54133 65543 54133 1102 3000 1402 "123" "123" 0x00000100] + Pad[70441 52165 65543 52165 1102 3000 1402 "124" "124" 0x00000100] + Pad[70441 50196 65543 50196 1102 3000 1402 "125" "125" 0x00000100] + Pad[70441 48228 65543 48228 1102 3000 1402 "126" "126" 0x00000100] + Pad[70441 46259 65543 46259 1102 3000 1402 "127" "127" 0x00000100] + Pad[70441 44291 65543 44291 1102 3000 1402 "128" "128" 0x00000100] + Pad[70441 42322 65543 42322 1102 3000 1402 "129" "129" 0x00000100] + Pad[70441 40354 65543 40354 1102 3000 1402 "130" "130" 0x00000100] + Pad[70441 38385 65543 38385 1102 3000 1402 "131" "131" 0x00000100] + Pad[70441 36417 65543 36417 1102 3000 1402 "132" "132" 0x00000100] + Pad[70441 34448 65543 34448 1102 3000 1402 "133" "133" 0x00000100] + Pad[70441 32480 65543 32480 1102 3000 1402 "134" "134" 0x00000100] + Pad[70441 30511 65543 30511 1102 3000 1402 "135" "135" 0x00000100] + Pad[70441 28543 65543 28543 1102 3000 1402 "136" "136" 0x00000100] + Pad[70441 26574 65543 26574 1102 3000 1402 "137" "137" 0x00000100] + Pad[70441 24606 65543 24606 1102 3000 1402 "138" "138" 0x00000100] + Pad[70441 22637 65543 22637 1102 3000 1402 "139" "139" 0x00000100] + Pad[70441 20669 65543 20669 1102 3000 1402 "140" "140" 0x00000100] + Pad[70441 18700 65543 18700 1102 3000 1402 "141" "141" 0x00000100] + Pad[70441 16732 65543 16732 1102 3000 1402 "142" "142" 0x00000100] + Pad[70441 14763 65543 14763 1102 3000 1402 "143" "143" 0x00000100] + Pad[70441 12795 65543 12795 1102 3000 1402 "144" "144" 0x00000100] + Pad[70441 10826 65543 10826 1102 3000 1402 "145" "145" 0x00000100] + Pad[70441 8858 65543 8858 1102 3000 1402 "146" "146" 0x00000100] + Pad[70441 6889 65543 6889 1102 3000 1402 "147" "147" 0x00000100] + Pad[70441 4921 65543 4921 1102 3000 1402 "148" "148" 0x00000100] + Pad[70441 2952 65543 2952 1102 3000 1402 "149" "149" 0x00000100] + Pad[70441 984 65543 984 1102 3000 1402 "150" "150" 0x00000100] + Pad[70441 -985 65543 -985 1102 3000 1402 "151" "151" 0x00000100] + Pad[70441 -2953 65543 -2953 1102 3000 1402 "152" "152" 0x00000100] + Pad[70441 -4922 65543 -4922 1102 3000 1402 "153" "153" 0x00000100] + Pad[70441 -6890 65543 -6890 1102 3000 1402 "154" "154" 0x00000100] + Pad[70441 -8859 65543 -8859 1102 3000 1402 "155" "155" 0x00000100] + Pad[70441 -10827 65543 -10827 1102 3000 1402 "156" "156" 0x00000100] + Pad[70441 -12796 65543 -12796 1102 3000 1402 "157" "157" 0x00000100] + Pad[70441 -14764 65543 -14764 1102 3000 1402 "158" "158" 0x00000100] + Pad[70441 -16733 65543 -16733 1102 3000 1402 "159" "159" 0x00000100] + Pad[70441 -18701 65543 -18701 1102 3000 1402 "160" "160" 0x00000100] + Pad[70441 -20670 65543 -20670 1102 3000 1402 "161" "161" 0x00000100] + Pad[70441 -22638 65543 -22638 1102 3000 1402 "162" "162" 0x00000100] + Pad[70441 -24607 65543 -24607 1102 3000 1402 "163" "163" 0x00000100] + Pad[70441 -26575 65543 -26575 1102 3000 1402 "164" "164" 0x00000100] + Pad[70441 -28544 65543 -28544 1102 3000 1402 "165" "165" 0x00000100] + Pad[70441 -30512 65543 -30512 1102 3000 1402 "166" "166" 0x00000100] + Pad[70441 -32481 65543 -32481 1102 3000 1402 "167" "167" 0x00000100] + Pad[70441 -34449 65543 -34449 1102 3000 1402 "168" "168" 0x00000100] + Pad[70441 -36418 65543 -36418 1102 3000 1402 "169" "169" 0x00000100] + Pad[70441 -38386 65543 -38386 1102 3000 1402 "170" "170" 0x00000100] + Pad[70441 -40355 65543 -40355 1102 3000 1402 "171" "171" 0x00000100] + Pad[70441 -42323 65543 -42323 1102 3000 1402 "172" "172" 0x00000100] + Pad[70441 -44292 65543 -44292 1102 3000 1402 "173" "173" 0x00000100] + Pad[70441 -46260 65543 -46260 1102 3000 1402 "174" "174" 0x00000100] + Pad[70441 -48229 65543 -48229 1102 3000 1402 "175" "175" 0x00000100] + Pad[70441 -50197 65543 -50197 1102 3000 1402 "176" "176" 0x00000100] + Pad[70441 -52166 65543 -52166 1102 3000 1402 "177" "177" 0x00000100] + Pad[70441 -54134 65543 -54134 1102 3000 1402 "178" "178" 0x00000100] + Pad[70441 -56103 65543 -56103 1102 3000 1402 "179" "179" 0x00000100] + Pad[70441 -58071 65543 -58071 1102 3000 1402 "180" "180" 0x00000100] + # top row + Pad[58070 -70441 58070 -65543 1102 3000 1402 "181" "181" 0x00000900] + Pad[56102 -70441 56102 -65543 1102 3000 1402 "182" "182" 0x00000900] + Pad[54133 -70441 54133 -65543 1102 3000 1402 "183" "183" 0x00000900] + Pad[52165 -70441 52165 -65543 1102 3000 1402 "184" "184" 0x00000900] + Pad[50196 -70441 50196 -65543 1102 3000 1402 "185" "185" 0x00000900] + Pad[48228 -70441 48228 -65543 1102 3000 1402 "186" "186" 0x00000900] + Pad[46259 -70441 46259 -65543 1102 3000 1402 "187" "187" 0x00000900] + Pad[44291 -70441 44291 -65543 1102 3000 1402 "188" "188" 0x00000900] + Pad[42322 -70441 42322 -65543 1102 3000 1402 "189" "189" 0x00000900] + Pad[40354 -70441 40354 -65543 1102 3000 1402 "190" "190" 0x00000900] + Pad[38385 -70441 38385 -65543 1102 3000 1402 "191" "191" 0x00000900] + Pad[36417 -70441 36417 -65543 1102 3000 1402 "192" "192" 0x00000900] + Pad[34448 -70441 34448 -65543 1102 3000 1402 "193" "193" 0x00000900] + Pad[32480 -70441 32480 -65543 1102 3000 1402 "194" "194" 0x00000900] + Pad[30511 -70441 30511 -65543 1102 3000 1402 "195" "195" 0x00000900] + Pad[28543 -70441 28543 -65543 1102 3000 1402 "196" "196" 0x00000900] + Pad[26574 -70441 26574 -65543 1102 3000 1402 "197" "197" 0x00000900] + Pad[24606 -70441 24606 -65543 1102 3000 1402 "198" "198" 0x00000900] + Pad[22637 -70441 22637 -65543 1102 3000 1402 "199" "199" 0x00000900] + Pad[20669 -70441 20669 -65543 1102 3000 1402 "200" "200" 0x00000900] + Pad[18700 -70441 18700 -65543 1102 3000 1402 "201" "201" 0x00000900] + Pad[16732 -70441 16732 -65543 1102 3000 1402 "202" "202" 0x00000900] + Pad[14763 -70441 14763 -65543 1102 3000 1402 "203" "203" 0x00000900] + Pad[12795 -70441 12795 -65543 1102 3000 1402 "204" "204" 0x00000900] + Pad[10826 -70441 10826 -65543 1102 3000 1402 "205" "205" 0x00000900] + Pad[8858 -70441 8858 -65543 1102 3000 1402 "206" "206" 0x00000900] + Pad[6889 -70441 6889 -65543 1102 3000 1402 "207" "207" 0x00000900] + Pad[4921 -70441 4921 -65543 1102 3000 1402 "208" "208" 0x00000900] + Pad[2952 -70441 2952 -65543 1102 3000 1402 "209" "209" 0x00000900] + Pad[984 -70441 984 -65543 1102 3000 1402 "210" "210" 0x00000900] + Pad[-985 -70441 -985 -65543 1102 3000 1402 "211" "211" 0x00000900] + Pad[-2953 -70441 -2953 -65543 1102 3000 1402 "212" "212" 0x00000900] + Pad[-4922 -70441 -4922 -65543 1102 3000 1402 "213" "213" 0x00000900] + Pad[-6890 -70441 -6890 -65543 1102 3000 1402 "214" "214" 0x00000900] + Pad[-8859 -70441 -8859 -65543 1102 3000 1402 "215" "215" 0x00000900] + Pad[-10827 -70441 -10827 -65543 1102 3000 1402 "216" "216" 0x00000900] + Pad[-12796 -70441 -12796 -65543 1102 3000 1402 "217" "217" 0x00000900] + Pad[-14764 -70441 -14764 -65543 1102 3000 1402 "218" "218" 0x00000900] + Pad[-16733 -70441 -16733 -65543 1102 3000 1402 "219" "219" 0x00000900] + Pad[-18701 -70441 -18701 -65543 1102 3000 1402 "220" "220" 0x00000900] + Pad[-20670 -70441 -20670 -65543 1102 3000 1402 "221" "221" 0x00000900] + Pad[-22638 -70441 -22638 -65543 1102 3000 1402 "222" "222" 0x00000900] + Pad[-24607 -70441 -24607 -65543 1102 3000 1402 "223" "223" 0x00000900] + Pad[-26575 -70441 -26575 -65543 1102 3000 1402 "224" "224" 0x00000900] + Pad[-28544 -70441 -28544 -65543 1102 3000 1402 "225" "225" 0x00000900] + Pad[-30512 -70441 -30512 -65543 1102 3000 1402 "226" "226" 0x00000900] + Pad[-32481 -70441 -32481 -65543 1102 3000 1402 "227" "227" 0x00000900] + Pad[-34449 -70441 -34449 -65543 1102 3000 1402 "228" "228" 0x00000900] + Pad[-36418 -70441 -36418 -65543 1102 3000 1402 "229" "229" 0x00000900] + Pad[-38386 -70441 -38386 -65543 1102 3000 1402 "230" "230" 0x00000900] + Pad[-40355 -70441 -40355 -65543 1102 3000 1402 "231" "231" 0x00000900] + Pad[-42323 -70441 -42323 -65543 1102 3000 1402 "232" "232" 0x00000900] + Pad[-44292 -70441 -44292 -65543 1102 3000 1402 "233" "233" 0x00000900] + Pad[-46260 -70441 -46260 -65543 1102 3000 1402 "234" "234" 0x00000900] + Pad[-48229 -70441 -48229 -65543 1102 3000 1402 "235" "235" 0x00000900] + Pad[-50197 -70441 -50197 -65543 1102 3000 1402 "236" "236" 0x00000900] + Pad[-52166 -70441 -52166 -65543 1102 3000 1402 "237" "237" 0x00000900] + Pad[-54134 -70441 -54134 -65543 1102 3000 1402 "238" "238" 0x00000900] + Pad[-56103 -70441 -56103 -65543 1102 3000 1402 "239" "239" 0x00000900] + Pad[-58071 -70441 -58071 -65543 1102 3000 1402 "240" "240" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-59692 -62592 62592 -62592 800] + # right + ElementLine[62592 -62592 62592 62592 800] + # bottom + ElementLine[62592 62592 -62592 62592 800] + # left + ElementLine[-62592 62592 -62592 -59692 800] + # angled corner + ElementLine[-62592 -59692 -59692 -62592 800] + ElementArc[-59692 -59692 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP304_40.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP304_40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP304_40.fp (revision 1033) @@ -0,0 +1,323 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP304_40" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-86189 -73818 -81291 -73818 1102 3000 1402 "1" "1" 0x00000100] + Pad[-86189 -71850 -81291 -71850 1102 3000 1402 "2" "2" 0x00000100] + Pad[-86189 -69881 -81291 -69881 1102 3000 1402 "3" "3" 0x00000100] + Pad[-86189 -67913 -81291 -67913 1102 3000 1402 "4" "4" 0x00000100] + Pad[-86189 -65944 -81291 -65944 1102 3000 1402 "5" "5" 0x00000100] + Pad[-86189 -63976 -81291 -63976 1102 3000 1402 "6" "6" 0x00000100] + Pad[-86189 -62007 -81291 -62007 1102 3000 1402 "7" "7" 0x00000100] + Pad[-86189 -60039 -81291 -60039 1102 3000 1402 "8" "8" 0x00000100] + Pad[-86189 -58070 -81291 -58070 1102 3000 1402 "9" "9" 0x00000100] + Pad[-86189 -56102 -81291 -56102 1102 3000 1402 "10" "10" 0x00000100] + Pad[-86189 -54133 -81291 -54133 1102 3000 1402 "11" "11" 0x00000100] + Pad[-86189 -52165 -81291 -52165 1102 3000 1402 "12" "12" 0x00000100] + Pad[-86189 -50196 -81291 -50196 1102 3000 1402 "13" "13" 0x00000100] + Pad[-86189 -48228 -81291 -48228 1102 3000 1402 "14" "14" 0x00000100] + Pad[-86189 -46259 -81291 -46259 1102 3000 1402 "15" "15" 0x00000100] + Pad[-86189 -44291 -81291 -44291 1102 3000 1402 "16" "16" 0x00000100] + Pad[-86189 -42322 -81291 -42322 1102 3000 1402 "17" "17" 0x00000100] + Pad[-86189 -40354 -81291 -40354 1102 3000 1402 "18" "18" 0x00000100] + Pad[-86189 -38385 -81291 -38385 1102 3000 1402 "19" "19" 0x00000100] + Pad[-86189 -36417 -81291 -36417 1102 3000 1402 "20" "20" 0x00000100] + Pad[-86189 -34448 -81291 -34448 1102 3000 1402 "21" "21" 0x00000100] + Pad[-86189 -32480 -81291 -32480 1102 3000 1402 "22" "22" 0x00000100] + Pad[-86189 -30511 -81291 -30511 1102 3000 1402 "23" "23" 0x00000100] + Pad[-86189 -28543 -81291 -28543 1102 3000 1402 "24" "24" 0x00000100] + Pad[-86189 -26574 -81291 -26574 1102 3000 1402 "25" "25" 0x00000100] + Pad[-86189 -24606 -81291 -24606 1102 3000 1402 "26" "26" 0x00000100] + Pad[-86189 -22637 -81291 -22637 1102 3000 1402 "27" "27" 0x00000100] + Pad[-86189 -20669 -81291 -20669 1102 3000 1402 "28" "28" 0x00000100] + Pad[-86189 -18700 -81291 -18700 1102 3000 1402 "29" "29" 0x00000100] + Pad[-86189 -16732 -81291 -16732 1102 3000 1402 "30" "30" 0x00000100] + Pad[-86189 -14763 -81291 -14763 1102 3000 1402 "31" "31" 0x00000100] + Pad[-86189 -12795 -81291 -12795 1102 3000 1402 "32" "32" 0x00000100] + Pad[-86189 -10826 -81291 -10826 1102 3000 1402 "33" "33" 0x00000100] + Pad[-86189 -8858 -81291 -8858 1102 3000 1402 "34" "34" 0x00000100] + Pad[-86189 -6889 -81291 -6889 1102 3000 1402 "35" "35" 0x00000100] + Pad[-86189 -4921 -81291 -4921 1102 3000 1402 "36" "36" 0x00000100] + Pad[-86189 -2952 -81291 -2952 1102 3000 1402 "37" "37" 0x00000100] + Pad[-86189 -984 -81291 -984 1102 3000 1402 "38" "38" 0x00000100] + Pad[-86189 985 -81291 985 1102 3000 1402 "39" "39" 0x00000100] + Pad[-86189 2953 -81291 2953 1102 3000 1402 "40" "40" 0x00000100] + Pad[-86189 4922 -81291 4922 1102 3000 1402 "41" "41" 0x00000100] + Pad[-86189 6890 -81291 6890 1102 3000 1402 "42" "42" 0x00000100] + Pad[-86189 8859 -81291 8859 1102 3000 1402 "43" "43" 0x00000100] + Pad[-86189 10827 -81291 10827 1102 3000 1402 "44" "44" 0x00000100] + Pad[-86189 12796 -81291 12796 1102 3000 1402 "45" "45" 0x00000100] + Pad[-86189 14764 -81291 14764 1102 3000 1402 "46" "46" 0x00000100] + Pad[-86189 16733 -81291 16733 1102 3000 1402 "47" "47" 0x00000100] + Pad[-86189 18701 -81291 18701 1102 3000 1402 "48" "48" 0x00000100] + Pad[-86189 20670 -81291 20670 1102 3000 1402 "49" "49" 0x00000100] + Pad[-86189 22638 -81291 22638 1102 3000 1402 "50" "50" 0x00000100] + Pad[-86189 24607 -81291 24607 1102 3000 1402 "51" "51" 0x00000100] + Pad[-86189 26575 -81291 26575 1102 3000 1402 "52" "52" 0x00000100] + Pad[-86189 28544 -81291 28544 1102 3000 1402 "53" "53" 0x00000100] + Pad[-86189 30512 -81291 30512 1102 3000 1402 "54" "54" 0x00000100] + Pad[-86189 32481 -81291 32481 1102 3000 1402 "55" "55" 0x00000100] + Pad[-86189 34449 -81291 34449 1102 3000 1402 "56" "56" 0x00000100] + Pad[-86189 36418 -81291 36418 1102 3000 1402 "57" "57" 0x00000100] + Pad[-86189 38386 -81291 38386 1102 3000 1402 "58" "58" 0x00000100] + Pad[-86189 40355 -81291 40355 1102 3000 1402 "59" "59" 0x00000100] + Pad[-86189 42323 -81291 42323 1102 3000 1402 "60" "60" 0x00000100] + Pad[-86189 44292 -81291 44292 1102 3000 1402 "61" "61" 0x00000100] + Pad[-86189 46260 -81291 46260 1102 3000 1402 "62" "62" 0x00000100] + Pad[-86189 48229 -81291 48229 1102 3000 1402 "63" "63" 0x00000100] + Pad[-86189 50197 -81291 50197 1102 3000 1402 "64" "64" 0x00000100] + Pad[-86189 52166 -81291 52166 1102 3000 1402 "65" "65" 0x00000100] + Pad[-86189 54134 -81291 54134 1102 3000 1402 "66" "66" 0x00000100] + Pad[-86189 56103 -81291 56103 1102 3000 1402 "67" "67" 0x00000100] + Pad[-86189 58071 -81291 58071 1102 3000 1402 "68" "68" 0x00000100] + Pad[-86189 60040 -81291 60040 1102 3000 1402 "69" "69" 0x00000100] + Pad[-86189 62008 -81291 62008 1102 3000 1402 "70" "70" 0x00000100] + Pad[-86189 63977 -81291 63977 1102 3000 1402 "71" "71" 0x00000100] + Pad[-86189 65945 -81291 65945 1102 3000 1402 "72" "72" 0x00000100] + Pad[-86189 67914 -81291 67914 1102 3000 1402 "73" "73" 0x00000100] + Pad[-86189 69882 -81291 69882 1102 3000 1402 "74" "74" 0x00000100] + Pad[-86189 71851 -81291 71851 1102 3000 1402 "75" "75" 0x00000100] + Pad[-86189 73819 -81291 73819 1102 3000 1402 "76" "76" 0x00000100] + # bottom row + Pad[-73818 86189 -73818 81291 1102 3000 1402 "77" "77" 0x00000900] + Pad[-71850 86189 -71850 81291 1102 3000 1402 "78" "78" 0x00000900] + Pad[-69881 86189 -69881 81291 1102 3000 1402 "79" "79" 0x00000900] + Pad[-67913 86189 -67913 81291 1102 3000 1402 "80" "80" 0x00000900] + Pad[-65944 86189 -65944 81291 1102 3000 1402 "81" "81" 0x00000900] + Pad[-63976 86189 -63976 81291 1102 3000 1402 "82" "82" 0x00000900] + Pad[-62007 86189 -62007 81291 1102 3000 1402 "83" "83" 0x00000900] + Pad[-60039 86189 -60039 81291 1102 3000 1402 "84" "84" 0x00000900] + Pad[-58070 86189 -58070 81291 1102 3000 1402 "85" "85" 0x00000900] + Pad[-56102 86189 -56102 81291 1102 3000 1402 "86" "86" 0x00000900] + Pad[-54133 86189 -54133 81291 1102 3000 1402 "87" "87" 0x00000900] + Pad[-52165 86189 -52165 81291 1102 3000 1402 "88" "88" 0x00000900] + Pad[-50196 86189 -50196 81291 1102 3000 1402 "89" "89" 0x00000900] + Pad[-48228 86189 -48228 81291 1102 3000 1402 "90" "90" 0x00000900] + Pad[-46259 86189 -46259 81291 1102 3000 1402 "91" "91" 0x00000900] + Pad[-44291 86189 -44291 81291 1102 3000 1402 "92" "92" 0x00000900] + Pad[-42322 86189 -42322 81291 1102 3000 1402 "93" "93" 0x00000900] + Pad[-40354 86189 -40354 81291 1102 3000 1402 "94" "94" 0x00000900] + Pad[-38385 86189 -38385 81291 1102 3000 1402 "95" "95" 0x00000900] + Pad[-36417 86189 -36417 81291 1102 3000 1402 "96" "96" 0x00000900] + Pad[-34448 86189 -34448 81291 1102 3000 1402 "97" "97" 0x00000900] + Pad[-32480 86189 -32480 81291 1102 3000 1402 "98" "98" 0x00000900] + Pad[-30511 86189 -30511 81291 1102 3000 1402 "99" "99" 0x00000900] + Pad[-28543 86189 -28543 81291 1102 3000 1402 "100" "100" 0x00000900] + Pad[-26574 86189 -26574 81291 1102 3000 1402 "101" "101" 0x00000900] + Pad[-24606 86189 -24606 81291 1102 3000 1402 "102" "102" 0x00000900] + Pad[-22637 86189 -22637 81291 1102 3000 1402 "103" "103" 0x00000900] + Pad[-20669 86189 -20669 81291 1102 3000 1402 "104" "104" 0x00000900] + Pad[-18700 86189 -18700 81291 1102 3000 1402 "105" "105" 0x00000900] + Pad[-16732 86189 -16732 81291 1102 3000 1402 "106" "106" 0x00000900] + Pad[-14763 86189 -14763 81291 1102 3000 1402 "107" "107" 0x00000900] + Pad[-12795 86189 -12795 81291 1102 3000 1402 "108" "108" 0x00000900] + Pad[-10826 86189 -10826 81291 1102 3000 1402 "109" "109" 0x00000900] + Pad[-8858 86189 -8858 81291 1102 3000 1402 "110" "110" 0x00000900] + Pad[-6889 86189 -6889 81291 1102 3000 1402 "111" "111" 0x00000900] + Pad[-4921 86189 -4921 81291 1102 3000 1402 "112" "112" 0x00000900] + Pad[-2952 86189 -2952 81291 1102 3000 1402 "113" "113" 0x00000900] + Pad[-984 86189 -984 81291 1102 3000 1402 "114" "114" 0x00000900] + Pad[985 86189 985 81291 1102 3000 1402 "115" "115" 0x00000900] + Pad[2953 86189 2953 81291 1102 3000 1402 "116" "116" 0x00000900] + Pad[4922 86189 4922 81291 1102 3000 1402 "117" "117" 0x00000900] + Pad[6890 86189 6890 81291 1102 3000 1402 "118" "118" 0x00000900] + Pad[8859 86189 8859 81291 1102 3000 1402 "119" "119" 0x00000900] + Pad[10827 86189 10827 81291 1102 3000 1402 "120" "120" 0x00000900] + Pad[12796 86189 12796 81291 1102 3000 1402 "121" "121" 0x00000900] + Pad[14764 86189 14764 81291 1102 3000 1402 "122" "122" 0x00000900] + Pad[16733 86189 16733 81291 1102 3000 1402 "123" "123" 0x00000900] + Pad[18701 86189 18701 81291 1102 3000 1402 "124" "124" 0x00000900] + Pad[20670 86189 20670 81291 1102 3000 1402 "125" "125" 0x00000900] + Pad[22638 86189 22638 81291 1102 3000 1402 "126" "126" 0x00000900] + Pad[24607 86189 24607 81291 1102 3000 1402 "127" "127" 0x00000900] + Pad[26575 86189 26575 81291 1102 3000 1402 "128" "128" 0x00000900] + Pad[28544 86189 28544 81291 1102 3000 1402 "129" "129" 0x00000900] + Pad[30512 86189 30512 81291 1102 3000 1402 "130" "130" 0x00000900] + Pad[32481 86189 32481 81291 1102 3000 1402 "131" "131" 0x00000900] + Pad[34449 86189 34449 81291 1102 3000 1402 "132" "132" 0x00000900] + Pad[36418 86189 36418 81291 1102 3000 1402 "133" "133" 0x00000900] + Pad[38386 86189 38386 81291 1102 3000 1402 "134" "134" 0x00000900] + Pad[40355 86189 40355 81291 1102 3000 1402 "135" "135" 0x00000900] + Pad[42323 86189 42323 81291 1102 3000 1402 "136" "136" 0x00000900] + Pad[44292 86189 44292 81291 1102 3000 1402 "137" "137" 0x00000900] + Pad[46260 86189 46260 81291 1102 3000 1402 "138" "138" 0x00000900] + Pad[48229 86189 48229 81291 1102 3000 1402 "139" "139" 0x00000900] + Pad[50197 86189 50197 81291 1102 3000 1402 "140" "140" 0x00000900] + Pad[52166 86189 52166 81291 1102 3000 1402 "141" "141" 0x00000900] + Pad[54134 86189 54134 81291 1102 3000 1402 "142" "142" 0x00000900] + Pad[56103 86189 56103 81291 1102 3000 1402 "143" "143" 0x00000900] + Pad[58071 86189 58071 81291 1102 3000 1402 "144" "144" 0x00000900] + Pad[60040 86189 60040 81291 1102 3000 1402 "145" "145" 0x00000900] + Pad[62008 86189 62008 81291 1102 3000 1402 "146" "146" 0x00000900] + Pad[63977 86189 63977 81291 1102 3000 1402 "147" "147" 0x00000900] + Pad[65945 86189 65945 81291 1102 3000 1402 "148" "148" 0x00000900] + Pad[67914 86189 67914 81291 1102 3000 1402 "149" "149" 0x00000900] + Pad[69882 86189 69882 81291 1102 3000 1402 "150" "150" 0x00000900] + Pad[71851 86189 71851 81291 1102 3000 1402 "151" "151" 0x00000900] + Pad[73819 86189 73819 81291 1102 3000 1402 "152" "152" 0x00000900] + # right row + Pad[86189 73818 81291 73818 1102 3000 1402 "153" "153" 0x00000100] + Pad[86189 71850 81291 71850 1102 3000 1402 "154" "154" 0x00000100] + Pad[86189 69881 81291 69881 1102 3000 1402 "155" "155" 0x00000100] + Pad[86189 67913 81291 67913 1102 3000 1402 "156" "156" 0x00000100] + Pad[86189 65944 81291 65944 1102 3000 1402 "157" "157" 0x00000100] + Pad[86189 63976 81291 63976 1102 3000 1402 "158" "158" 0x00000100] + Pad[86189 62007 81291 62007 1102 3000 1402 "159" "159" 0x00000100] + Pad[86189 60039 81291 60039 1102 3000 1402 "160" "160" 0x00000100] + Pad[86189 58070 81291 58070 1102 3000 1402 "161" "161" 0x00000100] + Pad[86189 56102 81291 56102 1102 3000 1402 "162" "162" 0x00000100] + Pad[86189 54133 81291 54133 1102 3000 1402 "163" "163" 0x00000100] + Pad[86189 52165 81291 52165 1102 3000 1402 "164" "164" 0x00000100] + Pad[86189 50196 81291 50196 1102 3000 1402 "165" "165" 0x00000100] + Pad[86189 48228 81291 48228 1102 3000 1402 "166" "166" 0x00000100] + Pad[86189 46259 81291 46259 1102 3000 1402 "167" "167" 0x00000100] + Pad[86189 44291 81291 44291 1102 3000 1402 "168" "168" 0x00000100] + Pad[86189 42322 81291 42322 1102 3000 1402 "169" "169" 0x00000100] + Pad[86189 40354 81291 40354 1102 3000 1402 "170" "170" 0x00000100] + Pad[86189 38385 81291 38385 1102 3000 1402 "171" "171" 0x00000100] + Pad[86189 36417 81291 36417 1102 3000 1402 "172" "172" 0x00000100] + Pad[86189 34448 81291 34448 1102 3000 1402 "173" "173" 0x00000100] + Pad[86189 32480 81291 32480 1102 3000 1402 "174" "174" 0x00000100] + Pad[86189 30511 81291 30511 1102 3000 1402 "175" "175" 0x00000100] + Pad[86189 28543 81291 28543 1102 3000 1402 "176" "176" 0x00000100] + Pad[86189 26574 81291 26574 1102 3000 1402 "177" "177" 0x00000100] + Pad[86189 24606 81291 24606 1102 3000 1402 "178" "178" 0x00000100] + Pad[86189 22637 81291 22637 1102 3000 1402 "179" "179" 0x00000100] + Pad[86189 20669 81291 20669 1102 3000 1402 "180" "180" 0x00000100] + Pad[86189 18700 81291 18700 1102 3000 1402 "181" "181" 0x00000100] + Pad[86189 16732 81291 16732 1102 3000 1402 "182" "182" 0x00000100] + Pad[86189 14763 81291 14763 1102 3000 1402 "183" "183" 0x00000100] + Pad[86189 12795 81291 12795 1102 3000 1402 "184" "184" 0x00000100] + Pad[86189 10826 81291 10826 1102 3000 1402 "185" "185" 0x00000100] + Pad[86189 8858 81291 8858 1102 3000 1402 "186" "186" 0x00000100] + Pad[86189 6889 81291 6889 1102 3000 1402 "187" "187" 0x00000100] + Pad[86189 4921 81291 4921 1102 3000 1402 "188" "188" 0x00000100] + Pad[86189 2952 81291 2952 1102 3000 1402 "189" "189" 0x00000100] + Pad[86189 984 81291 984 1102 3000 1402 "190" "190" 0x00000100] + Pad[86189 -985 81291 -985 1102 3000 1402 "191" "191" 0x00000100] + Pad[86189 -2953 81291 -2953 1102 3000 1402 "192" "192" 0x00000100] + Pad[86189 -4922 81291 -4922 1102 3000 1402 "193" "193" 0x00000100] + Pad[86189 -6890 81291 -6890 1102 3000 1402 "194" "194" 0x00000100] + Pad[86189 -8859 81291 -8859 1102 3000 1402 "195" "195" 0x00000100] + Pad[86189 -10827 81291 -10827 1102 3000 1402 "196" "196" 0x00000100] + Pad[86189 -12796 81291 -12796 1102 3000 1402 "197" "197" 0x00000100] + Pad[86189 -14764 81291 -14764 1102 3000 1402 "198" "198" 0x00000100] + Pad[86189 -16733 81291 -16733 1102 3000 1402 "199" "199" 0x00000100] + Pad[86189 -18701 81291 -18701 1102 3000 1402 "200" "200" 0x00000100] + Pad[86189 -20670 81291 -20670 1102 3000 1402 "201" "201" 0x00000100] + Pad[86189 -22638 81291 -22638 1102 3000 1402 "202" "202" 0x00000100] + Pad[86189 -24607 81291 -24607 1102 3000 1402 "203" "203" 0x00000100] + Pad[86189 -26575 81291 -26575 1102 3000 1402 "204" "204" 0x00000100] + Pad[86189 -28544 81291 -28544 1102 3000 1402 "205" "205" 0x00000100] + Pad[86189 -30512 81291 -30512 1102 3000 1402 "206" "206" 0x00000100] + Pad[86189 -32481 81291 -32481 1102 3000 1402 "207" "207" 0x00000100] + Pad[86189 -34449 81291 -34449 1102 3000 1402 "208" "208" 0x00000100] + Pad[86189 -36418 81291 -36418 1102 3000 1402 "209" "209" 0x00000100] + Pad[86189 -38386 81291 -38386 1102 3000 1402 "210" "210" 0x00000100] + Pad[86189 -40355 81291 -40355 1102 3000 1402 "211" "211" 0x00000100] + Pad[86189 -42323 81291 -42323 1102 3000 1402 "212" "212" 0x00000100] + Pad[86189 -44292 81291 -44292 1102 3000 1402 "213" "213" 0x00000100] + Pad[86189 -46260 81291 -46260 1102 3000 1402 "214" "214" 0x00000100] + Pad[86189 -48229 81291 -48229 1102 3000 1402 "215" "215" 0x00000100] + Pad[86189 -50197 81291 -50197 1102 3000 1402 "216" "216" 0x00000100] + Pad[86189 -52166 81291 -52166 1102 3000 1402 "217" "217" 0x00000100] + Pad[86189 -54134 81291 -54134 1102 3000 1402 "218" "218" 0x00000100] + Pad[86189 -56103 81291 -56103 1102 3000 1402 "219" "219" 0x00000100] + Pad[86189 -58071 81291 -58071 1102 3000 1402 "220" "220" 0x00000100] + Pad[86189 -60040 81291 -60040 1102 3000 1402 "221" "221" 0x00000100] + Pad[86189 -62008 81291 -62008 1102 3000 1402 "222" "222" 0x00000100] + Pad[86189 -63977 81291 -63977 1102 3000 1402 "223" "223" 0x00000100] + Pad[86189 -65945 81291 -65945 1102 3000 1402 "224" "224" 0x00000100] + Pad[86189 -67914 81291 -67914 1102 3000 1402 "225" "225" 0x00000100] + Pad[86189 -69882 81291 -69882 1102 3000 1402 "226" "226" 0x00000100] + Pad[86189 -71851 81291 -71851 1102 3000 1402 "227" "227" 0x00000100] + Pad[86189 -73819 81291 -73819 1102 3000 1402 "228" "228" 0x00000100] + # top row + Pad[73818 -86189 73818 -81291 1102 3000 1402 "229" "229" 0x00000900] + Pad[71850 -86189 71850 -81291 1102 3000 1402 "230" "230" 0x00000900] + Pad[69881 -86189 69881 -81291 1102 3000 1402 "231" "231" 0x00000900] + Pad[67913 -86189 67913 -81291 1102 3000 1402 "232" "232" 0x00000900] + Pad[65944 -86189 65944 -81291 1102 3000 1402 "233" "233" 0x00000900] + Pad[63976 -86189 63976 -81291 1102 3000 1402 "234" "234" 0x00000900] + Pad[62007 -86189 62007 -81291 1102 3000 1402 "235" "235" 0x00000900] + Pad[60039 -86189 60039 -81291 1102 3000 1402 "236" "236" 0x00000900] + Pad[58070 -86189 58070 -81291 1102 3000 1402 "237" "237" 0x00000900] + Pad[56102 -86189 56102 -81291 1102 3000 1402 "238" "238" 0x00000900] + Pad[54133 -86189 54133 -81291 1102 3000 1402 "239" "239" 0x00000900] + Pad[52165 -86189 52165 -81291 1102 3000 1402 "240" "240" 0x00000900] + Pad[50196 -86189 50196 -81291 1102 3000 1402 "241" "241" 0x00000900] + Pad[48228 -86189 48228 -81291 1102 3000 1402 "242" "242" 0x00000900] + Pad[46259 -86189 46259 -81291 1102 3000 1402 "243" "243" 0x00000900] + Pad[44291 -86189 44291 -81291 1102 3000 1402 "244" "244" 0x00000900] + Pad[42322 -86189 42322 -81291 1102 3000 1402 "245" "245" 0x00000900] + Pad[40354 -86189 40354 -81291 1102 3000 1402 "246" "246" 0x00000900] + Pad[38385 -86189 38385 -81291 1102 3000 1402 "247" "247" 0x00000900] + Pad[36417 -86189 36417 -81291 1102 3000 1402 "248" "248" 0x00000900] + Pad[34448 -86189 34448 -81291 1102 3000 1402 "249" "249" 0x00000900] + Pad[32480 -86189 32480 -81291 1102 3000 1402 "250" "250" 0x00000900] + Pad[30511 -86189 30511 -81291 1102 3000 1402 "251" "251" 0x00000900] + Pad[28543 -86189 28543 -81291 1102 3000 1402 "252" "252" 0x00000900] + Pad[26574 -86189 26574 -81291 1102 3000 1402 "253" "253" 0x00000900] + Pad[24606 -86189 24606 -81291 1102 3000 1402 "254" "254" 0x00000900] + Pad[22637 -86189 22637 -81291 1102 3000 1402 "255" "255" 0x00000900] + Pad[20669 -86189 20669 -81291 1102 3000 1402 "256" "256" 0x00000900] + Pad[18700 -86189 18700 -81291 1102 3000 1402 "257" "257" 0x00000900] + Pad[16732 -86189 16732 -81291 1102 3000 1402 "258" "258" 0x00000900] + Pad[14763 -86189 14763 -81291 1102 3000 1402 "259" "259" 0x00000900] + Pad[12795 -86189 12795 -81291 1102 3000 1402 "260" "260" 0x00000900] + Pad[10826 -86189 10826 -81291 1102 3000 1402 "261" "261" 0x00000900] + Pad[8858 -86189 8858 -81291 1102 3000 1402 "262" "262" 0x00000900] + Pad[6889 -86189 6889 -81291 1102 3000 1402 "263" "263" 0x00000900] + Pad[4921 -86189 4921 -81291 1102 3000 1402 "264" "264" 0x00000900] + Pad[2952 -86189 2952 -81291 1102 3000 1402 "265" "265" 0x00000900] + Pad[984 -86189 984 -81291 1102 3000 1402 "266" "266" 0x00000900] + Pad[-985 -86189 -985 -81291 1102 3000 1402 "267" "267" 0x00000900] + Pad[-2953 -86189 -2953 -81291 1102 3000 1402 "268" "268" 0x00000900] + Pad[-4922 -86189 -4922 -81291 1102 3000 1402 "269" "269" 0x00000900] + Pad[-6890 -86189 -6890 -81291 1102 3000 1402 "270" "270" 0x00000900] + Pad[-8859 -86189 -8859 -81291 1102 3000 1402 "271" "271" 0x00000900] + Pad[-10827 -86189 -10827 -81291 1102 3000 1402 "272" "272" 0x00000900] + Pad[-12796 -86189 -12796 -81291 1102 3000 1402 "273" "273" 0x00000900] + Pad[-14764 -86189 -14764 -81291 1102 3000 1402 "274" "274" 0x00000900] + Pad[-16733 -86189 -16733 -81291 1102 3000 1402 "275" "275" 0x00000900] + Pad[-18701 -86189 -18701 -81291 1102 3000 1402 "276" "276" 0x00000900] + Pad[-20670 -86189 -20670 -81291 1102 3000 1402 "277" "277" 0x00000900] + Pad[-22638 -86189 -22638 -81291 1102 3000 1402 "278" "278" 0x00000900] + Pad[-24607 -86189 -24607 -81291 1102 3000 1402 "279" "279" 0x00000900] + Pad[-26575 -86189 -26575 -81291 1102 3000 1402 "280" "280" 0x00000900] + Pad[-28544 -86189 -28544 -81291 1102 3000 1402 "281" "281" 0x00000900] + Pad[-30512 -86189 -30512 -81291 1102 3000 1402 "282" "282" 0x00000900] + Pad[-32481 -86189 -32481 -81291 1102 3000 1402 "283" "283" 0x00000900] + Pad[-34449 -86189 -34449 -81291 1102 3000 1402 "284" "284" 0x00000900] + Pad[-36418 -86189 -36418 -81291 1102 3000 1402 "285" "285" 0x00000900] + Pad[-38386 -86189 -38386 -81291 1102 3000 1402 "286" "286" 0x00000900] + Pad[-40355 -86189 -40355 -81291 1102 3000 1402 "287" "287" 0x00000900] + Pad[-42323 -86189 -42323 -81291 1102 3000 1402 "288" "288" 0x00000900] + Pad[-44292 -86189 -44292 -81291 1102 3000 1402 "289" "289" 0x00000900] + Pad[-46260 -86189 -46260 -81291 1102 3000 1402 "290" "290" 0x00000900] + Pad[-48229 -86189 -48229 -81291 1102 3000 1402 "291" "291" 0x00000900] + Pad[-50197 -86189 -50197 -81291 1102 3000 1402 "292" "292" 0x00000900] + Pad[-52166 -86189 -52166 -81291 1102 3000 1402 "293" "293" 0x00000900] + Pad[-54134 -86189 -54134 -81291 1102 3000 1402 "294" "294" 0x00000900] + Pad[-56103 -86189 -56103 -81291 1102 3000 1402 "295" "295" 0x00000900] + Pad[-58071 -86189 -58071 -81291 1102 3000 1402 "296" "296" 0x00000900] + Pad[-60040 -86189 -60040 -81291 1102 3000 1402 "297" "297" 0x00000900] + Pad[-62008 -86189 -62008 -81291 1102 3000 1402 "298" "298" 0x00000900] + Pad[-63977 -86189 -63977 -81291 1102 3000 1402 "299" "299" 0x00000900] + Pad[-65945 -86189 -65945 -81291 1102 3000 1402 "300" "300" 0x00000900] + Pad[-67914 -86189 -67914 -81291 1102 3000 1402 "301" "301" 0x00000900] + Pad[-69882 -86189 -69882 -81291 1102 3000 1402 "302" "302" 0x00000900] + Pad[-71851 -86189 -71851 -81291 1102 3000 1402 "303" "303" 0x00000900] + Pad[-73819 -86189 -73819 -81291 1102 3000 1402 "304" "304" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-75440 -78340 78340 -78340 800] + # right + ElementLine[78340 -78340 78340 78340 800] + # bottom + ElementLine[78340 78340 -78340 78340 800] + # left + ElementLine[-78340 78340 -78340 -75440 800] + # angled corner + ElementLine[-78340 -75440 -75440 -78340 800] + ElementArc[-75440 -75440 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP32_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP32_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP32_7.fp (revision 1033) @@ -0,0 +1,51 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP32_7" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-20992 -11023 -16566 -11023 1574 3000 1874 "1" "1" 0x00000100] + Pad[-20992 -7874 -16566 -7874 1574 3000 1874 "2" "2" 0x00000100] + Pad[-20992 -4724 -16566 -4724 1574 3000 1874 "3" "3" 0x00000100] + Pad[-20992 -1575 -16566 -1575 1574 3000 1874 "4" "4" 0x00000100] + Pad[-20992 1575 -16566 1575 1574 3000 1874 "5" "5" 0x00000100] + Pad[-20992 4725 -16566 4725 1574 3000 1874 "6" "6" 0x00000100] + Pad[-20992 7874 -16566 7874 1574 3000 1874 "7" "7" 0x00000100] + Pad[-20992 11024 -16566 11024 1574 3000 1874 "8" "8" 0x00000100] + # bottom row + Pad[-11023 20992 -11023 16566 1574 3000 1874 "9" "9" 0x00000900] + Pad[-7874 20992 -7874 16566 1574 3000 1874 "10" "10" 0x00000900] + Pad[-4724 20992 -4724 16566 1574 3000 1874 "11" "11" 0x00000900] + Pad[-1575 20992 -1575 16566 1574 3000 1874 "12" "12" 0x00000900] + Pad[1575 20992 1575 16566 1574 3000 1874 "13" "13" 0x00000900] + Pad[4725 20992 4725 16566 1574 3000 1874 "14" "14" 0x00000900] + Pad[7874 20992 7874 16566 1574 3000 1874 "15" "15" 0x00000900] + Pad[11024 20992 11024 16566 1574 3000 1874 "16" "16" 0x00000900] + # right row + Pad[20992 11023 16566 11023 1574 3000 1874 "17" "17" 0x00000100] + Pad[20992 7874 16566 7874 1574 3000 1874 "18" "18" 0x00000100] + Pad[20992 4724 16566 4724 1574 3000 1874 "19" "19" 0x00000100] + Pad[20992 1575 16566 1575 1574 3000 1874 "20" "20" 0x00000100] + Pad[20992 -1575 16566 -1575 1574 3000 1874 "21" "21" 0x00000100] + Pad[20992 -4725 16566 -4725 1574 3000 1874 "22" "22" 0x00000100] + Pad[20992 -7874 16566 -7874 1574 3000 1874 "23" "23" 0x00000100] + Pad[20992 -11024 16566 -11024 1574 3000 1874 "24" "24" 0x00000100] + # top row + Pad[11023 -20992 11023 -16566 1574 3000 1874 "25" "25" 0x00000900] + Pad[7874 -20992 7874 -16566 1574 3000 1874 "26" "26" 0x00000900] + Pad[4724 -20992 4724 -16566 1574 3000 1874 "27" "27" 0x00000900] + Pad[1575 -20992 1575 -16566 1574 3000 1874 "28" "28" 0x00000900] + Pad[-1575 -20992 -1575 -16566 1574 3000 1874 "29" "29" 0x00000900] + Pad[-4725 -20992 -4725 -16566 1574 3000 1874 "30" "30" 0x00000900] + Pad[-7874 -20992 -7874 -16566 1574 3000 1874 "31" "31" 0x00000900] + Pad[-11024 -20992 -11024 -16566 1574 3000 1874 "32" "32" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-10479 -13379 13379 -13379 800] + # right + ElementLine[13379 -13379 13379 13379 800] + # bottom + ElementLine[13379 13379 -13379 13379 800] + # left + ElementLine[-13379 13379 -13379 -10479 800] + # angled corner + ElementLine[-13379 -10479 -10479 -13379 800] + ElementArc[-10479 -10479 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP44_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP44_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP44_10.fp (revision 1033) @@ -0,0 +1,63 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP44_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-26898 -15748 -22472 -15748 1574 3000 1874 "1" "1" 0x00000100] + Pad[-26898 -12599 -22472 -12599 1574 3000 1874 "2" "2" 0x00000100] + Pad[-26898 -9449 -22472 -9449 1574 3000 1874 "3" "3" 0x00000100] + Pad[-26898 -6300 -22472 -6300 1574 3000 1874 "4" "4" 0x00000100] + Pad[-26898 -3150 -22472 -3150 1574 3000 1874 "5" "5" 0x00000100] + Pad[-26898 0 -22472 0 1574 3000 1874 "6" "6" 0x00000100] + Pad[-26898 3149 -22472 3149 1574 3000 1874 "7" "7" 0x00000100] + Pad[-26898 6299 -22472 6299 1574 3000 1874 "8" "8" 0x00000100] + Pad[-26898 9448 -22472 9448 1574 3000 1874 "9" "9" 0x00000100] + Pad[-26898 12598 -22472 12598 1574 3000 1874 "10" "10" 0x00000100] + Pad[-26898 15748 -22472 15748 1574 3000 1874 "11" "11" 0x00000100] + # bottom row + Pad[-15748 26898 -15748 22472 1574 3000 1874 "12" "12" 0x00000900] + Pad[-12599 26898 -12599 22472 1574 3000 1874 "13" "13" 0x00000900] + Pad[-9449 26898 -9449 22472 1574 3000 1874 "14" "14" 0x00000900] + Pad[-6300 26898 -6300 22472 1574 3000 1874 "15" "15" 0x00000900] + Pad[-3150 26898 -3150 22472 1574 3000 1874 "16" "16" 0x00000900] + Pad[0 26898 0 22472 1574 3000 1874 "17" "17" 0x00000900] + Pad[3149 26898 3149 22472 1574 3000 1874 "18" "18" 0x00000900] + Pad[6299 26898 6299 22472 1574 3000 1874 "19" "19" 0x00000900] + Pad[9448 26898 9448 22472 1574 3000 1874 "20" "20" 0x00000900] + Pad[12598 26898 12598 22472 1574 3000 1874 "21" "21" 0x00000900] + Pad[15748 26898 15748 22472 1574 3000 1874 "22" "22" 0x00000900] + # right row + Pad[26898 15748 22472 15748 1574 3000 1874 "23" "23" 0x00000100] + Pad[26898 12599 22472 12599 1574 3000 1874 "24" "24" 0x00000100] + Pad[26898 9449 22472 9449 1574 3000 1874 "25" "25" 0x00000100] + Pad[26898 6300 22472 6300 1574 3000 1874 "26" "26" 0x00000100] + Pad[26898 3150 22472 3150 1574 3000 1874 "27" "27" 0x00000100] + Pad[26898 0 22472 0 1574 3000 1874 "28" "28" 0x00000100] + Pad[26898 -3149 22472 -3149 1574 3000 1874 "29" "29" 0x00000100] + Pad[26898 -6299 22472 -6299 1574 3000 1874 "30" "30" 0x00000100] + Pad[26898 -9448 22472 -9448 1574 3000 1874 "31" "31" 0x00000100] + Pad[26898 -12598 22472 -12598 1574 3000 1874 "32" "32" 0x00000100] + Pad[26898 -15748 22472 -15748 1574 3000 1874 "33" "33" 0x00000100] + # top row + Pad[15748 -26898 15748 -22472 1574 3000 1874 "34" "34" 0x00000900] + Pad[12599 -26898 12599 -22472 1574 3000 1874 "35" "35" 0x00000900] + Pad[9449 -26898 9449 -22472 1574 3000 1874 "36" "36" 0x00000900] + Pad[6300 -26898 6300 -22472 1574 3000 1874 "37" "37" 0x00000900] + Pad[3150 -26898 3150 -22472 1574 3000 1874 "38" "38" 0x00000900] + Pad[0 -26898 0 -22472 1574 3000 1874 "39" "39" 0x00000900] + Pad[-3149 -26898 -3149 -22472 1574 3000 1874 "40" "40" 0x00000900] + Pad[-6299 -26898 -6299 -22472 1574 3000 1874 "41" "41" 0x00000900] + Pad[-9448 -26898 -9448 -22472 1574 3000 1874 "42" "42" 0x00000900] + Pad[-12598 -26898 -12598 -22472 1574 3000 1874 "43" "43" 0x00000900] + Pad[-15748 -26898 -15748 -22472 1574 3000 1874 "44" "44" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP52_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP52_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP52_10.fp (revision 1033) @@ -0,0 +1,71 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP52_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-26898 -15354 -22472 -15354 1574 3000 1874 "1" "1" 0x00000100] + Pad[-26898 -12795 -22472 -12795 1574 3000 1874 "2" "2" 0x00000100] + Pad[-26898 -10236 -22472 -10236 1574 3000 1874 "3" "3" 0x00000100] + Pad[-26898 -7677 -22472 -7677 1574 3000 1874 "4" "4" 0x00000100] + Pad[-26898 -5118 -22472 -5118 1574 3000 1874 "5" "5" 0x00000100] + Pad[-26898 -2559 -22472 -2559 1574 3000 1874 "6" "6" 0x00000100] + Pad[-26898 0 -22472 0 1574 3000 1874 "7" "7" 0x00000100] + Pad[-26898 2559 -22472 2559 1574 3000 1874 "8" "8" 0x00000100] + Pad[-26898 5118 -22472 5118 1574 3000 1874 "9" "9" 0x00000100] + Pad[-26898 7677 -22472 7677 1574 3000 1874 "10" "10" 0x00000100] + Pad[-26898 10236 -22472 10236 1574 3000 1874 "11" "11" 0x00000100] + Pad[-26898 12795 -22472 12795 1574 3000 1874 "12" "12" 0x00000100] + Pad[-26898 15354 -22472 15354 1574 3000 1874 "13" "13" 0x00000100] + # bottom row + Pad[-15354 26898 -15354 22472 1574 3000 1874 "14" "14" 0x00000900] + Pad[-12795 26898 -12795 22472 1574 3000 1874 "15" "15" 0x00000900] + Pad[-10236 26898 -10236 22472 1574 3000 1874 "16" "16" 0x00000900] + Pad[-7677 26898 -7677 22472 1574 3000 1874 "17" "17" 0x00000900] + Pad[-5118 26898 -5118 22472 1574 3000 1874 "18" "18" 0x00000900] + Pad[-2559 26898 -2559 22472 1574 3000 1874 "19" "19" 0x00000900] + Pad[0 26898 0 22472 1574 3000 1874 "20" "20" 0x00000900] + Pad[2559 26898 2559 22472 1574 3000 1874 "21" "21" 0x00000900] + Pad[5118 26898 5118 22472 1574 3000 1874 "22" "22" 0x00000900] + Pad[7677 26898 7677 22472 1574 3000 1874 "23" "23" 0x00000900] + Pad[10236 26898 10236 22472 1574 3000 1874 "24" "24" 0x00000900] + Pad[12795 26898 12795 22472 1574 3000 1874 "25" "25" 0x00000900] + Pad[15354 26898 15354 22472 1574 3000 1874 "26" "26" 0x00000900] + # right row + Pad[26898 15354 22472 15354 1574 3000 1874 "27" "27" 0x00000100] + Pad[26898 12795 22472 12795 1574 3000 1874 "28" "28" 0x00000100] + Pad[26898 10236 22472 10236 1574 3000 1874 "29" "29" 0x00000100] + Pad[26898 7677 22472 7677 1574 3000 1874 "30" "30" 0x00000100] + Pad[26898 5118 22472 5118 1574 3000 1874 "31" "31" 0x00000100] + Pad[26898 2559 22472 2559 1574 3000 1874 "32" "32" 0x00000100] + Pad[26898 0 22472 0 1574 3000 1874 "33" "33" 0x00000100] + Pad[26898 -2559 22472 -2559 1574 3000 1874 "34" "34" 0x00000100] + Pad[26898 -5118 22472 -5118 1574 3000 1874 "35" "35" 0x00000100] + Pad[26898 -7677 22472 -7677 1574 3000 1874 "36" "36" 0x00000100] + Pad[26898 -10236 22472 -10236 1574 3000 1874 "37" "37" 0x00000100] + Pad[26898 -12795 22472 -12795 1574 3000 1874 "38" "38" 0x00000100] + Pad[26898 -15354 22472 -15354 1574 3000 1874 "39" "39" 0x00000100] + # top row + Pad[15354 -26898 15354 -22472 1574 3000 1874 "40" "40" 0x00000900] + Pad[12795 -26898 12795 -22472 1574 3000 1874 "41" "41" 0x00000900] + Pad[10236 -26898 10236 -22472 1574 3000 1874 "42" "42" 0x00000900] + Pad[7677 -26898 7677 -22472 1574 3000 1874 "43" "43" 0x00000900] + Pad[5118 -26898 5118 -22472 1574 3000 1874 "44" "44" 0x00000900] + Pad[2559 -26898 2559 -22472 1574 3000 1874 "45" "45" 0x00000900] + Pad[0 -26898 0 -22472 1574 3000 1874 "46" "46" 0x00000900] + Pad[-2559 -26898 -2559 -22472 1574 3000 1874 "47" "47" 0x00000900] + Pad[-5118 -26898 -5118 -22472 1574 3000 1874 "48" "48" 0x00000900] + Pad[-7677 -26898 -7677 -22472 1574 3000 1874 "49" "49" 0x00000900] + Pad[-10236 -26898 -10236 -22472 1574 3000 1874 "50" "50" 0x00000900] + Pad[-12795 -26898 -12795 -22472 1574 3000 1874 "51" "51" 0x00000900] + Pad[-15354 -26898 -15354 -22472 1574 3000 1874 "52" "52" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP64_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP64_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP64_10.fp (revision 1033) @@ -0,0 +1,83 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP64_10" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-27134 -14763 -22236 -14763 1102 3000 1402 "1" "1" 0x00000100] + Pad[-27134 -12795 -22236 -12795 1102 3000 1402 "2" "2" 0x00000100] + Pad[-27134 -10826 -22236 -10826 1102 3000 1402 "3" "3" 0x00000100] + Pad[-27134 -8858 -22236 -8858 1102 3000 1402 "4" "4" 0x00000100] + Pad[-27134 -6889 -22236 -6889 1102 3000 1402 "5" "5" 0x00000100] + Pad[-27134 -4921 -22236 -4921 1102 3000 1402 "6" "6" 0x00000100] + Pad[-27134 -2952 -22236 -2952 1102 3000 1402 "7" "7" 0x00000100] + Pad[-27134 -984 -22236 -984 1102 3000 1402 "8" "8" 0x00000100] + Pad[-27134 985 -22236 985 1102 3000 1402 "9" "9" 0x00000100] + Pad[-27134 2953 -22236 2953 1102 3000 1402 "10" "10" 0x00000100] + Pad[-27134 4922 -22236 4922 1102 3000 1402 "11" "11" 0x00000100] + Pad[-27134 6890 -22236 6890 1102 3000 1402 "12" "12" 0x00000100] + Pad[-27134 8859 -22236 8859 1102 3000 1402 "13" "13" 0x00000100] + Pad[-27134 10827 -22236 10827 1102 3000 1402 "14" "14" 0x00000100] + Pad[-27134 12796 -22236 12796 1102 3000 1402 "15" "15" 0x00000100] + Pad[-27134 14764 -22236 14764 1102 3000 1402 "16" "16" 0x00000100] + # bottom row + Pad[-14763 27134 -14763 22236 1102 3000 1402 "17" "17" 0x00000900] + Pad[-12795 27134 -12795 22236 1102 3000 1402 "18" "18" 0x00000900] + Pad[-10826 27134 -10826 22236 1102 3000 1402 "19" "19" 0x00000900] + Pad[-8858 27134 -8858 22236 1102 3000 1402 "20" "20" 0x00000900] + Pad[-6889 27134 -6889 22236 1102 3000 1402 "21" "21" 0x00000900] + Pad[-4921 27134 -4921 22236 1102 3000 1402 "22" "22" 0x00000900] + Pad[-2952 27134 -2952 22236 1102 3000 1402 "23" "23" 0x00000900] + Pad[-984 27134 -984 22236 1102 3000 1402 "24" "24" 0x00000900] + Pad[985 27134 985 22236 1102 3000 1402 "25" "25" 0x00000900] + Pad[2953 27134 2953 22236 1102 3000 1402 "26" "26" 0x00000900] + Pad[4922 27134 4922 22236 1102 3000 1402 "27" "27" 0x00000900] + Pad[6890 27134 6890 22236 1102 3000 1402 "28" "28" 0x00000900] + Pad[8859 27134 8859 22236 1102 3000 1402 "29" "29" 0x00000900] + Pad[10827 27134 10827 22236 1102 3000 1402 "30" "30" 0x00000900] + Pad[12796 27134 12796 22236 1102 3000 1402 "31" "31" 0x00000900] + Pad[14764 27134 14764 22236 1102 3000 1402 "32" "32" 0x00000900] + # right row + Pad[27134 14763 22236 14763 1102 3000 1402 "33" "33" 0x00000100] + Pad[27134 12795 22236 12795 1102 3000 1402 "34" "34" 0x00000100] + Pad[27134 10826 22236 10826 1102 3000 1402 "35" "35" 0x00000100] + Pad[27134 8858 22236 8858 1102 3000 1402 "36" "36" 0x00000100] + Pad[27134 6889 22236 6889 1102 3000 1402 "37" "37" 0x00000100] + Pad[27134 4921 22236 4921 1102 3000 1402 "38" "38" 0x00000100] + Pad[27134 2952 22236 2952 1102 3000 1402 "39" "39" 0x00000100] + Pad[27134 984 22236 984 1102 3000 1402 "40" "40" 0x00000100] + Pad[27134 -985 22236 -985 1102 3000 1402 "41" "41" 0x00000100] + Pad[27134 -2953 22236 -2953 1102 3000 1402 "42" "42" 0x00000100] + Pad[27134 -4922 22236 -4922 1102 3000 1402 "43" "43" 0x00000100] + Pad[27134 -6890 22236 -6890 1102 3000 1402 "44" "44" 0x00000100] + Pad[27134 -8859 22236 -8859 1102 3000 1402 "45" "45" 0x00000100] + Pad[27134 -10827 22236 -10827 1102 3000 1402 "46" "46" 0x00000100] + Pad[27134 -12796 22236 -12796 1102 3000 1402 "47" "47" 0x00000100] + Pad[27134 -14764 22236 -14764 1102 3000 1402 "48" "48" 0x00000100] + # top row + Pad[14763 -27134 14763 -22236 1102 3000 1402 "49" "49" 0x00000900] + Pad[12795 -27134 12795 -22236 1102 3000 1402 "50" "50" 0x00000900] + Pad[10826 -27134 10826 -22236 1102 3000 1402 "51" "51" 0x00000900] + Pad[8858 -27134 8858 -22236 1102 3000 1402 "52" "52" 0x00000900] + Pad[6889 -27134 6889 -22236 1102 3000 1402 "53" "53" 0x00000900] + Pad[4921 -27134 4921 -22236 1102 3000 1402 "54" "54" 0x00000900] + Pad[2952 -27134 2952 -22236 1102 3000 1402 "55" "55" 0x00000900] + Pad[984 -27134 984 -22236 1102 3000 1402 "56" "56" 0x00000900] + Pad[-985 -27134 -985 -22236 1102 3000 1402 "57" "57" 0x00000900] + Pad[-2953 -27134 -2953 -22236 1102 3000 1402 "58" "58" 0x00000900] + Pad[-4922 -27134 -4922 -22236 1102 3000 1402 "59" "59" 0x00000900] + Pad[-6890 -27134 -6890 -22236 1102 3000 1402 "60" "60" 0x00000900] + Pad[-8859 -27134 -8859 -22236 1102 3000 1402 "61" "61" 0x00000900] + Pad[-10827 -27134 -10827 -22236 1102 3000 1402 "62" "62" 0x00000900] + Pad[-12796 -27134 -12796 -22236 1102 3000 1402 "63" "63" 0x00000900] + Pad[-14764 -27134 -14764 -22236 1102 3000 1402 "64" "64" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-16385 -19285 19285 -19285 800] + # right + ElementLine[19285 -19285 19285 19285 800] + # bottom + ElementLine[19285 19285 -19285 19285 800] + # left + ElementLine[-19285 19285 -19285 -16385 800] + # angled corner + ElementLine[-19285 -16385 -16385 -19285 800] + ElementArc[-16385 -16385 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP64_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP64_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP64_14.fp (revision 1033) @@ -0,0 +1,83 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP64_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-34772 -23622 -30346 -23622 1574 3000 1874 "1" "1" 0x00000100] + Pad[-34772 -20473 -30346 -20473 1574 3000 1874 "2" "2" 0x00000100] + Pad[-34772 -17323 -30346 -17323 1574 3000 1874 "3" "3" 0x00000100] + Pad[-34772 -14174 -30346 -14174 1574 3000 1874 "4" "4" 0x00000100] + Pad[-34772 -11024 -30346 -11024 1574 3000 1874 "5" "5" 0x00000100] + Pad[-34772 -7874 -30346 -7874 1574 3000 1874 "6" "6" 0x00000100] + Pad[-34772 -4725 -30346 -4725 1574 3000 1874 "7" "7" 0x00000100] + Pad[-34772 -1575 -30346 -1575 1574 3000 1874 "8" "8" 0x00000100] + Pad[-34772 1574 -30346 1574 1574 3000 1874 "9" "9" 0x00000100] + Pad[-34772 4724 -30346 4724 1574 3000 1874 "10" "10" 0x00000100] + Pad[-34772 7874 -30346 7874 1574 3000 1874 "11" "11" 0x00000100] + Pad[-34772 11023 -30346 11023 1574 3000 1874 "12" "12" 0x00000100] + Pad[-34772 14173 -30346 14173 1574 3000 1874 "13" "13" 0x00000100] + Pad[-34772 17322 -30346 17322 1574 3000 1874 "14" "14" 0x00000100] + Pad[-34772 20472 -30346 20472 1574 3000 1874 "15" "15" 0x00000100] + Pad[-34772 23622 -30346 23622 1574 3000 1874 "16" "16" 0x00000100] + # bottom row + Pad[-23622 34772 -23622 30346 1574 3000 1874 "17" "17" 0x00000900] + Pad[-20473 34772 -20473 30346 1574 3000 1874 "18" "18" 0x00000900] + Pad[-17323 34772 -17323 30346 1574 3000 1874 "19" "19" 0x00000900] + Pad[-14174 34772 -14174 30346 1574 3000 1874 "20" "20" 0x00000900] + Pad[-11024 34772 -11024 30346 1574 3000 1874 "21" "21" 0x00000900] + Pad[-7874 34772 -7874 30346 1574 3000 1874 "22" "22" 0x00000900] + Pad[-4725 34772 -4725 30346 1574 3000 1874 "23" "23" 0x00000900] + Pad[-1575 34772 -1575 30346 1574 3000 1874 "24" "24" 0x00000900] + Pad[1574 34772 1574 30346 1574 3000 1874 "25" "25" 0x00000900] + Pad[4724 34772 4724 30346 1574 3000 1874 "26" "26" 0x00000900] + Pad[7874 34772 7874 30346 1574 3000 1874 "27" "27" 0x00000900] + Pad[11023 34772 11023 30346 1574 3000 1874 "28" "28" 0x00000900] + Pad[14173 34772 14173 30346 1574 3000 1874 "29" "29" 0x00000900] + Pad[17322 34772 17322 30346 1574 3000 1874 "30" "30" 0x00000900] + Pad[20472 34772 20472 30346 1574 3000 1874 "31" "31" 0x00000900] + Pad[23622 34772 23622 30346 1574 3000 1874 "32" "32" 0x00000900] + # right row + Pad[34772 23622 30346 23622 1574 3000 1874 "33" "33" 0x00000100] + Pad[34772 20473 30346 20473 1574 3000 1874 "34" "34" 0x00000100] + Pad[34772 17323 30346 17323 1574 3000 1874 "35" "35" 0x00000100] + Pad[34772 14174 30346 14174 1574 3000 1874 "36" "36" 0x00000100] + Pad[34772 11024 30346 11024 1574 3000 1874 "37" "37" 0x00000100] + Pad[34772 7874 30346 7874 1574 3000 1874 "38" "38" 0x00000100] + Pad[34772 4725 30346 4725 1574 3000 1874 "39" "39" 0x00000100] + Pad[34772 1575 30346 1575 1574 3000 1874 "40" "40" 0x00000100] + Pad[34772 -1574 30346 -1574 1574 3000 1874 "41" "41" 0x00000100] + Pad[34772 -4724 30346 -4724 1574 3000 1874 "42" "42" 0x00000100] + Pad[34772 -7874 30346 -7874 1574 3000 1874 "43" "43" 0x00000100] + Pad[34772 -11023 30346 -11023 1574 3000 1874 "44" "44" 0x00000100] + Pad[34772 -14173 30346 -14173 1574 3000 1874 "45" "45" 0x00000100] + Pad[34772 -17322 30346 -17322 1574 3000 1874 "46" "46" 0x00000100] + Pad[34772 -20472 30346 -20472 1574 3000 1874 "47" "47" 0x00000100] + Pad[34772 -23622 30346 -23622 1574 3000 1874 "48" "48" 0x00000100] + # top row + Pad[23622 -34772 23622 -30346 1574 3000 1874 "49" "49" 0x00000900] + Pad[20473 -34772 20473 -30346 1574 3000 1874 "50" "50" 0x00000900] + Pad[17323 -34772 17323 -30346 1574 3000 1874 "51" "51" 0x00000900] + Pad[14174 -34772 14174 -30346 1574 3000 1874 "52" "52" 0x00000900] + Pad[11024 -34772 11024 -30346 1574 3000 1874 "53" "53" 0x00000900] + Pad[7874 -34772 7874 -30346 1574 3000 1874 "54" "54" 0x00000900] + Pad[4725 -34772 4725 -30346 1574 3000 1874 "55" "55" 0x00000900] + Pad[1575 -34772 1575 -30346 1574 3000 1874 "56" "56" 0x00000900] + Pad[-1574 -34772 -1574 -30346 1574 3000 1874 "57" "57" 0x00000900] + Pad[-4724 -34772 -4724 -30346 1574 3000 1874 "58" "58" 0x00000900] + Pad[-7874 -34772 -7874 -30346 1574 3000 1874 "59" "59" 0x00000900] + Pad[-11023 -34772 -11023 -30346 1574 3000 1874 "60" "60" 0x00000900] + Pad[-14173 -34772 -14173 -30346 1574 3000 1874 "61" "61" 0x00000900] + Pad[-17322 -34772 -17322 -30346 1574 3000 1874 "62" "62" 0x00000900] + Pad[-20472 -34772 -20472 -30346 1574 3000 1874 "63" "63" 0x00000900] + Pad[-23622 -34772 -23622 -30346 1574 3000 1874 "64" "64" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP80_12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP80_12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP80_12.fp (revision 1033) @@ -0,0 +1,99 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP80_12" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-31071 -18700 -26173 -18700 1102 3000 1402 "1" "1" 0x00000100] + Pad[-31071 -16732 -26173 -16732 1102 3000 1402 "2" "2" 0x00000100] + Pad[-31071 -14763 -26173 -14763 1102 3000 1402 "3" "3" 0x00000100] + Pad[-31071 -12795 -26173 -12795 1102 3000 1402 "4" "4" 0x00000100] + Pad[-31071 -10826 -26173 -10826 1102 3000 1402 "5" "5" 0x00000100] + Pad[-31071 -8858 -26173 -8858 1102 3000 1402 "6" "6" 0x00000100] + Pad[-31071 -6889 -26173 -6889 1102 3000 1402 "7" "7" 0x00000100] + Pad[-31071 -4921 -26173 -4921 1102 3000 1402 "8" "8" 0x00000100] + Pad[-31071 -2952 -26173 -2952 1102 3000 1402 "9" "9" 0x00000100] + Pad[-31071 -984 -26173 -984 1102 3000 1402 "10" "10" 0x00000100] + Pad[-31071 985 -26173 985 1102 3000 1402 "11" "11" 0x00000100] + Pad[-31071 2953 -26173 2953 1102 3000 1402 "12" "12" 0x00000100] + Pad[-31071 4922 -26173 4922 1102 3000 1402 "13" "13" 0x00000100] + Pad[-31071 6890 -26173 6890 1102 3000 1402 "14" "14" 0x00000100] + Pad[-31071 8859 -26173 8859 1102 3000 1402 "15" "15" 0x00000100] + Pad[-31071 10827 -26173 10827 1102 3000 1402 "16" "16" 0x00000100] + Pad[-31071 12796 -26173 12796 1102 3000 1402 "17" "17" 0x00000100] + Pad[-31071 14764 -26173 14764 1102 3000 1402 "18" "18" 0x00000100] + Pad[-31071 16733 -26173 16733 1102 3000 1402 "19" "19" 0x00000100] + Pad[-31071 18701 -26173 18701 1102 3000 1402 "20" "20" 0x00000100] + # bottom row + Pad[-18700 31071 -18700 26173 1102 3000 1402 "21" "21" 0x00000900] + Pad[-16732 31071 -16732 26173 1102 3000 1402 "22" "22" 0x00000900] + Pad[-14763 31071 -14763 26173 1102 3000 1402 "23" "23" 0x00000900] + Pad[-12795 31071 -12795 26173 1102 3000 1402 "24" "24" 0x00000900] + Pad[-10826 31071 -10826 26173 1102 3000 1402 "25" "25" 0x00000900] + Pad[-8858 31071 -8858 26173 1102 3000 1402 "26" "26" 0x00000900] + Pad[-6889 31071 -6889 26173 1102 3000 1402 "27" "27" 0x00000900] + Pad[-4921 31071 -4921 26173 1102 3000 1402 "28" "28" 0x00000900] + Pad[-2952 31071 -2952 26173 1102 3000 1402 "29" "29" 0x00000900] + Pad[-984 31071 -984 26173 1102 3000 1402 "30" "30" 0x00000900] + Pad[985 31071 985 26173 1102 3000 1402 "31" "31" 0x00000900] + Pad[2953 31071 2953 26173 1102 3000 1402 "32" "32" 0x00000900] + Pad[4922 31071 4922 26173 1102 3000 1402 "33" "33" 0x00000900] + Pad[6890 31071 6890 26173 1102 3000 1402 "34" "34" 0x00000900] + Pad[8859 31071 8859 26173 1102 3000 1402 "35" "35" 0x00000900] + Pad[10827 31071 10827 26173 1102 3000 1402 "36" "36" 0x00000900] + Pad[12796 31071 12796 26173 1102 3000 1402 "37" "37" 0x00000900] + Pad[14764 31071 14764 26173 1102 3000 1402 "38" "38" 0x00000900] + Pad[16733 31071 16733 26173 1102 3000 1402 "39" "39" 0x00000900] + Pad[18701 31071 18701 26173 1102 3000 1402 "40" "40" 0x00000900] + # right row + Pad[31071 18700 26173 18700 1102 3000 1402 "41" "41" 0x00000100] + Pad[31071 16732 26173 16732 1102 3000 1402 "42" "42" 0x00000100] + Pad[31071 14763 26173 14763 1102 3000 1402 "43" "43" 0x00000100] + Pad[31071 12795 26173 12795 1102 3000 1402 "44" "44" 0x00000100] + Pad[31071 10826 26173 10826 1102 3000 1402 "45" "45" 0x00000100] + Pad[31071 8858 26173 8858 1102 3000 1402 "46" "46" 0x00000100] + Pad[31071 6889 26173 6889 1102 3000 1402 "47" "47" 0x00000100] + Pad[31071 4921 26173 4921 1102 3000 1402 "48" "48" 0x00000100] + Pad[31071 2952 26173 2952 1102 3000 1402 "49" "49" 0x00000100] + Pad[31071 984 26173 984 1102 3000 1402 "50" "50" 0x00000100] + Pad[31071 -985 26173 -985 1102 3000 1402 "51" "51" 0x00000100] + Pad[31071 -2953 26173 -2953 1102 3000 1402 "52" "52" 0x00000100] + Pad[31071 -4922 26173 -4922 1102 3000 1402 "53" "53" 0x00000100] + Pad[31071 -6890 26173 -6890 1102 3000 1402 "54" "54" 0x00000100] + Pad[31071 -8859 26173 -8859 1102 3000 1402 "55" "55" 0x00000100] + Pad[31071 -10827 26173 -10827 1102 3000 1402 "56" "56" 0x00000100] + Pad[31071 -12796 26173 -12796 1102 3000 1402 "57" "57" 0x00000100] + Pad[31071 -14764 26173 -14764 1102 3000 1402 "58" "58" 0x00000100] + Pad[31071 -16733 26173 -16733 1102 3000 1402 "59" "59" 0x00000100] + Pad[31071 -18701 26173 -18701 1102 3000 1402 "60" "60" 0x00000100] + # top row + Pad[18700 -31071 18700 -26173 1102 3000 1402 "61" "61" 0x00000900] + Pad[16732 -31071 16732 -26173 1102 3000 1402 "62" "62" 0x00000900] + Pad[14763 -31071 14763 -26173 1102 3000 1402 "63" "63" 0x00000900] + Pad[12795 -31071 12795 -26173 1102 3000 1402 "64" "64" 0x00000900] + Pad[10826 -31071 10826 -26173 1102 3000 1402 "65" "65" 0x00000900] + Pad[8858 -31071 8858 -26173 1102 3000 1402 "66" "66" 0x00000900] + Pad[6889 -31071 6889 -26173 1102 3000 1402 "67" "67" 0x00000900] + Pad[4921 -31071 4921 -26173 1102 3000 1402 "68" "68" 0x00000900] + Pad[2952 -31071 2952 -26173 1102 3000 1402 "69" "69" 0x00000900] + Pad[984 -31071 984 -26173 1102 3000 1402 "70" "70" 0x00000900] + Pad[-985 -31071 -985 -26173 1102 3000 1402 "71" "71" 0x00000900] + Pad[-2953 -31071 -2953 -26173 1102 3000 1402 "72" "72" 0x00000900] + Pad[-4922 -31071 -4922 -26173 1102 3000 1402 "73" "73" 0x00000900] + Pad[-6890 -31071 -6890 -26173 1102 3000 1402 "74" "74" 0x00000900] + Pad[-8859 -31071 -8859 -26173 1102 3000 1402 "75" "75" 0x00000900] + Pad[-10827 -31071 -10827 -26173 1102 3000 1402 "76" "76" 0x00000900] + Pad[-12796 -31071 -12796 -26173 1102 3000 1402 "77" "77" 0x00000900] + Pad[-14764 -31071 -14764 -26173 1102 3000 1402 "78" "78" 0x00000900] + Pad[-16733 -31071 -16733 -26173 1102 3000 1402 "79" "79" 0x00000900] + Pad[-18701 -31071 -18701 -26173 1102 3000 1402 "80" "80" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-20322 -23222 23222 -23222 800] + # right + ElementLine[23222 -23222 23222 23222 800] + # bottom + ElementLine[23222 23222 -23222 23222 800] + # left + ElementLine[-23222 23222 -23222 -20322 800] + # angled corner + ElementLine[-23222 -20322 -20322 -23222 800] + ElementArc[-20322 -20322 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TQFP80_14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TQFP80_14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TQFP80_14.fp (revision 1033) @@ -0,0 +1,99 @@ +Element[0x00000000 "Square Quad-side flat pack" "" "TQFP80_14" 0 0 -2000 -6000 0 100 0x00000000] +( + # left row + Pad[-34772 -24310 -30346 -24310 1574 3000 1874 "1" "1" 0x00000100] + Pad[-34772 -21751 -30346 -21751 1574 3000 1874 "2" "2" 0x00000100] + Pad[-34772 -19192 -30346 -19192 1574 3000 1874 "3" "3" 0x00000100] + Pad[-34772 -16633 -30346 -16633 1574 3000 1874 "4" "4" 0x00000100] + Pad[-34772 -14074 -30346 -14074 1574 3000 1874 "5" "5" 0x00000100] + Pad[-34772 -11515 -30346 -11515 1574 3000 1874 "6" "6" 0x00000100] + Pad[-34772 -8956 -30346 -8956 1574 3000 1874 "7" "7" 0x00000100] + Pad[-34772 -6397 -30346 -6397 1574 3000 1874 "8" "8" 0x00000100] + Pad[-34772 -3838 -30346 -3838 1574 3000 1874 "9" "9" 0x00000100] + Pad[-34772 -1279 -30346 -1279 1574 3000 1874 "10" "10" 0x00000100] + Pad[-34772 1280 -30346 1280 1574 3000 1874 "11" "11" 0x00000100] + Pad[-34772 3839 -30346 3839 1574 3000 1874 "12" "12" 0x00000100] + Pad[-34772 6398 -30346 6398 1574 3000 1874 "13" "13" 0x00000100] + Pad[-34772 8957 -30346 8957 1574 3000 1874 "14" "14" 0x00000100] + Pad[-34772 11516 -30346 11516 1574 3000 1874 "15" "15" 0x00000100] + Pad[-34772 14075 -30346 14075 1574 3000 1874 "16" "16" 0x00000100] + Pad[-34772 16634 -30346 16634 1574 3000 1874 "17" "17" 0x00000100] + Pad[-34772 19193 -30346 19193 1574 3000 1874 "18" "18" 0x00000100] + Pad[-34772 21752 -30346 21752 1574 3000 1874 "19" "19" 0x00000100] + Pad[-34772 24311 -30346 24311 1574 3000 1874 "20" "20" 0x00000100] + # bottom row + Pad[-24310 34772 -24310 30346 1574 3000 1874 "21" "21" 0x00000900] + Pad[-21751 34772 -21751 30346 1574 3000 1874 "22" "22" 0x00000900] + Pad[-19192 34772 -19192 30346 1574 3000 1874 "23" "23" 0x00000900] + Pad[-16633 34772 -16633 30346 1574 3000 1874 "24" "24" 0x00000900] + Pad[-14074 34772 -14074 30346 1574 3000 1874 "25" "25" 0x00000900] + Pad[-11515 34772 -11515 30346 1574 3000 1874 "26" "26" 0x00000900] + Pad[-8956 34772 -8956 30346 1574 3000 1874 "27" "27" 0x00000900] + Pad[-6397 34772 -6397 30346 1574 3000 1874 "28" "28" 0x00000900] + Pad[-3838 34772 -3838 30346 1574 3000 1874 "29" "29" 0x00000900] + Pad[-1279 34772 -1279 30346 1574 3000 1874 "30" "30" 0x00000900] + Pad[1280 34772 1280 30346 1574 3000 1874 "31" "31" 0x00000900] + Pad[3839 34772 3839 30346 1574 3000 1874 "32" "32" 0x00000900] + Pad[6398 34772 6398 30346 1574 3000 1874 "33" "33" 0x00000900] + Pad[8957 34772 8957 30346 1574 3000 1874 "34" "34" 0x00000900] + Pad[11516 34772 11516 30346 1574 3000 1874 "35" "35" 0x00000900] + Pad[14075 34772 14075 30346 1574 3000 1874 "36" "36" 0x00000900] + Pad[16634 34772 16634 30346 1574 3000 1874 "37" "37" 0x00000900] + Pad[19193 34772 19193 30346 1574 3000 1874 "38" "38" 0x00000900] + Pad[21752 34772 21752 30346 1574 3000 1874 "39" "39" 0x00000900] + Pad[24311 34772 24311 30346 1574 3000 1874 "40" "40" 0x00000900] + # right row + Pad[34772 24310 30346 24310 1574 3000 1874 "41" "41" 0x00000100] + Pad[34772 21751 30346 21751 1574 3000 1874 "42" "42" 0x00000100] + Pad[34772 19192 30346 19192 1574 3000 1874 "43" "43" 0x00000100] + Pad[34772 16633 30346 16633 1574 3000 1874 "44" "44" 0x00000100] + Pad[34772 14074 30346 14074 1574 3000 1874 "45" "45" 0x00000100] + Pad[34772 11515 30346 11515 1574 3000 1874 "46" "46" 0x00000100] + Pad[34772 8956 30346 8956 1574 3000 1874 "47" "47" 0x00000100] + Pad[34772 6397 30346 6397 1574 3000 1874 "48" "48" 0x00000100] + Pad[34772 3838 30346 3838 1574 3000 1874 "49" "49" 0x00000100] + Pad[34772 1279 30346 1279 1574 3000 1874 "50" "50" 0x00000100] + Pad[34772 -1280 30346 -1280 1574 3000 1874 "51" "51" 0x00000100] + Pad[34772 -3839 30346 -3839 1574 3000 1874 "52" "52" 0x00000100] + Pad[34772 -6398 30346 -6398 1574 3000 1874 "53" "53" 0x00000100] + Pad[34772 -8957 30346 -8957 1574 3000 1874 "54" "54" 0x00000100] + Pad[34772 -11516 30346 -11516 1574 3000 1874 "55" "55" 0x00000100] + Pad[34772 -14075 30346 -14075 1574 3000 1874 "56" "56" 0x00000100] + Pad[34772 -16634 30346 -16634 1574 3000 1874 "57" "57" 0x00000100] + Pad[34772 -19193 30346 -19193 1574 3000 1874 "58" "58" 0x00000100] + Pad[34772 -21752 30346 -21752 1574 3000 1874 "59" "59" 0x00000100] + Pad[34772 -24311 30346 -24311 1574 3000 1874 "60" "60" 0x00000100] + # top row + Pad[24310 -34772 24310 -30346 1574 3000 1874 "61" "61" 0x00000900] + Pad[21751 -34772 21751 -30346 1574 3000 1874 "62" "62" 0x00000900] + Pad[19192 -34772 19192 -30346 1574 3000 1874 "63" "63" 0x00000900] + Pad[16633 -34772 16633 -30346 1574 3000 1874 "64" "64" 0x00000900] + Pad[14074 -34772 14074 -30346 1574 3000 1874 "65" "65" 0x00000900] + Pad[11515 -34772 11515 -30346 1574 3000 1874 "66" "66" 0x00000900] + Pad[8956 -34772 8956 -30346 1574 3000 1874 "67" "67" 0x00000900] + Pad[6397 -34772 6397 -30346 1574 3000 1874 "68" "68" 0x00000900] + Pad[3838 -34772 3838 -30346 1574 3000 1874 "69" "69" 0x00000900] + Pad[1279 -34772 1279 -30346 1574 3000 1874 "70" "70" 0x00000900] + Pad[-1280 -34772 -1280 -30346 1574 3000 1874 "71" "71" 0x00000900] + Pad[-3839 -34772 -3839 -30346 1574 3000 1874 "72" "72" 0x00000900] + Pad[-6398 -34772 -6398 -30346 1574 3000 1874 "73" "73" 0x00000900] + Pad[-8957 -34772 -8957 -30346 1574 3000 1874 "74" "74" 0x00000900] + Pad[-11516 -34772 -11516 -30346 1574 3000 1874 "75" "75" 0x00000900] + Pad[-14075 -34772 -14075 -30346 1574 3000 1874 "76" "76" 0x00000900] + Pad[-16634 -34772 -16634 -30346 1574 3000 1874 "77" "77" 0x00000900] + Pad[-19193 -34772 -19193 -30346 1574 3000 1874 "78" "78" 0x00000900] + Pad[-21752 -34772 -21752 -30346 1574 3000 1874 "79" "79" 0x00000900] + Pad[-24311 -34772 -24311 -30346 1574 3000 1874 "80" "80" 0x00000900] + # exposed paddle (if this is an exposed paddle part) + # top + ElementLine[-24259 -27159 27159 -27159 800] + # right + ElementLine[27159 -27159 27159 27159 800] + # bottom + ElementLine[27159 27159 -27159 27159 800] + # left + ElementLine[-27159 27159 -27159 -24259 800] + # angled corner + ElementLine[-27159 -24259 -24259 -27159 800] + ElementArc[-24259 -24259 1000 1000 0 360 800] +) Index: oldlib/lib/pcblib-newlib/geda/TSOP28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSOP28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSOP28.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "Thin small outline package" "" "TSOP28" 289 50 3 100 0x00) +( + Pad(6 10 32 10 12 "1" 0x00) + Pad(6 32 32 32 12 "2" 0x100) + Pad(6 54 32 54 12 "3" 0x100) + Pad(6 75 32 75 12 "4" 0x100) + Pad(6 97 32 97 12 "5" 0x100) + Pad(6 119 32 119 12 "6" 0x100) + Pad(6 140 32 140 12 "7" 0x100) + Pad(6 162 32 162 12 "8" 0x100) + Pad(6 184 32 184 12 "9" 0x100) + Pad(6 205 32 205 12 "10" 0x100) + Pad(6 227 32 227 12 "11" 0x100) + Pad(6 248 32 248 12 "12" 0x100) + Pad(6 270 32 270 12 "13" 0x100) + Pad(6 292 32 292 12 "14" 0x100) + Pad(507 293 533 293 12 "15" 0x100) + Pad(507 271 533 271 12 "16" 0x100) + Pad(507 249 533 249 12 "17" 0x100) + Pad(507 228 533 228 12 "18" 0x100) + Pad(507 206 533 206 12 "19" 0x100) + Pad(507 184 533 184 12 "20" 0x100) + Pad(507 163 533 163 12 "21" 0x100) + Pad(507 141 533 141 12 "22" 0x100) + Pad(507 119 533 119 12 "23" 0x100) + Pad(507 98 533 98 12 "24" 0x100) + Pad(507 76 533 76 12 "25" 0x100) + Pad(507 55 533 55 12 "26" 0x100) + Pad(507 33 533 33 12 "27" 0x100) + Pad(507 11 533 11 12 "28" 0x100) + ElementLine(-10 -10 248 -10 10) + ElementArc(269 -10 21 21 0 180 10) + ElementLine(290 -10 549 -10 10) + ElementLine(549 -10 549 313 10) + ElementLine(549 313 -10 313 10) + ElementLine(-10 313 -10 -10 10) + Mark(22 10) +) Index: oldlib/lib/pcblib-newlib/geda/TSOP32A.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSOP32A.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSOP32A.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "Thin small outline package" "" "TSOP32A" 419 50 3 100 0x00) +( + Pad(6 10 32 10 12 "1" 0x00) + Pad(6 30 32 30 12 "2" 0x100) + Pad(6 50 32 50 12 "3" 0x100) + Pad(6 70 32 70 12 "4" 0x100) + Pad(6 90 32 90 12 "5" 0x100) + Pad(6 110 32 110 12 "6" 0x100) + Pad(6 130 32 130 12 "7" 0x100) + Pad(6 150 32 150 12 "8" 0x100) + Pad(6 170 32 170 12 "9" 0x100) + Pad(6 190 32 190 12 "10" 0x100) + Pad(6 210 32 210 12 "11" 0x100) + Pad(6 230 32 230 12 "12" 0x100) + Pad(6 250 32 250 12 "13" 0x100) + Pad(6 270 32 270 12 "14" 0x100) + Pad(6 290 32 290 12 "15" 0x100) + Pad(6 310 32 310 12 "16" 0x100) + Pad(767 310 793 310 12 "17" 0x100) + Pad(767 290 793 290 12 "18" 0x100) + Pad(767 270 793 270 12 "19" 0x100) + Pad(767 250 793 250 12 "20" 0x100) + Pad(767 230 793 230 12 "21" 0x100) + Pad(767 210 793 210 12 "22" 0x100) + Pad(767 190 793 190 12 "23" 0x100) + Pad(767 170 793 170 12 "24" 0x100) + Pad(767 150 793 150 12 "25" 0x100) + Pad(767 130 793 130 12 "26" 0x100) + Pad(767 110 793 110 12 "27" 0x100) + Pad(767 90 793 90 12 "28" 0x100) + Pad(767 70 793 70 12 "29" 0x100) + Pad(767 50 793 50 12 "30" 0x100) + Pad(767 30 793 30 12 "31" 0x100) + Pad(767 10 793 10 12 "32" 0x100) + ElementLine(-10 -10 379 -10 10) + ElementArc(399 -10 20 20 0 180 10) + ElementLine(419 -10 809 -10 10) + ElementLine(809 -10 809 330 10) + ElementLine(809 330 -10 330 10) + ElementLine(-10 330 -10 -10 10) + Mark(22 10) +) Index: oldlib/lib/pcblib-newlib/geda/TSOP32B.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSOP32B.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSOP32B.fp (revision 1033) @@ -0,0 +1,42 @@ +Element(0x00 "Thin small outline package" "" "TSOP32B" 301 50 3 100 0x00) +( + Pad(6 10 32 10 12 "1" 0x00) + Pad(6 30 32 30 12 "2" 0x100) + Pad(6 50 32 50 12 "3" 0x100) + Pad(6 70 32 70 12 "4" 0x100) + Pad(6 90 32 90 12 "5" 0x100) + Pad(6 110 32 110 12 "6" 0x100) + Pad(6 130 32 130 12 "7" 0x100) + Pad(6 150 32 150 12 "8" 0x100) + Pad(6 170 32 170 12 "9" 0x100) + Pad(6 190 32 190 12 "10" 0x100) + Pad(6 210 32 210 12 "11" 0x100) + Pad(6 230 32 230 12 "12" 0x100) + Pad(6 250 32 250 12 "13" 0x100) + Pad(6 270 32 270 12 "14" 0x100) + Pad(6 290 32 290 12 "15" 0x100) + Pad(6 310 32 310 12 "16" 0x100) + Pad(531 310 557 310 12 "17" 0x100) + Pad(531 290 557 290 12 "18" 0x100) + Pad(531 270 557 270 12 "19" 0x100) + Pad(531 250 557 250 12 "20" 0x100) + Pad(531 230 557 230 12 "21" 0x100) + Pad(531 210 557 210 12 "22" 0x100) + Pad(531 190 557 190 12 "23" 0x100) + Pad(531 170 557 170 12 "24" 0x100) + Pad(531 150 557 150 12 "25" 0x100) + Pad(531 130 557 130 12 "26" 0x100) + Pad(531 110 557 110 12 "27" 0x100) + Pad(531 90 557 90 12 "28" 0x100) + Pad(531 70 557 70 12 "29" 0x100) + Pad(531 50 557 50 12 "30" 0x100) + Pad(531 30 557 30 12 "31" 0x100) + Pad(531 10 557 10 12 "32" 0x100) + ElementLine(-10 -10 261 -10 10) + ElementArc(281 -10 20 20 0 180 10) + ElementLine(301 -10 573 -10 10) + ElementLine(573 -10 573 330 10) + ElementLine(573 330 -10 330 10) + ElementLine(-10 330 -10 -10 10) + Mark(22 10) +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP10.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP10.fp (revision 1033) @@ -0,0 +1,55 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, narrow (3mm)" "" "TSSOP10" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -11220 -3937 + -6496 -3937 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -11220 -1968 + -6496 -1968 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -11220 0 + -6496 0 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -11220 1968 + -6496 1968 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ -11220 3937 + -6496 3937 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ 11220 3937 + 6496 3937 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ 11220 1968 + 6496 1968 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ 11220 0 + 6496 0 + 1181 1000 2181 "8" "8" 0x00000100] + Pad[ 11220 -1968 + 6496 -1968 + 1181 1000 2181 "9" "9" 0x00000100] + Pad[ 11220 -3937 + 6496 -3937 + 1181 1000 2181 "10" "10" 0x00000100] + ElementLine[-12811 -5527 -12811 5527 1000] + ElementLine[-12811 5527 12811 5527 1000] + ElementLine[ 12811 5527 12811 -5527 1000] + ElementLine[-12811 -5527 -2500 -5527 1000] + ElementLine[ 12811 -5527 2500 -5527 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -5527 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP14.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP14" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13917 -7677 + -9311 -7677 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -13917 -5118 + -9311 -5118 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -13917 -2559 + -9311 -2559 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -13917 0 + -9311 0 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ -13917 2559 + -9311 2559 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ -13917 5118 + -9311 5118 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ -13917 7677 + -9311 7677 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ 13917 7677 + 9311 7677 + 1299 1000 2299 "8" "8" 0x00000100] + Pad[ 13917 5118 + 9311 5118 + 1299 1000 2299 "9" "9" 0x00000100] + Pad[ 13917 2559 + 9311 2559 + 1299 1000 2299 "10" "10" 0x00000100] + Pad[ 13917 0 + 9311 0 + 1299 1000 2299 "11" "11" 0x00000100] + Pad[ 13917 -2559 + 9311 -2559 + 1299 1000 2299 "12" "12" 0x00000100] + Pad[ 13917 -5118 + 9311 -5118 + 1299 1000 2299 "13" "13" 0x00000100] + Pad[ 13917 -7677 + 9311 -7677 + 1299 1000 2299 "14" "14" 0x00000100] + ElementLine[-15566 -9326 -15566 9326 1000] + ElementLine[-15566 9326 15566 9326 1000] + ElementLine[ 15566 9326 15566 -9326 1000] + ElementLine[-15566 -9326 -2500 -9326 1000] + ElementLine[ 15566 -9326 2500 -9326 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -9326 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP16.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP16" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13917 -8956 + -9311 -8956 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -13917 -6397 + -9311 -6397 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -13917 -3838 + -9311 -3838 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -13917 -1279 + -9311 -1279 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ -13917 1279 + -9311 1279 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ -13917 3838 + -9311 3838 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ -13917 6397 + -9311 6397 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ -13917 8956 + -9311 8956 + 1299 1000 2299 "8" "8" 0x00000100] + Pad[ 13917 8956 + 9311 8956 + 1299 1000 2299 "9" "9" 0x00000100] + Pad[ 13917 6397 + 9311 6397 + 1299 1000 2299 "10" "10" 0x00000100] + Pad[ 13917 3838 + 9311 3838 + 1299 1000 2299 "11" "11" 0x00000100] + Pad[ 13917 1279 + 9311 1279 + 1299 1000 2299 "12" "12" 0x00000100] + Pad[ 13917 -1279 + 9311 -1279 + 1299 1000 2299 "13" "13" 0x00000100] + Pad[ 13917 -3838 + 9311 -3838 + 1299 1000 2299 "14" "14" 0x00000100] + Pad[ 13917 -6397 + 9311 -6397 + 1299 1000 2299 "15" "15" 0x00000100] + Pad[ 13917 -8956 + 9311 -8956 + 1299 1000 2299 "16" "16" 0x00000100] + ElementLine[-15566 -10606 -15566 10606 1000] + ElementLine[-15566 10606 15566 10606 1000] + ElementLine[ 15566 10606 15566 -10606 1000] + ElementLine[-15566 -10606 -2500 -10606 1000] + ElementLine[ 15566 -10606 2500 -10606 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -10606 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP20.fp (revision 1033) @@ -0,0 +1,85 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP20" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13917 -11515 + -9311 -11515 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -13917 -8956 + -9311 -8956 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -13917 -6397 + -9311 -6397 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -13917 -3838 + -9311 -3838 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ -13917 -1279 + -9311 -1279 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ -13917 1279 + -9311 1279 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ -13917 3838 + -9311 3838 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ -13917 6397 + -9311 6397 + 1299 1000 2299 "8" "8" 0x00000100] + Pad[ -13917 8956 + -9311 8956 + 1299 1000 2299 "9" "9" 0x00000100] + Pad[ -13917 11515 + -9311 11515 + 1299 1000 2299 "10" "10" 0x00000100] + Pad[ 13917 11515 + 9311 11515 + 1299 1000 2299 "11" "11" 0x00000100] + Pad[ 13917 8956 + 9311 8956 + 1299 1000 2299 "12" "12" 0x00000100] + Pad[ 13917 6397 + 9311 6397 + 1299 1000 2299 "13" "13" 0x00000100] + Pad[ 13917 3838 + 9311 3838 + 1299 1000 2299 "14" "14" 0x00000100] + Pad[ 13917 1279 + 9311 1279 + 1299 1000 2299 "15" "15" 0x00000100] + Pad[ 13917 -1279 + 9311 -1279 + 1299 1000 2299 "16" "16" 0x00000100] + Pad[ 13917 -3838 + 9311 -3838 + 1299 1000 2299 "17" "17" 0x00000100] + Pad[ 13917 -6397 + 9311 -6397 + 1299 1000 2299 "18" "18" 0x00000100] + Pad[ 13917 -8956 + 9311 -8956 + 1299 1000 2299 "19" "19" 0x00000100] + Pad[ 13917 -11515 + 9311 -11515 + 1299 1000 2299 "20" "20" 0x00000100] + ElementLine[-15566 -13165 -15566 13165 1000] + ElementLine[-15566 13165 15566 13165 1000] + ElementLine[ 15566 13165 15566 -13165 1000] + ElementLine[-15566 -13165 -2500 -13165 1000] + ElementLine[ 15566 -13165 2500 -13165 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -13165 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP24.fp (revision 1033) @@ -0,0 +1,97 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP24" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13917 -14074 + -9311 -14074 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -13917 -11515 + -9311 -11515 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -13917 -8956 + -9311 -8956 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -13917 -6397 + -9311 -6397 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ -13917 -3838 + -9311 -3838 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ -13917 -1279 + -9311 -1279 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ -13917 1279 + -9311 1279 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ -13917 3838 + -9311 3838 + 1299 1000 2299 "8" "8" 0x00000100] + Pad[ -13917 6397 + -9311 6397 + 1299 1000 2299 "9" "9" 0x00000100] + Pad[ -13917 8956 + -9311 8956 + 1299 1000 2299 "10" "10" 0x00000100] + Pad[ -13917 11515 + -9311 11515 + 1299 1000 2299 "11" "11" 0x00000100] + Pad[ -13917 14074 + -9311 14074 + 1299 1000 2299 "12" "12" 0x00000100] + Pad[ 13917 14074 + 9311 14074 + 1299 1000 2299 "13" "13" 0x00000100] + Pad[ 13917 11515 + 9311 11515 + 1299 1000 2299 "14" "14" 0x00000100] + Pad[ 13917 8956 + 9311 8956 + 1299 1000 2299 "15" "15" 0x00000100] + Pad[ 13917 6397 + 9311 6397 + 1299 1000 2299 "16" "16" 0x00000100] + Pad[ 13917 3838 + 9311 3838 + 1299 1000 2299 "17" "17" 0x00000100] + Pad[ 13917 1279 + 9311 1279 + 1299 1000 2299 "18" "18" 0x00000100] + Pad[ 13917 -1279 + 9311 -1279 + 1299 1000 2299 "19" "19" 0x00000100] + Pad[ 13917 -3838 + 9311 -3838 + 1299 1000 2299 "20" "20" 0x00000100] + Pad[ 13917 -6397 + 9311 -6397 + 1299 1000 2299 "21" "21" 0x00000100] + Pad[ 13917 -8956 + 9311 -8956 + 1299 1000 2299 "22" "22" 0x00000100] + Pad[ 13917 -11515 + 9311 -11515 + 1299 1000 2299 "23" "23" 0x00000100] + Pad[ 13917 -14074 + 9311 -14074 + 1299 1000 2299 "24" "24" 0x00000100] + ElementLine[-15566 -15724 -15566 15724 1000] + ElementLine[-15566 15724 15566 15724 1000] + ElementLine[ 15566 15724 15566 -15724 1000] + ElementLine[-15566 -15724 -2500 -15724 1000] + ElementLine[ 15566 -15724 2500 -15724 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -15724 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP28.fp (revision 1033) @@ -0,0 +1,109 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP28" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13917 -16633 + -9311 -16633 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -13917 -14074 + -9311 -14074 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -13917 -11515 + -9311 -11515 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -13917 -8956 + -9311 -8956 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ -13917 -6397 + -9311 -6397 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ -13917 -3838 + -9311 -3838 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ -13917 -1279 + -9311 -1279 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ -13917 1279 + -9311 1279 + 1299 1000 2299 "8" "8" 0x00000100] + Pad[ -13917 3838 + -9311 3838 + 1299 1000 2299 "9" "9" 0x00000100] + Pad[ -13917 6397 + -9311 6397 + 1299 1000 2299 "10" "10" 0x00000100] + Pad[ -13917 8956 + -9311 8956 + 1299 1000 2299 "11" "11" 0x00000100] + Pad[ -13917 11515 + -9311 11515 + 1299 1000 2299 "12" "12" 0x00000100] + Pad[ -13917 14074 + -9311 14074 + 1299 1000 2299 "13" "13" 0x00000100] + Pad[ -13917 16633 + -9311 16633 + 1299 1000 2299 "14" "14" 0x00000100] + Pad[ 13917 16633 + 9311 16633 + 1299 1000 2299 "15" "15" 0x00000100] + Pad[ 13917 14074 + 9311 14074 + 1299 1000 2299 "16" "16" 0x00000100] + Pad[ 13917 11515 + 9311 11515 + 1299 1000 2299 "17" "17" 0x00000100] + Pad[ 13917 8956 + 9311 8956 + 1299 1000 2299 "18" "18" 0x00000100] + Pad[ 13917 6397 + 9311 6397 + 1299 1000 2299 "19" "19" 0x00000100] + Pad[ 13917 3838 + 9311 3838 + 1299 1000 2299 "20" "20" 0x00000100] + Pad[ 13917 1279 + 9311 1279 + 1299 1000 2299 "21" "21" 0x00000100] + Pad[ 13917 -1279 + 9311 -1279 + 1299 1000 2299 "22" "22" 0x00000100] + Pad[ 13917 -3838 + 9311 -3838 + 1299 1000 2299 "23" "23" 0x00000100] + Pad[ 13917 -6397 + 9311 -6397 + 1299 1000 2299 "24" "24" 0x00000100] + Pad[ 13917 -8956 + 9311 -8956 + 1299 1000 2299 "25" "25" 0x00000100] + Pad[ 13917 -11515 + 9311 -11515 + 1299 1000 2299 "26" "26" 0x00000100] + Pad[ 13917 -14074 + 9311 -14074 + 1299 1000 2299 "27" "27" 0x00000100] + Pad[ 13917 -16633 + 9311 -16633 + 1299 1000 2299 "28" "28" 0x00000100] + ElementLine[-15566 -18283 -15566 18283 1000] + ElementLine[-15566 18283 15566 18283 1000] + ElementLine[ 15566 18283 15566 -18283 1000] + ElementLine[-15566 -18283 -2500 -18283 1000] + ElementLine[ 15566 -18283 2500 -18283 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -18283 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP32.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP32.fp (revision 1033) @@ -0,0 +1,121 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, wide (6.1mm)" "" "TSSOP32" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17263 -19192 + -12657 -19192 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -17263 -16633 + -12657 -16633 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -17263 -14074 + -12657 -14074 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -17263 -11515 + -12657 -11515 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ -17263 -8956 + -12657 -8956 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ -17263 -6397 + -12657 -6397 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ -17263 -3838 + -12657 -3838 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ -17263 -1279 + -12657 -1279 + 1299 1000 2299 "8" "8" 0x00000100] + Pad[ -17263 1279 + -12657 1279 + 1299 1000 2299 "9" "9" 0x00000100] + Pad[ -17263 3838 + -12657 3838 + 1299 1000 2299 "10" "10" 0x00000100] + Pad[ -17263 6397 + -12657 6397 + 1299 1000 2299 "11" "11" 0x00000100] + Pad[ -17263 8956 + -12657 8956 + 1299 1000 2299 "12" "12" 0x00000100] + Pad[ -17263 11515 + -12657 11515 + 1299 1000 2299 "13" "13" 0x00000100] + Pad[ -17263 14074 + -12657 14074 + 1299 1000 2299 "14" "14" 0x00000100] + Pad[ -17263 16633 + -12657 16633 + 1299 1000 2299 "15" "15" 0x00000100] + Pad[ -17263 19192 + -12657 19192 + 1299 1000 2299 "16" "16" 0x00000100] + Pad[ 17263 19192 + 12657 19192 + 1299 1000 2299 "17" "17" 0x00000100] + Pad[ 17263 16633 + 12657 16633 + 1299 1000 2299 "18" "18" 0x00000100] + Pad[ 17263 14074 + 12657 14074 + 1299 1000 2299 "19" "19" 0x00000100] + Pad[ 17263 11515 + 12657 11515 + 1299 1000 2299 "20" "20" 0x00000100] + Pad[ 17263 8956 + 12657 8956 + 1299 1000 2299 "21" "21" 0x00000100] + Pad[ 17263 6397 + 12657 6397 + 1299 1000 2299 "22" "22" 0x00000100] + Pad[ 17263 3838 + 12657 3838 + 1299 1000 2299 "23" "23" 0x00000100] + Pad[ 17263 1279 + 12657 1279 + 1299 1000 2299 "24" "24" 0x00000100] + Pad[ 17263 -1279 + 12657 -1279 + 1299 1000 2299 "25" "25" 0x00000100] + Pad[ 17263 -3838 + 12657 -3838 + 1299 1000 2299 "26" "26" 0x00000100] + Pad[ 17263 -6397 + 12657 -6397 + 1299 1000 2299 "27" "27" 0x00000100] + Pad[ 17263 -8956 + 12657 -8956 + 1299 1000 2299 "28" "28" 0x00000100] + Pad[ 17263 -11515 + 12657 -11515 + 1299 1000 2299 "29" "29" 0x00000100] + Pad[ 17263 -14074 + 12657 -14074 + 1299 1000 2299 "30" "30" 0x00000100] + Pad[ 17263 -16633 + 12657 -16633 + 1299 1000 2299 "31" "31" 0x00000100] + Pad[ 17263 -19192 + 12657 -19192 + 1299 1000 2299 "32" "32" 0x00000100] + ElementLine[-18913 -20842 -18913 20842 1000] + ElementLine[-18913 20842 18913 20842 1000] + ElementLine[ 18913 20842 18913 -20842 1000] + ElementLine[-18913 -20842 -2500 -20842 1000] + ElementLine[ 18913 -20842 2500 -20842 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -20842 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP38N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP38N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP38N.fp (revision 1033) @@ -0,0 +1,139 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP38N" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13976 -17716 + -9251 -17716 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -13976 -15748 + -9251 -15748 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -13976 -13779 + -9251 -13779 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -13976 -11811 + -9251 -11811 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ -13976 -9842 + -9251 -9842 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ -13976 -7874 + -9251 -7874 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ -13976 -5905 + -9251 -5905 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ -13976 -3937 + -9251 -3937 + 1181 1000 2181 "8" "8" 0x00000100] + Pad[ -13976 -1968 + -9251 -1968 + 1181 1000 2181 "9" "9" 0x00000100] + Pad[ -13976 0 + -9251 0 + 1181 1000 2181 "10" "10" 0x00000100] + Pad[ -13976 1968 + -9251 1968 + 1181 1000 2181 "11" "11" 0x00000100] + Pad[ -13976 3937 + -9251 3937 + 1181 1000 2181 "12" "12" 0x00000100] + Pad[ -13976 5905 + -9251 5905 + 1181 1000 2181 "13" "13" 0x00000100] + Pad[ -13976 7874 + -9251 7874 + 1181 1000 2181 "14" "14" 0x00000100] + Pad[ -13976 9842 + -9251 9842 + 1181 1000 2181 "15" "15" 0x00000100] + Pad[ -13976 11811 + -9251 11811 + 1181 1000 2181 "16" "16" 0x00000100] + Pad[ -13976 13779 + -9251 13779 + 1181 1000 2181 "17" "17" 0x00000100] + Pad[ -13976 15748 + -9251 15748 + 1181 1000 2181 "18" "18" 0x00000100] + Pad[ -13976 17716 + -9251 17716 + 1181 1000 2181 "19" "19" 0x00000100] + Pad[ 13976 17716 + 9251 17716 + 1181 1000 2181 "20" "20" 0x00000100] + Pad[ 13976 15748 + 9251 15748 + 1181 1000 2181 "21" "21" 0x00000100] + Pad[ 13976 13779 + 9251 13779 + 1181 1000 2181 "22" "22" 0x00000100] + Pad[ 13976 11811 + 9251 11811 + 1181 1000 2181 "23" "23" 0x00000100] + Pad[ 13976 9842 + 9251 9842 + 1181 1000 2181 "24" "24" 0x00000100] + Pad[ 13976 7874 + 9251 7874 + 1181 1000 2181 "25" "25" 0x00000100] + Pad[ 13976 5905 + 9251 5905 + 1181 1000 2181 "26" "26" 0x00000100] + Pad[ 13976 3937 + 9251 3937 + 1181 1000 2181 "27" "27" 0x00000100] + Pad[ 13976 1968 + 9251 1968 + 1181 1000 2181 "28" "28" 0x00000100] + Pad[ 13976 0 + 9251 0 + 1181 1000 2181 "29" "29" 0x00000100] + Pad[ 13976 -1968 + 9251 -1968 + 1181 1000 2181 "30" "30" 0x00000100] + Pad[ 13976 -3937 + 9251 -3937 + 1181 1000 2181 "31" "31" 0x00000100] + Pad[ 13976 -5905 + 9251 -5905 + 1181 1000 2181 "32" "32" 0x00000100] + Pad[ 13976 -7874 + 9251 -7874 + 1181 1000 2181 "33" "33" 0x00000100] + Pad[ 13976 -9842 + 9251 -9842 + 1181 1000 2181 "34" "34" 0x00000100] + Pad[ 13976 -11811 + 9251 -11811 + 1181 1000 2181 "35" "35" 0x00000100] + Pad[ 13976 -13779 + 9251 -13779 + 1181 1000 2181 "36" "36" 0x00000100] + Pad[ 13976 -15748 + 9251 -15748 + 1181 1000 2181 "37" "37" 0x00000100] + Pad[ 13976 -17716 + 9251 -17716 + 1181 1000 2181 "38" "38" 0x00000100] + ElementLine[-15566 -19307 -15566 19307 1000] + ElementLine[-15566 19307 15566 19307 1000] + ElementLine[ 15566 19307 15566 -19307 1000] + ElementLine[-15566 -19307 -2500 -19307 1000] + ElementLine[ 15566 -19307 2500 -19307 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19307 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP48.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP48.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP48.fp (revision 1033) @@ -0,0 +1,169 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, wide (6.1mm)" "" "TSSOP48" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -22637 + -12598 -22637 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -17322 -20669 + -12598 -20669 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -17322 -18700 + -12598 -18700 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -17322 -16732 + -12598 -16732 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ -17322 -14763 + -12598 -14763 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ -17322 -12795 + -12598 -12795 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ -17322 -10826 + -12598 -10826 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ -17322 -8858 + -12598 -8858 + 1181 1000 2181 "8" "8" 0x00000100] + Pad[ -17322 -6889 + -12598 -6889 + 1181 1000 2181 "9" "9" 0x00000100] + Pad[ -17322 -4921 + -12598 -4921 + 1181 1000 2181 "10" "10" 0x00000100] + Pad[ -17322 -2952 + -12598 -2952 + 1181 1000 2181 "11" "11" 0x00000100] + Pad[ -17322 -984 + -12598 -984 + 1181 1000 2181 "12" "12" 0x00000100] + Pad[ -17322 984 + -12598 984 + 1181 1000 2181 "13" "13" 0x00000100] + Pad[ -17322 2952 + -12598 2952 + 1181 1000 2181 "14" "14" 0x00000100] + Pad[ -17322 4921 + -12598 4921 + 1181 1000 2181 "15" "15" 0x00000100] + Pad[ -17322 6889 + -12598 6889 + 1181 1000 2181 "16" "16" 0x00000100] + Pad[ -17322 8858 + -12598 8858 + 1181 1000 2181 "17" "17" 0x00000100] + Pad[ -17322 10826 + -12598 10826 + 1181 1000 2181 "18" "18" 0x00000100] + Pad[ -17322 12795 + -12598 12795 + 1181 1000 2181 "19" "19" 0x00000100] + Pad[ -17322 14763 + -12598 14763 + 1181 1000 2181 "20" "20" 0x00000100] + Pad[ -17322 16732 + -12598 16732 + 1181 1000 2181 "21" "21" 0x00000100] + Pad[ -17322 18700 + -12598 18700 + 1181 1000 2181 "22" "22" 0x00000100] + Pad[ -17322 20669 + -12598 20669 + 1181 1000 2181 "23" "23" 0x00000100] + Pad[ -17322 22637 + -12598 22637 + 1181 1000 2181 "24" "24" 0x00000100] + Pad[ 17322 22637 + 12598 22637 + 1181 1000 2181 "25" "25" 0x00000100] + Pad[ 17322 20669 + 12598 20669 + 1181 1000 2181 "26" "26" 0x00000100] + Pad[ 17322 18700 + 12598 18700 + 1181 1000 2181 "27" "27" 0x00000100] + Pad[ 17322 16732 + 12598 16732 + 1181 1000 2181 "28" "28" 0x00000100] + Pad[ 17322 14763 + 12598 14763 + 1181 1000 2181 "29" "29" 0x00000100] + Pad[ 17322 12795 + 12598 12795 + 1181 1000 2181 "30" "30" 0x00000100] + Pad[ 17322 10826 + 12598 10826 + 1181 1000 2181 "31" "31" 0x00000100] + Pad[ 17322 8858 + 12598 8858 + 1181 1000 2181 "32" "32" 0x00000100] + Pad[ 17322 6889 + 12598 6889 + 1181 1000 2181 "33" "33" 0x00000100] + Pad[ 17322 4921 + 12598 4921 + 1181 1000 2181 "34" "34" 0x00000100] + Pad[ 17322 2952 + 12598 2952 + 1181 1000 2181 "35" "35" 0x00000100] + Pad[ 17322 984 + 12598 984 + 1181 1000 2181 "36" "36" 0x00000100] + Pad[ 17322 -984 + 12598 -984 + 1181 1000 2181 "37" "37" 0x00000100] + Pad[ 17322 -2952 + 12598 -2952 + 1181 1000 2181 "38" "38" 0x00000100] + Pad[ 17322 -4921 + 12598 -4921 + 1181 1000 2181 "39" "39" 0x00000100] + Pad[ 17322 -6889 + 12598 -6889 + 1181 1000 2181 "40" "40" 0x00000100] + Pad[ 17322 -8858 + 12598 -8858 + 1181 1000 2181 "41" "41" 0x00000100] + Pad[ 17322 -10826 + 12598 -10826 + 1181 1000 2181 "42" "42" 0x00000100] + Pad[ 17322 -12795 + 12598 -12795 + 1181 1000 2181 "43" "43" 0x00000100] + Pad[ 17322 -14763 + 12598 -14763 + 1181 1000 2181 "44" "44" 0x00000100] + Pad[ 17322 -16732 + 12598 -16732 + 1181 1000 2181 "45" "45" 0x00000100] + Pad[ 17322 -18700 + 12598 -18700 + 1181 1000 2181 "46" "46" 0x00000100] + Pad[ 17322 -20669 + 12598 -20669 + 1181 1000 2181 "47" "47" 0x00000100] + Pad[ 17322 -22637 + 12598 -22637 + 1181 1000 2181 "48" "48" 0x00000100] + ElementLine[-18913 -24228 -18913 24228 1000] + ElementLine[-18913 24228 18913 24228 1000] + ElementLine[ 18913 24228 18913 -24228 1000] + ElementLine[-18913 -24228 -2500 -24228 1000] + ElementLine[ 18913 -24228 2500 -24228 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -24228 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP48N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP48N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP48N.fp (revision 1033) @@ -0,0 +1,169 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP48N" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -14074 -18110 + -9153 -18110 + 984 1000 1984 "1" "1" 0x00000100] + Pad[ -14074 -16535 + -9153 -16535 + 984 1000 1984 "2" "2" 0x00000100] + Pad[ -14074 -14960 + -9153 -14960 + 984 1000 1984 "3" "3" 0x00000100] + Pad[ -14074 -13385 + -9153 -13385 + 984 1000 1984 "4" "4" 0x00000100] + Pad[ -14074 -11811 + -9153 -11811 + 984 1000 1984 "5" "5" 0x00000100] + Pad[ -14074 -10236 + -9153 -10236 + 984 1000 1984 "6" "6" 0x00000100] + Pad[ -14074 -8661 + -9153 -8661 + 984 1000 1984 "7" "7" 0x00000100] + Pad[ -14074 -7086 + -9153 -7086 + 984 1000 1984 "8" "8" 0x00000100] + Pad[ -14074 -5511 + -9153 -5511 + 984 1000 1984 "9" "9" 0x00000100] + Pad[ -14074 -3937 + -9153 -3937 + 984 1000 1984 "10" "10" 0x00000100] + Pad[ -14074 -2362 + -9153 -2362 + 984 1000 1984 "11" "11" 0x00000100] + Pad[ -14074 -787 + -9153 -787 + 984 1000 1984 "12" "12" 0x00000100] + Pad[ -14074 787 + -9153 787 + 984 1000 1984 "13" "13" 0x00000100] + Pad[ -14074 2362 + -9153 2362 + 984 1000 1984 "14" "14" 0x00000100] + Pad[ -14074 3937 + -9153 3937 + 984 1000 1984 "15" "15" 0x00000100] + Pad[ -14074 5511 + -9153 5511 + 984 1000 1984 "16" "16" 0x00000100] + Pad[ -14074 7086 + -9153 7086 + 984 1000 1984 "17" "17" 0x00000100] + Pad[ -14074 8661 + -9153 8661 + 984 1000 1984 "18" "18" 0x00000100] + Pad[ -14074 10236 + -9153 10236 + 984 1000 1984 "19" "19" 0x00000100] + Pad[ -14074 11811 + -9153 11811 + 984 1000 1984 "20" "20" 0x00000100] + Pad[ -14074 13385 + -9153 13385 + 984 1000 1984 "21" "21" 0x00000100] + Pad[ -14074 14960 + -9153 14960 + 984 1000 1984 "22" "22" 0x00000100] + Pad[ -14074 16535 + -9153 16535 + 984 1000 1984 "23" "23" 0x00000100] + Pad[ -14074 18110 + -9153 18110 + 984 1000 1984 "24" "24" 0x00000100] + Pad[ 14074 18110 + 9153 18110 + 984 1000 1984 "25" "25" 0x00000100] + Pad[ 14074 16535 + 9153 16535 + 984 1000 1984 "26" "26" 0x00000100] + Pad[ 14074 14960 + 9153 14960 + 984 1000 1984 "27" "27" 0x00000100] + Pad[ 14074 13385 + 9153 13385 + 984 1000 1984 "28" "28" 0x00000100] + Pad[ 14074 11811 + 9153 11811 + 984 1000 1984 "29" "29" 0x00000100] + Pad[ 14074 10236 + 9153 10236 + 984 1000 1984 "30" "30" 0x00000100] + Pad[ 14074 8661 + 9153 8661 + 984 1000 1984 "31" "31" 0x00000100] + Pad[ 14074 7086 + 9153 7086 + 984 1000 1984 "32" "32" 0x00000100] + Pad[ 14074 5511 + 9153 5511 + 984 1000 1984 "33" "33" 0x00000100] + Pad[ 14074 3937 + 9153 3937 + 984 1000 1984 "34" "34" 0x00000100] + Pad[ 14074 2362 + 9153 2362 + 984 1000 1984 "35" "35" 0x00000100] + Pad[ 14074 787 + 9153 787 + 984 1000 1984 "36" "36" 0x00000100] + Pad[ 14074 -787 + 9153 -787 + 984 1000 1984 "37" "37" 0x00000100] + Pad[ 14074 -2362 + 9153 -2362 + 984 1000 1984 "38" "38" 0x00000100] + Pad[ 14074 -3937 + 9153 -3937 + 984 1000 1984 "39" "39" 0x00000100] + Pad[ 14074 -5511 + 9153 -5511 + 984 1000 1984 "40" "40" 0x00000100] + Pad[ 14074 -7086 + 9153 -7086 + 984 1000 1984 "41" "41" 0x00000100] + Pad[ 14074 -8661 + 9153 -8661 + 984 1000 1984 "42" "42" 0x00000100] + Pad[ 14074 -10236 + 9153 -10236 + 984 1000 1984 "43" "43" 0x00000100] + Pad[ 14074 -11811 + 9153 -11811 + 984 1000 1984 "44" "44" 0x00000100] + Pad[ 14074 -13385 + 9153 -13385 + 984 1000 1984 "45" "45" 0x00000100] + Pad[ 14074 -14960 + 9153 -14960 + 984 1000 1984 "46" "46" 0x00000100] + Pad[ 14074 -16535 + 9153 -16535 + 984 1000 1984 "47" "47" 0x00000100] + Pad[ 14074 -18110 + 9153 -18110 + 984 1000 1984 "48" "48" 0x00000100] + ElementLine[-15566 -19602 -15566 19602 1000] + ElementLine[-15566 19602 15566 19602 1000] + ElementLine[ 15566 19602 15566 -19602 1000] + ElementLine[-15566 -19602 -2500 -19602 1000] + ElementLine[ 15566 -19602 2500 -19602 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -19602 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP56.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP56.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP56.fp (revision 1033) @@ -0,0 +1,193 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, wide (6.1mm)" "" "TSSOP56" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -26574 + -12598 -26574 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -17322 -24606 + -12598 -24606 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -17322 -22637 + -12598 -22637 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -17322 -20669 + -12598 -20669 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ -17322 -18700 + -12598 -18700 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ -17322 -16732 + -12598 -16732 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ -17322 -14763 + -12598 -14763 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ -17322 -12795 + -12598 -12795 + 1181 1000 2181 "8" "8" 0x00000100] + Pad[ -17322 -10826 + -12598 -10826 + 1181 1000 2181 "9" "9" 0x00000100] + Pad[ -17322 -8858 + -12598 -8858 + 1181 1000 2181 "10" "10" 0x00000100] + Pad[ -17322 -6889 + -12598 -6889 + 1181 1000 2181 "11" "11" 0x00000100] + Pad[ -17322 -4921 + -12598 -4921 + 1181 1000 2181 "12" "12" 0x00000100] + Pad[ -17322 -2952 + -12598 -2952 + 1181 1000 2181 "13" "13" 0x00000100] + Pad[ -17322 -984 + -12598 -984 + 1181 1000 2181 "14" "14" 0x00000100] + Pad[ -17322 984 + -12598 984 + 1181 1000 2181 "15" "15" 0x00000100] + Pad[ -17322 2952 + -12598 2952 + 1181 1000 2181 "16" "16" 0x00000100] + Pad[ -17322 4921 + -12598 4921 + 1181 1000 2181 "17" "17" 0x00000100] + Pad[ -17322 6889 + -12598 6889 + 1181 1000 2181 "18" "18" 0x00000100] + Pad[ -17322 8858 + -12598 8858 + 1181 1000 2181 "19" "19" 0x00000100] + Pad[ -17322 10826 + -12598 10826 + 1181 1000 2181 "20" "20" 0x00000100] + Pad[ -17322 12795 + -12598 12795 + 1181 1000 2181 "21" "21" 0x00000100] + Pad[ -17322 14763 + -12598 14763 + 1181 1000 2181 "22" "22" 0x00000100] + Pad[ -17322 16732 + -12598 16732 + 1181 1000 2181 "23" "23" 0x00000100] + Pad[ -17322 18700 + -12598 18700 + 1181 1000 2181 "24" "24" 0x00000100] + Pad[ -17322 20669 + -12598 20669 + 1181 1000 2181 "25" "25" 0x00000100] + Pad[ -17322 22637 + -12598 22637 + 1181 1000 2181 "26" "26" 0x00000100] + Pad[ -17322 24606 + -12598 24606 + 1181 1000 2181 "27" "27" 0x00000100] + Pad[ -17322 26574 + -12598 26574 + 1181 1000 2181 "28" "28" 0x00000100] + Pad[ 17322 26574 + 12598 26574 + 1181 1000 2181 "29" "29" 0x00000100] + Pad[ 17322 24606 + 12598 24606 + 1181 1000 2181 "30" "30" 0x00000100] + Pad[ 17322 22637 + 12598 22637 + 1181 1000 2181 "31" "31" 0x00000100] + Pad[ 17322 20669 + 12598 20669 + 1181 1000 2181 "32" "32" 0x00000100] + Pad[ 17322 18700 + 12598 18700 + 1181 1000 2181 "33" "33" 0x00000100] + Pad[ 17322 16732 + 12598 16732 + 1181 1000 2181 "34" "34" 0x00000100] + Pad[ 17322 14763 + 12598 14763 + 1181 1000 2181 "35" "35" 0x00000100] + Pad[ 17322 12795 + 12598 12795 + 1181 1000 2181 "36" "36" 0x00000100] + Pad[ 17322 10826 + 12598 10826 + 1181 1000 2181 "37" "37" 0x00000100] + Pad[ 17322 8858 + 12598 8858 + 1181 1000 2181 "38" "38" 0x00000100] + Pad[ 17322 6889 + 12598 6889 + 1181 1000 2181 "39" "39" 0x00000100] + Pad[ 17322 4921 + 12598 4921 + 1181 1000 2181 "40" "40" 0x00000100] + Pad[ 17322 2952 + 12598 2952 + 1181 1000 2181 "41" "41" 0x00000100] + Pad[ 17322 984 + 12598 984 + 1181 1000 2181 "42" "42" 0x00000100] + Pad[ 17322 -984 + 12598 -984 + 1181 1000 2181 "43" "43" 0x00000100] + Pad[ 17322 -2952 + 12598 -2952 + 1181 1000 2181 "44" "44" 0x00000100] + Pad[ 17322 -4921 + 12598 -4921 + 1181 1000 2181 "45" "45" 0x00000100] + Pad[ 17322 -6889 + 12598 -6889 + 1181 1000 2181 "46" "46" 0x00000100] + Pad[ 17322 -8858 + 12598 -8858 + 1181 1000 2181 "47" "47" 0x00000100] + Pad[ 17322 -10826 + 12598 -10826 + 1181 1000 2181 "48" "48" 0x00000100] + Pad[ 17322 -12795 + 12598 -12795 + 1181 1000 2181 "49" "49" 0x00000100] + Pad[ 17322 -14763 + 12598 -14763 + 1181 1000 2181 "50" "50" 0x00000100] + Pad[ 17322 -16732 + 12598 -16732 + 1181 1000 2181 "51" "51" 0x00000100] + Pad[ 17322 -18700 + 12598 -18700 + 1181 1000 2181 "52" "52" 0x00000100] + Pad[ 17322 -20669 + 12598 -20669 + 1181 1000 2181 "53" "53" 0x00000100] + Pad[ 17322 -22637 + 12598 -22637 + 1181 1000 2181 "54" "54" 0x00000100] + Pad[ 17322 -24606 + 12598 -24606 + 1181 1000 2181 "55" "55" 0x00000100] + Pad[ 17322 -26574 + 12598 -26574 + 1181 1000 2181 "56" "56" 0x00000100] + ElementLine[-18913 -28165 -18913 28165 1000] + ElementLine[-18913 28165 18913 28165 1000] + ElementLine[ 18913 28165 18913 -28165 1000] + ElementLine[-18913 -28165 -2500 -28165 1000] + ElementLine[ 18913 -28165 2500 -28165 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -28165 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP56N.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP56N.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP56N.fp (revision 1033) @@ -0,0 +1,193 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP56N" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -14074 -21259 + -9153 -21259 + 984 1000 1984 "1" "1" 0x00000100] + Pad[ -14074 -19685 + -9153 -19685 + 984 1000 1984 "2" "2" 0x00000100] + Pad[ -14074 -18110 + -9153 -18110 + 984 1000 1984 "3" "3" 0x00000100] + Pad[ -14074 -16535 + -9153 -16535 + 984 1000 1984 "4" "4" 0x00000100] + Pad[ -14074 -14960 + -9153 -14960 + 984 1000 1984 "5" "5" 0x00000100] + Pad[ -14074 -13385 + -9153 -13385 + 984 1000 1984 "6" "6" 0x00000100] + Pad[ -14074 -11811 + -9153 -11811 + 984 1000 1984 "7" "7" 0x00000100] + Pad[ -14074 -10236 + -9153 -10236 + 984 1000 1984 "8" "8" 0x00000100] + Pad[ -14074 -8661 + -9153 -8661 + 984 1000 1984 "9" "9" 0x00000100] + Pad[ -14074 -7086 + -9153 -7086 + 984 1000 1984 "10" "10" 0x00000100] + Pad[ -14074 -5511 + -9153 -5511 + 984 1000 1984 "11" "11" 0x00000100] + Pad[ -14074 -3937 + -9153 -3937 + 984 1000 1984 "12" "12" 0x00000100] + Pad[ -14074 -2362 + -9153 -2362 + 984 1000 1984 "13" "13" 0x00000100] + Pad[ -14074 -787 + -9153 -787 + 984 1000 1984 "14" "14" 0x00000100] + Pad[ -14074 787 + -9153 787 + 984 1000 1984 "15" "15" 0x00000100] + Pad[ -14074 2362 + -9153 2362 + 984 1000 1984 "16" "16" 0x00000100] + Pad[ -14074 3937 + -9153 3937 + 984 1000 1984 "17" "17" 0x00000100] + Pad[ -14074 5511 + -9153 5511 + 984 1000 1984 "18" "18" 0x00000100] + Pad[ -14074 7086 + -9153 7086 + 984 1000 1984 "19" "19" 0x00000100] + Pad[ -14074 8661 + -9153 8661 + 984 1000 1984 "20" "20" 0x00000100] + Pad[ -14074 10236 + -9153 10236 + 984 1000 1984 "21" "21" 0x00000100] + Pad[ -14074 11811 + -9153 11811 + 984 1000 1984 "22" "22" 0x00000100] + Pad[ -14074 13385 + -9153 13385 + 984 1000 1984 "23" "23" 0x00000100] + Pad[ -14074 14960 + -9153 14960 + 984 1000 1984 "24" "24" 0x00000100] + Pad[ -14074 16535 + -9153 16535 + 984 1000 1984 "25" "25" 0x00000100] + Pad[ -14074 18110 + -9153 18110 + 984 1000 1984 "26" "26" 0x00000100] + Pad[ -14074 19685 + -9153 19685 + 984 1000 1984 "27" "27" 0x00000100] + Pad[ -14074 21259 + -9153 21259 + 984 1000 1984 "28" "28" 0x00000100] + Pad[ 14074 21259 + 9153 21259 + 984 1000 1984 "29" "29" 0x00000100] + Pad[ 14074 19685 + 9153 19685 + 984 1000 1984 "30" "30" 0x00000100] + Pad[ 14074 18110 + 9153 18110 + 984 1000 1984 "31" "31" 0x00000100] + Pad[ 14074 16535 + 9153 16535 + 984 1000 1984 "32" "32" 0x00000100] + Pad[ 14074 14960 + 9153 14960 + 984 1000 1984 "33" "33" 0x00000100] + Pad[ 14074 13385 + 9153 13385 + 984 1000 1984 "34" "34" 0x00000100] + Pad[ 14074 11811 + 9153 11811 + 984 1000 1984 "35" "35" 0x00000100] + Pad[ 14074 10236 + 9153 10236 + 984 1000 1984 "36" "36" 0x00000100] + Pad[ 14074 8661 + 9153 8661 + 984 1000 1984 "37" "37" 0x00000100] + Pad[ 14074 7086 + 9153 7086 + 984 1000 1984 "38" "38" 0x00000100] + Pad[ 14074 5511 + 9153 5511 + 984 1000 1984 "39" "39" 0x00000100] + Pad[ 14074 3937 + 9153 3937 + 984 1000 1984 "40" "40" 0x00000100] + Pad[ 14074 2362 + 9153 2362 + 984 1000 1984 "41" "41" 0x00000100] + Pad[ 14074 787 + 9153 787 + 984 1000 1984 "42" "42" 0x00000100] + Pad[ 14074 -787 + 9153 -787 + 984 1000 1984 "43" "43" 0x00000100] + Pad[ 14074 -2362 + 9153 -2362 + 984 1000 1984 "44" "44" 0x00000100] + Pad[ 14074 -3937 + 9153 -3937 + 984 1000 1984 "45" "45" 0x00000100] + Pad[ 14074 -5511 + 9153 -5511 + 984 1000 1984 "46" "46" 0x00000100] + Pad[ 14074 -7086 + 9153 -7086 + 984 1000 1984 "47" "47" 0x00000100] + Pad[ 14074 -8661 + 9153 -8661 + 984 1000 1984 "48" "48" 0x00000100] + Pad[ 14074 -10236 + 9153 -10236 + 984 1000 1984 "49" "49" 0x00000100] + Pad[ 14074 -11811 + 9153 -11811 + 984 1000 1984 "50" "50" 0x00000100] + Pad[ 14074 -13385 + 9153 -13385 + 984 1000 1984 "51" "51" 0x00000100] + Pad[ 14074 -14960 + 9153 -14960 + 984 1000 1984 "52" "52" 0x00000100] + Pad[ 14074 -16535 + 9153 -16535 + 984 1000 1984 "53" "53" 0x00000100] + Pad[ 14074 -18110 + 9153 -18110 + 984 1000 1984 "54" "54" 0x00000100] + Pad[ 14074 -19685 + 9153 -19685 + 984 1000 1984 "55" "55" 0x00000100] + Pad[ 14074 -21259 + 9153 -21259 + 984 1000 1984 "56" "56" 0x00000100] + ElementLine[-15566 -22751 -15566 22751 1000] + ElementLine[-15566 22751 15566 22751 1000] + ElementLine[ 15566 22751 15566 -22751 1000] + ElementLine[-15566 -22751 -2500 -22751 1000] + ElementLine[ 15566 -22751 2500 -22751 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -22751 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP64.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP64.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP64.fp (revision 1033) @@ -0,0 +1,217 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, wide (6.1mm)" "" "TSSOP64" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17322 -30511 + -12598 -30511 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -17322 -28543 + -12598 -28543 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -17322 -26574 + -12598 -26574 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -17322 -24606 + -12598 -24606 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ -17322 -22637 + -12598 -22637 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ -17322 -20669 + -12598 -20669 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ -17322 -18700 + -12598 -18700 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ -17322 -16732 + -12598 -16732 + 1181 1000 2181 "8" "8" 0x00000100] + Pad[ -17322 -14763 + -12598 -14763 + 1181 1000 2181 "9" "9" 0x00000100] + Pad[ -17322 -12795 + -12598 -12795 + 1181 1000 2181 "10" "10" 0x00000100] + Pad[ -17322 -10826 + -12598 -10826 + 1181 1000 2181 "11" "11" 0x00000100] + Pad[ -17322 -8858 + -12598 -8858 + 1181 1000 2181 "12" "12" 0x00000100] + Pad[ -17322 -6889 + -12598 -6889 + 1181 1000 2181 "13" "13" 0x00000100] + Pad[ -17322 -4921 + -12598 -4921 + 1181 1000 2181 "14" "14" 0x00000100] + Pad[ -17322 -2952 + -12598 -2952 + 1181 1000 2181 "15" "15" 0x00000100] + Pad[ -17322 -984 + -12598 -984 + 1181 1000 2181 "16" "16" 0x00000100] + Pad[ -17322 984 + -12598 984 + 1181 1000 2181 "17" "17" 0x00000100] + Pad[ -17322 2952 + -12598 2952 + 1181 1000 2181 "18" "18" 0x00000100] + Pad[ -17322 4921 + -12598 4921 + 1181 1000 2181 "19" "19" 0x00000100] + Pad[ -17322 6889 + -12598 6889 + 1181 1000 2181 "20" "20" 0x00000100] + Pad[ -17322 8858 + -12598 8858 + 1181 1000 2181 "21" "21" 0x00000100] + Pad[ -17322 10826 + -12598 10826 + 1181 1000 2181 "22" "22" 0x00000100] + Pad[ -17322 12795 + -12598 12795 + 1181 1000 2181 "23" "23" 0x00000100] + Pad[ -17322 14763 + -12598 14763 + 1181 1000 2181 "24" "24" 0x00000100] + Pad[ -17322 16732 + -12598 16732 + 1181 1000 2181 "25" "25" 0x00000100] + Pad[ -17322 18700 + -12598 18700 + 1181 1000 2181 "26" "26" 0x00000100] + Pad[ -17322 20669 + -12598 20669 + 1181 1000 2181 "27" "27" 0x00000100] + Pad[ -17322 22637 + -12598 22637 + 1181 1000 2181 "28" "28" 0x00000100] + Pad[ -17322 24606 + -12598 24606 + 1181 1000 2181 "29" "29" 0x00000100] + Pad[ -17322 26574 + -12598 26574 + 1181 1000 2181 "30" "30" 0x00000100] + Pad[ -17322 28543 + -12598 28543 + 1181 1000 2181 "31" "31" 0x00000100] + Pad[ -17322 30511 + -12598 30511 + 1181 1000 2181 "32" "32" 0x00000100] + Pad[ 17322 30511 + 12598 30511 + 1181 1000 2181 "33" "33" 0x00000100] + Pad[ 17322 28543 + 12598 28543 + 1181 1000 2181 "34" "34" 0x00000100] + Pad[ 17322 26574 + 12598 26574 + 1181 1000 2181 "35" "35" 0x00000100] + Pad[ 17322 24606 + 12598 24606 + 1181 1000 2181 "36" "36" 0x00000100] + Pad[ 17322 22637 + 12598 22637 + 1181 1000 2181 "37" "37" 0x00000100] + Pad[ 17322 20669 + 12598 20669 + 1181 1000 2181 "38" "38" 0x00000100] + Pad[ 17322 18700 + 12598 18700 + 1181 1000 2181 "39" "39" 0x00000100] + Pad[ 17322 16732 + 12598 16732 + 1181 1000 2181 "40" "40" 0x00000100] + Pad[ 17322 14763 + 12598 14763 + 1181 1000 2181 "41" "41" 0x00000100] + Pad[ 17322 12795 + 12598 12795 + 1181 1000 2181 "42" "42" 0x00000100] + Pad[ 17322 10826 + 12598 10826 + 1181 1000 2181 "43" "43" 0x00000100] + Pad[ 17322 8858 + 12598 8858 + 1181 1000 2181 "44" "44" 0x00000100] + Pad[ 17322 6889 + 12598 6889 + 1181 1000 2181 "45" "45" 0x00000100] + Pad[ 17322 4921 + 12598 4921 + 1181 1000 2181 "46" "46" 0x00000100] + Pad[ 17322 2952 + 12598 2952 + 1181 1000 2181 "47" "47" 0x00000100] + Pad[ 17322 984 + 12598 984 + 1181 1000 2181 "48" "48" 0x00000100] + Pad[ 17322 -984 + 12598 -984 + 1181 1000 2181 "49" "49" 0x00000100] + Pad[ 17322 -2952 + 12598 -2952 + 1181 1000 2181 "50" "50" 0x00000100] + Pad[ 17322 -4921 + 12598 -4921 + 1181 1000 2181 "51" "51" 0x00000100] + Pad[ 17322 -6889 + 12598 -6889 + 1181 1000 2181 "52" "52" 0x00000100] + Pad[ 17322 -8858 + 12598 -8858 + 1181 1000 2181 "53" "53" 0x00000100] + Pad[ 17322 -10826 + 12598 -10826 + 1181 1000 2181 "54" "54" 0x00000100] + Pad[ 17322 -12795 + 12598 -12795 + 1181 1000 2181 "55" "55" 0x00000100] + Pad[ 17322 -14763 + 12598 -14763 + 1181 1000 2181 "56" "56" 0x00000100] + Pad[ 17322 -16732 + 12598 -16732 + 1181 1000 2181 "57" "57" 0x00000100] + Pad[ 17322 -18700 + 12598 -18700 + 1181 1000 2181 "58" "58" 0x00000100] + Pad[ 17322 -20669 + 12598 -20669 + 1181 1000 2181 "59" "59" 0x00000100] + Pad[ 17322 -22637 + 12598 -22637 + 1181 1000 2181 "60" "60" 0x00000100] + Pad[ 17322 -24606 + 12598 -24606 + 1181 1000 2181 "61" "61" 0x00000100] + Pad[ 17322 -26574 + 12598 -26574 + 1181 1000 2181 "62" "62" 0x00000100] + Pad[ 17322 -28543 + 12598 -28543 + 1181 1000 2181 "63" "63" 0x00000100] + Pad[ 17322 -30511 + 12598 -30511 + 1181 1000 2181 "64" "64" 0x00000100] + ElementLine[-18913 -32102 -18913 32102 1000] + ElementLine[-18913 32102 18913 32102 1000] + ElementLine[ 18913 32102 18913 -32102 1000] + ElementLine[-18913 -32102 -2500 -32102 1000] + ElementLine[ 18913 -32102 2500 -32102 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -32102 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP8.fp (revision 1033) @@ -0,0 +1,49 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, narrow (3mm)" "" "TSSOP8" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -11161 -3838 + -6555 -3838 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -11161 -1279 + -6555 -1279 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -11161 1279 + -6555 1279 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -11161 3838 + -6555 3838 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ 11161 3838 + 6555 3838 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ 11161 1279 + 6555 1279 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ 11161 -1279 + 6555 -1279 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ 11161 -3838 + 6555 -3838 + 1299 1000 2299 "8" "8" 0x00000100] + ElementLine[-12811 -5488 -12811 5488 1000] + ElementLine[-12811 5488 12811 5488 1000] + ElementLine[ 12811 5488 12811 -5488 1000] + ElementLine[-12811 -5488 -2500 -5488 1000] + ElementLine[ 12811 -5488 2500 -5488 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -5488 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP80.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP80.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP80.fp (revision 1033) @@ -0,0 +1,265 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, wide (6.1mm)" "" "TSSOP80" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -17421 -30708 + -12499 -30708 + 984 1000 1984 "1" "1" 0x00000100] + Pad[ -17421 -29133 + -12499 -29133 + 984 1000 1984 "2" "2" 0x00000100] + Pad[ -17421 -27559 + -12499 -27559 + 984 1000 1984 "3" "3" 0x00000100] + Pad[ -17421 -25984 + -12499 -25984 + 984 1000 1984 "4" "4" 0x00000100] + Pad[ -17421 -24409 + -12499 -24409 + 984 1000 1984 "5" "5" 0x00000100] + Pad[ -17421 -22834 + -12499 -22834 + 984 1000 1984 "6" "6" 0x00000100] + Pad[ -17421 -21259 + -12499 -21259 + 984 1000 1984 "7" "7" 0x00000100] + Pad[ -17421 -19685 + -12499 -19685 + 984 1000 1984 "8" "8" 0x00000100] + Pad[ -17421 -18110 + -12499 -18110 + 984 1000 1984 "9" "9" 0x00000100] + Pad[ -17421 -16535 + -12499 -16535 + 984 1000 1984 "10" "10" 0x00000100] + Pad[ -17421 -14960 + -12499 -14960 + 984 1000 1984 "11" "11" 0x00000100] + Pad[ -17421 -13385 + -12499 -13385 + 984 1000 1984 "12" "12" 0x00000100] + Pad[ -17421 -11811 + -12499 -11811 + 984 1000 1984 "13" "13" 0x00000100] + Pad[ -17421 -10236 + -12499 -10236 + 984 1000 1984 "14" "14" 0x00000100] + Pad[ -17421 -8661 + -12499 -8661 + 984 1000 1984 "15" "15" 0x00000100] + Pad[ -17421 -7086 + -12499 -7086 + 984 1000 1984 "16" "16" 0x00000100] + Pad[ -17421 -5511 + -12499 -5511 + 984 1000 1984 "17" "17" 0x00000100] + Pad[ -17421 -3937 + -12499 -3937 + 984 1000 1984 "18" "18" 0x00000100] + Pad[ -17421 -2362 + -12499 -2362 + 984 1000 1984 "19" "19" 0x00000100] + Pad[ -17421 -787 + -12499 -787 + 984 1000 1984 "20" "20" 0x00000100] + Pad[ -17421 787 + -12499 787 + 984 1000 1984 "21" "21" 0x00000100] + Pad[ -17421 2362 + -12499 2362 + 984 1000 1984 "22" "22" 0x00000100] + Pad[ -17421 3937 + -12499 3937 + 984 1000 1984 "23" "23" 0x00000100] + Pad[ -17421 5511 + -12499 5511 + 984 1000 1984 "24" "24" 0x00000100] + Pad[ -17421 7086 + -12499 7086 + 984 1000 1984 "25" "25" 0x00000100] + Pad[ -17421 8661 + -12499 8661 + 984 1000 1984 "26" "26" 0x00000100] + Pad[ -17421 10236 + -12499 10236 + 984 1000 1984 "27" "27" 0x00000100] + Pad[ -17421 11811 + -12499 11811 + 984 1000 1984 "28" "28" 0x00000100] + Pad[ -17421 13385 + -12499 13385 + 984 1000 1984 "29" "29" 0x00000100] + Pad[ -17421 14960 + -12499 14960 + 984 1000 1984 "30" "30" 0x00000100] + Pad[ -17421 16535 + -12499 16535 + 984 1000 1984 "31" "31" 0x00000100] + Pad[ -17421 18110 + -12499 18110 + 984 1000 1984 "32" "32" 0x00000100] + Pad[ -17421 19685 + -12499 19685 + 984 1000 1984 "33" "33" 0x00000100] + Pad[ -17421 21259 + -12499 21259 + 984 1000 1984 "34" "34" 0x00000100] + Pad[ -17421 22834 + -12499 22834 + 984 1000 1984 "35" "35" 0x00000100] + Pad[ -17421 24409 + -12499 24409 + 984 1000 1984 "36" "36" 0x00000100] + Pad[ -17421 25984 + -12499 25984 + 984 1000 1984 "37" "37" 0x00000100] + Pad[ -17421 27559 + -12499 27559 + 984 1000 1984 "38" "38" 0x00000100] + Pad[ -17421 29133 + -12499 29133 + 984 1000 1984 "39" "39" 0x00000100] + Pad[ -17421 30708 + -12499 30708 + 984 1000 1984 "40" "40" 0x00000100] + Pad[ 17421 30708 + 12499 30708 + 984 1000 1984 "41" "41" 0x00000100] + Pad[ 17421 29133 + 12499 29133 + 984 1000 1984 "42" "42" 0x00000100] + Pad[ 17421 27559 + 12499 27559 + 984 1000 1984 "43" "43" 0x00000100] + Pad[ 17421 25984 + 12499 25984 + 984 1000 1984 "44" "44" 0x00000100] + Pad[ 17421 24409 + 12499 24409 + 984 1000 1984 "45" "45" 0x00000100] + Pad[ 17421 22834 + 12499 22834 + 984 1000 1984 "46" "46" 0x00000100] + Pad[ 17421 21259 + 12499 21259 + 984 1000 1984 "47" "47" 0x00000100] + Pad[ 17421 19685 + 12499 19685 + 984 1000 1984 "48" "48" 0x00000100] + Pad[ 17421 18110 + 12499 18110 + 984 1000 1984 "49" "49" 0x00000100] + Pad[ 17421 16535 + 12499 16535 + 984 1000 1984 "50" "50" 0x00000100] + Pad[ 17421 14960 + 12499 14960 + 984 1000 1984 "51" "51" 0x00000100] + Pad[ 17421 13385 + 12499 13385 + 984 1000 1984 "52" "52" 0x00000100] + Pad[ 17421 11811 + 12499 11811 + 984 1000 1984 "53" "53" 0x00000100] + Pad[ 17421 10236 + 12499 10236 + 984 1000 1984 "54" "54" 0x00000100] + Pad[ 17421 8661 + 12499 8661 + 984 1000 1984 "55" "55" 0x00000100] + Pad[ 17421 7086 + 12499 7086 + 984 1000 1984 "56" "56" 0x00000100] + Pad[ 17421 5511 + 12499 5511 + 984 1000 1984 "57" "57" 0x00000100] + Pad[ 17421 3937 + 12499 3937 + 984 1000 1984 "58" "58" 0x00000100] + Pad[ 17421 2362 + 12499 2362 + 984 1000 1984 "59" "59" 0x00000100] + Pad[ 17421 787 + 12499 787 + 984 1000 1984 "60" "60" 0x00000100] + Pad[ 17421 -787 + 12499 -787 + 984 1000 1984 "61" "61" 0x00000100] + Pad[ 17421 -2362 + 12499 -2362 + 984 1000 1984 "62" "62" 0x00000100] + Pad[ 17421 -3937 + 12499 -3937 + 984 1000 1984 "63" "63" 0x00000100] + Pad[ 17421 -5511 + 12499 -5511 + 984 1000 1984 "64" "64" 0x00000100] + Pad[ 17421 -7086 + 12499 -7086 + 984 1000 1984 "65" "65" 0x00000100] + Pad[ 17421 -8661 + 12499 -8661 + 984 1000 1984 "66" "66" 0x00000100] + Pad[ 17421 -10236 + 12499 -10236 + 984 1000 1984 "67" "67" 0x00000100] + Pad[ 17421 -11811 + 12499 -11811 + 984 1000 1984 "68" "68" 0x00000100] + Pad[ 17421 -13385 + 12499 -13385 + 984 1000 1984 "69" "69" 0x00000100] + Pad[ 17421 -14960 + 12499 -14960 + 984 1000 1984 "70" "70" 0x00000100] + Pad[ 17421 -16535 + 12499 -16535 + 984 1000 1984 "71" "71" 0x00000100] + Pad[ 17421 -18110 + 12499 -18110 + 984 1000 1984 "72" "72" 0x00000100] + Pad[ 17421 -19685 + 12499 -19685 + 984 1000 1984 "73" "73" 0x00000100] + Pad[ 17421 -21259 + 12499 -21259 + 984 1000 1984 "74" "74" 0x00000100] + Pad[ 17421 -22834 + 12499 -22834 + 984 1000 1984 "75" "75" 0x00000100] + Pad[ 17421 -24409 + 12499 -24409 + 984 1000 1984 "76" "76" 0x00000100] + Pad[ 17421 -25984 + 12499 -25984 + 984 1000 1984 "77" "77" 0x00000100] + Pad[ 17421 -27559 + 12499 -27559 + 984 1000 1984 "78" "78" 0x00000100] + Pad[ 17421 -29133 + 12499 -29133 + 984 1000 1984 "79" "79" 0x00000100] + Pad[ 17421 -30708 + 12499 -30708 + 984 1000 1984 "80" "80" 0x00000100] + ElementLine[-18913 -32200 -18913 32200 1000] + ElementLine[-18913 32200 18913 32200 1000] + ElementLine[ 18913 32200 18913 -32200 1000] + ElementLine[-18913 -32200 -2500 -32200 1000] + ElementLine[ 18913 -32200 2500 -32200 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -32200 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/TSSOP8W.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/TSSOP8W.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/TSSOP8W.fp (revision 1033) @@ -0,0 +1,49 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Thin shrink small outline package, standard (4.4mm)" "" "TSSOP8W" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13917 -3838 + -9311 -3838 + 1299 1000 2299 "1" "1" 0x00000100] + Pad[ -13917 -1279 + -9311 -1279 + 1299 1000 2299 "2" "2" 0x00000100] + Pad[ -13917 1279 + -9311 1279 + 1299 1000 2299 "3" "3" 0x00000100] + Pad[ -13917 3838 + -9311 3838 + 1299 1000 2299 "4" "4" 0x00000100] + Pad[ 13917 3838 + 9311 3838 + 1299 1000 2299 "5" "5" 0x00000100] + Pad[ 13917 1279 + 9311 1279 + 1299 1000 2299 "6" "6" 0x00000100] + Pad[ 13917 -1279 + 9311 -1279 + 1299 1000 2299 "7" "7" 0x00000100] + Pad[ 13917 -3838 + 9311 -3838 + 1299 1000 2299 "8" "8" 0x00000100] + ElementLine[-15566 -5488 -15566 5488 1000] + ElementLine[-15566 5488 15566 5488 1000] + ElementLine[ 15566 5488 15566 -5488 1000] + ElementLine[-15566 -5488 -2500 -5488 1000] + ElementLine[ 15566 -5488 2500 -5488 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -5488 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/UM1.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/UM1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/UM1.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Crystals" "" "UM1" 0 -60 0 100 0x00) +( + Pin(81 63 60 32 "1" 0x101) + Pin(229 63 60 32 "2" 0x01) + ElementLine(63 0 248 0 20) + ElementArc(248 63 63 63 90 180 20) + ElementLine(248 126 63 126 20) + ElementArc(63 63 63 63 270 180 20) + Mark(81 63) +) Index: oldlib/lib/pcblib-newlib/geda/UM1H.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/UM1H.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/UM1H.fp (revision 1033) @@ -0,0 +1,10 @@ +Element(0x00 "Crystals" "" "UM1H" 0 -60 0 100 0x00) +( + Pin(81 422 60 32 "1" 0x101) + Pin(229 422 60 32 "2" 0x01) + ElementLine(0 0 311 0 20) + ElementLine(311 0 311 322 20) + ElementLine(311 322 0 322 20) + ElementLine(0 322 0 0 20) + Mark(81 422) +) Index: oldlib/lib/pcblib-newlib/geda/UM1_3.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/UM1_3.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/UM1_3.fp (revision 1033) @@ -0,0 +1,11 @@ +Element(0x00 "Crystals" "" "UM1_3" 0 -60 0 100 0x00) +( + Pin(81 63 60 32 "1" 0x101) + Pin(155 63 60 32 "2" 0x01) + Pin(229 63 60 32 "3" 0x01) + ElementLine(63 0 248 0 20) + ElementArc(248 63 63 63 90 180 20) + ElementLine(248 126 63 126 20) + ElementArc(63 63 63 63 270 180 20) + Mark(81 63) +) Index: oldlib/lib/pcblib-newlib/geda/UM1_3H.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/UM1_3H.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/UM1_3H.fp (revision 1033) @@ -0,0 +1,11 @@ +Element(0x00 "Crystals" "" "UM1_3H" 0 -60 0 100 0x00) +( + Pin(81 422 60 32 "1" 0x101) + Pin(155 422 60 32 "2" 0x01) + Pin(229 422 60 32 "3" 0x01) + ElementLine(0 0 311 0 20) + ElementLine(311 0 311 322 20) + ElementLine(311 322 0 322 20) + ElementLine(0 322 0 0 20) + Mark(81 422) +) Index: oldlib/lib/pcblib-newlib/geda/US14.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/US14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/US14.fp (revision 1033) @@ -0,0 +1,67 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Ultra (Micro?) Small outline package" "" "US14" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6102 -5905 + -4527 -5905 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -6102 -3937 + -4527 -3937 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -6102 -1968 + -4527 -1968 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -6102 0 + -4527 0 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ -6102 1968 + -4527 1968 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ -6102 3937 + -4527 3937 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ -6102 5905 + -4527 5905 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ 6102 5905 + 4527 5905 + 1181 1000 2181 "8" "8" 0x00000100] + Pad[ 6102 3937 + 4527 3937 + 1181 1000 2181 "9" "9" 0x00000100] + Pad[ 6102 1968 + 4527 1968 + 1181 1000 2181 "10" "10" 0x00000100] + Pad[ 6102 0 + 4527 0 + 1181 1000 2181 "11" "11" 0x00000100] + Pad[ 6102 -1968 + 4527 -1968 + 1181 1000 2181 "12" "12" 0x00000100] + Pad[ 6102 -3937 + 4527 -3937 + 1181 1000 2181 "13" "13" 0x00000100] + Pad[ 6102 -5905 + 4527 -5905 + 1181 1000 2181 "14" "14" 0x00000100] + ElementLine[-7692 -7496 -7692 7496 1000] + ElementLine[-7692 7496 7692 7496 1000] + ElementLine[ 7692 7496 7692 -7496 1000] + ElementLine[-7692 -7496 -2500 -7496 1000] + ElementLine[ 7692 -7496 2500 -7496 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -7496 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/US16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/US16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/US16.fp (revision 1033) @@ -0,0 +1,73 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Ultra (Micro?) Small outline package" "" "US16" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6102 -6889 + -4527 -6889 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -6102 -4921 + -4527 -4921 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -6102 -2952 + -4527 -2952 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -6102 -984 + -4527 -984 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ -6102 984 + -4527 984 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ -6102 2952 + -4527 2952 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ -6102 4921 + -4527 4921 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ -6102 6889 + -4527 6889 + 1181 1000 2181 "8" "8" 0x00000100] + Pad[ 6102 6889 + 4527 6889 + 1181 1000 2181 "9" "9" 0x00000100] + Pad[ 6102 4921 + 4527 4921 + 1181 1000 2181 "10" "10" 0x00000100] + Pad[ 6102 2952 + 4527 2952 + 1181 1000 2181 "11" "11" 0x00000100] + Pad[ 6102 984 + 4527 984 + 1181 1000 2181 "12" "12" 0x00000100] + Pad[ 6102 -984 + 4527 -984 + 1181 1000 2181 "13" "13" 0x00000100] + Pad[ 6102 -2952 + 4527 -2952 + 1181 1000 2181 "14" "14" 0x00000100] + Pad[ 6102 -4921 + 4527 -4921 + 1181 1000 2181 "15" "15" 0x00000100] + Pad[ 6102 -6889 + 4527 -6889 + 1181 1000 2181 "16" "16" 0x00000100] + ElementLine[-7692 -8480 -7692 8480 1000] + ElementLine[-7692 8480 7692 8480 1000] + ElementLine[ 7692 8480 7692 -8480 1000] + ElementLine[-7692 -8480 -2500 -8480 1000] + ElementLine[ 7692 -8480 2500 -8480 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -8480 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/US8.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/US8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/US8.fp (revision 1033) @@ -0,0 +1,49 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Ultra (Micro?) Small outline package" "" "US8" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6102 -2952 + -4527 -2952 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -6102 -984 + -4527 -984 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ -6102 984 + -4527 984 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ -6102 2952 + -4527 2952 + 1181 1000 2181 "4" "4" 0x00000100] + Pad[ 6102 2952 + 4527 2952 + 1181 1000 2181 "5" "5" 0x00000100] + Pad[ 6102 984 + 4527 984 + 1181 1000 2181 "6" "6" 0x00000100] + Pad[ 6102 -984 + 4527 -984 + 1181 1000 2181 "7" "7" 0x00000100] + Pad[ 6102 -2952 + 4527 -2952 + 1181 1000 2181 "8" "8" 0x00000100] + ElementLine[-7692 -4543 -7692 4543 1000] + ElementLine[-7692 4543 7692 4543 1000] + ElementLine[ 7692 4543 7692 -4543 1000] + ElementLine[-7692 -4543 -2500 -4543 1000] + ElementLine[ 7692 -4543 2500 -4543 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -4543 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/geda/ZIP12.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP12.fp (revision 1033) @@ -0,0 +1,22 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP12" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + ElementLine(0 0 0 650 20) + ElementLine(0 650 200 650 20) + ElementLine(200 650 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/ZIP16.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP16.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP16" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + ElementLine(0 0 0 850 20) + ElementLine(0 850 200 850 20) + ElementLine(200 850 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/ZIP18.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP18.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP18.fp (revision 1033) @@ -0,0 +1,28 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP18" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + ElementLine(0 0 0 950 20) + ElementLine(0 950 200 950 20) + ElementLine(200 950 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/ZIP20.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP20.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP20" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + ElementLine(0 0 0 1050 20) + ElementLine(0 1050 200 1050 20) + ElementLine(200 1050 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/ZIP24.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP24.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP24" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + Pin(50 1050 60 35 "21" 0x01) + Pin(150 1100 60 35 "22" 0x01) + Pin(50 1150 60 35 "23" 0x01) + Pin(150 1200 60 35 "24" 0x01) + ElementLine(0 0 0 1250 20) + ElementLine(0 1250 200 1250 20) + ElementLine(200 1250 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/ZIP28.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP28.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP28" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + Pin(50 1050 60 35 "21" 0x01) + Pin(150 1100 60 35 "22" 0x01) + Pin(50 1150 60 35 "23" 0x01) + Pin(150 1200 60 35 "24" 0x01) + Pin(50 1250 60 35 "25" 0x01) + Pin(150 1300 60 35 "26" 0x01) + Pin(50 1350 60 35 "27" 0x01) + Pin(150 1400 60 35 "28" 0x01) + ElementLine(0 0 0 1450 20) + ElementLine(0 1450 200 1450 20) + ElementLine(200 1450 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/ZIP40.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP40.fp (revision 1033) @@ -0,0 +1,50 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP40" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + Pin(50 1050 60 35 "21" 0x01) + Pin(150 1100 60 35 "22" 0x01) + Pin(50 1150 60 35 "23" 0x01) + Pin(150 1200 60 35 "24" 0x01) + Pin(50 1250 60 35 "25" 0x01) + Pin(150 1300 60 35 "26" 0x01) + Pin(50 1350 60 35 "27" 0x01) + Pin(150 1400 60 35 "28" 0x01) + Pin(50 1450 60 35 "29" 0x01) + Pin(150 1500 60 35 "30" 0x01) + Pin(50 1550 60 35 "31" 0x01) + Pin(150 1600 60 35 "32" 0x01) + Pin(50 1650 60 35 "33" 0x01) + Pin(150 1700 60 35 "34" 0x01) + Pin(50 1750 60 35 "35" 0x01) + Pin(150 1800 60 35 "36" 0x01) + Pin(50 1850 60 35 "37" 0x01) + Pin(150 1900 60 35 "38" 0x01) + Pin(50 1950 60 35 "39" 0x01) + Pin(150 2000 60 35 "40" 0x01) + ElementLine(0 0 0 2050 20) + ElementLine(0 2050 200 2050 20) + ElementLine(200 2050 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/ZIP9.fp =================================================================== --- oldlib/lib/pcblib-newlib/geda/ZIP9.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/ZIP9.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "Zig-zag in-line package" "" "ZIP9" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + ElementLine(0 0 0 450 20) + ElementLine(0 450 200 450 20) + ElementLine(200 450 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/geda/index.html =================================================================== --- oldlib/lib/pcblib-newlib/geda/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/geda/index.html (revision 1033) @@ -0,0 +1,2818 @@ + +PCB geda Footprint Library + +

    PCB geda Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    DIP6, Dual in-line package, narrow (300 mil) DIP6.fp
    DIP8, Dual in-line package, narrow (300 mil) DIP8.fp
    DIP14, Dual in-line package, narrow (300 mil) DIP14.fp
    DIP16, Dual in-line package, narrow (300 mil) DIP16.fp
    DIP18, Dual in-line package, narrow (300 mil) DIP18.fp
    DIP20, Dual in-line package, narrow (300 mil) DIP20.fp
    DIP22, Dual in-line package, narrow (300 mil) DIP22.fp
    DIP24N, Dual in-line package, narrow (300 mil) DIP24N.fp
    DIP28N, Dual in-line package, narrow (300 mil) DIP28N.fp
    DIP8M, Dual in-line package, medium wide (400 mil) DIP8M.fp
    DIP14M, Dual in-line package, medium wide (400 mil) DIP14M.fp
    DIP16M, Dual in-line package, medium wide (400 mil) DIP16M.fp
    DIP18M, Dual in-line package, medium wide (400 mil) DIP18M.fp
    DIP20M, Dual in-line package, medium wide (400 mil) DIP20M.fp
    DIP22M, Dual in-line package, medium wide (400 mil) DIP22M.fp
    DIP24M, Dual in-line package, medium wide (400 mil) DIP24M.fp
    DIP28M, Dual in-line package, medium wide (400 mil) DIP28M.fp
    DIP24, Dual in-line package, wide (600 mil) DIP24.fp
    DIP28, Dual in-line package, wide (600 mil) DIP28.fp
    DIP32, Dual in-line package, wide (600 mil) DIP32.fp
    DIP36, Dual in-line package, wide (600 mil) DIP36.fp
    DIP40, Dual in-line package, wide (600 mil) DIP40.fp
    DIP42, Dual in-line package, wide (600 mil) DIP42.fp
    DIP44, Dual in-line package, wide (600 mil) DIP44.fp
    DIP48, Dual in-line package, wide (600 mil) DIP48.fp
    DIP64, Dual in-line package, wide (900 mil) DIP64.fp
    SDIP18, Shrink dual in-line package, wide (300 mil) SDIP18.fp
    SDIP20, Shrink dual in-line package, wide (300 mil) SDIP20.fp
    SDIP22, Shrink dual in-line package, wide (300 mil) SDIP22.fp
    SDIP24, Shrink dual in-line package, wide (300 mil) SDIP24.fp
    SDIP30, Shrink dual in-line package, wide (400 mil) SDIP30.fp
    SDIP32, Shrink dual in-line package, wide (400 mil) SDIP32.fp
    SDIP42, Shrink dual in-line package, wide (600 mil) SDIP42.fp
    SIP1, Single in line package SIP1.fp
    SIP2, Single in line package SIP2.fp
    SIP3, Single in line package SIP3.fp
    SIP4, Single in line package SIP4.fp
    SIP5, Single in line package SIP5.fp
    SIP6, Single in line package SIP6.fp
    SIP7, Single in line package SIP7.fp
    SIP8, Single in line package SIP8.fp
    SIP9, Single in line package SIP9.fp
    SIP10, Single in line package SIP10.fp
    SIP11, Single in line package SIP11.fp
    SIP12, Single in line package SIP12.fp
    SIP13, Single in line package SIP13.fp
    US8, Ultra (Micro?) Small outline package US8.fp
    US14, Ultra (Micro?) Small outline package US14.fp
    US16, Ultra (Micro?) Small outline package US16.fp
    SO8, Small outline package, narrow (150mil) SO8.fp
    SO14, Small outline package, narrow (150mil) SO14.fp
    SO16, Small outline package, narrow (150mil) SO16.fp
    SO18N, Small outline package, narrow (150mil) SO18N.fp
    SO20N, Small outline package, narrow (150mil) SO20N.fp
    SO8M, Small outline package, medium (200mil) SO8M.fp
    SO18M, Small outline package, medium (200mil) SO18M.fp
    SO20M, Small outline package, medium (200mil) SO20M.fp
    SO22M, Small outline package, medium (200mil) SO22M.fp
    SO24M, Small outline package, medium (200mil) SO24M.fp
    SO8W, Small outline package, wide (300mil) SO8W.fp
    SO14W, Small outline package, wide (300mil) SO14W.fp
    SO16W, Small outline package, wide (300mil) SO16W.fp
    SO18W, Small outline package, wide (300mil) SO18W.fp
    SO20W, Small outline package, wide (300mil) SO20W.fp
    SO24W, Small outline package, wide (300mil) SO24W.fp
    SO28, Small outline package, wide (300mil) SO28.fp
    SO32, Small outline package, wide (300mil) SO32.fp
    SO28W, Small outline package, wider (325mil) SO28W.fp
    SO32W, Small outline package, wider (450mil) SO32W.fp
    SO44, Small outline package, wide (525mil) SO44.fp
    SOJ14_300, Small outline J-leaded package (300 mil) SOJ14_300.fp
    SOJ16_300, Small outline J-leaded package (300 mil) SOJ16_300.fp
    SOJ18_300, Small outline J-leaded package (300 mil) SOJ18_300.fp
    SOJ20_300, Small outline J-leaded package (300 mil) SOJ20_300.fp
    SOJ22_300, Small outline J-leaded package (300 mil) SOJ22_300.fp
    SOJ24_300, Small outline J-leaded package (300 mil) SOJ24_300.fp
    SOJ26_300, Small outline J-leaded package (300 mil) SOJ26_300.fp
    SOJ28_300, Small outline J-leaded package (300 mil) SOJ28_300.fp
    SOJ30_300, Small outline J-leaded package (300 mil) SOJ30_300.fp
    SOJ32_300, Small outline J-leaded package (300 mil) SOJ32_300.fp
    SOJ34_300, Small outline J-leaded package (300 mil) SOJ34_300.fp
    SOJ36_300, Small outline J-leaded package (300 mil) SOJ36_300.fp
    SOJ38_300, Small outline J-leaded package (300 mil) SOJ38_300.fp
    SOJ40_300, Small outline J-leaded package (300 mil) SOJ40_300.fp
    SOJ42_300, Small outline J-leaded package (300 mil) SOJ42_300.fp
    SOJ44_300, Small outline J-leaded package (300 mil) SOJ44_300.fp
    SOJ14_350, Small outline J-leaded package (350 mil) SOJ14_350.fp
    SOJ16_350, Small outline J-leaded package (350 mil) SOJ16_350.fp
    SOJ18_350, Small outline J-leaded package (350 mil) SOJ18_350.fp
    SOJ20_350, Small outline J-leaded package (350 mil) SOJ20_350.fp
    SOJ22_350, Small outline J-leaded package (350 mil) SOJ22_350.fp
    SOJ24_350, Small outline J-leaded package (350 mil) SOJ24_350.fp
    SOJ26_350, Small outline J-leaded package (350 mil) SOJ26_350.fp
    SOJ28_350, Small outline J-leaded package (350 mil) SOJ28_350.fp
    SOJ30_350, Small outline J-leaded package (350 mil) SOJ30_350.fp
    SOJ32_350, Small outline J-leaded package (350 mil) SOJ32_350.fp
    SOJ34_350, Small outline J-leaded package (350 mil) SOJ34_350.fp
    SOJ36_350, Small outline J-leaded package (350 mil) SOJ36_350.fp
    SOJ38_350, Small outline J-leaded package (350 mil) SOJ38_350.fp
    SOJ40_350, Small outline J-leaded package (350 mil) SOJ40_350.fp
    SOJ42_350, Small outline J-leaded package (350 mil) SOJ42_350.fp
    SOJ44_350, Small outline J-leaded package (350 mil) SOJ44_350.fp
    SOJ14_400, Small outline J-leaded package (400 mil) SOJ14_400.fp
    SOJ16_400, Small outline J-leaded package (400 mil) SOJ16_400.fp
    SOJ18_400, Small outline J-leaded package (400 mil) SOJ18_400.fp
    SOJ20_400, Small outline J-leaded package (400 mil) SOJ20_400.fp
    SOJ22_400, Small outline J-leaded package (400 mil) SOJ22_400.fp
    SOJ24_400, Small outline J-leaded package (400 mil) SOJ24_400.fp
    SOJ26_400, Small outline J-leaded package (400 mil) SOJ26_400.fp
    SOJ28_400, Small outline J-leaded package (400 mil) SOJ28_400.fp
    SOJ30_400, Small outline J-leaded package (400 mil) SOJ30_400.fp
    SOJ32_400, Small outline J-leaded package (400 mil) SOJ32_400.fp
    SOJ34_400, Small outline J-leaded package (400 mil) SOJ34_400.fp
    SOJ36_400, Small outline J-leaded package (400 mil) SOJ36_400.fp
    SOJ38_400, Small outline J-leaded package (400 mil) SOJ38_400.fp
    SOJ40_400, Small outline J-leaded package (400 mil) SOJ40_400.fp
    SOJ42_400, Small outline J-leaded package (400 mil) SOJ42_400.fp
    SOJ44_400, Small outline J-leaded package (400 mil) SOJ44_400.fp
    SOJ14_450, Small outline J-leaded package (450 mil) SOJ14_450.fp
    SOJ16_450, Small outline J-leaded package (450 mil) SOJ16_450.fp
    SOJ18_450, Small outline J-leaded package (450 mil) SOJ18_450.fp
    SOJ20_450, Small outline J-leaded package (450 mil) SOJ20_450.fp
    SOJ22_450, Small outline J-leaded package (450 mil) SOJ22_450.fp
    SOJ24_450, Small outline J-leaded package (450 mil) SOJ24_450.fp
    SOJ26_450, Small outline J-leaded package (450 mil) SOJ26_450.fp
    SOJ28_450, Small outline J-leaded package (450 mil) SOJ28_450.fp
    SOJ30_450, Small outline J-leaded package (450 mil) SOJ30_450.fp
    SOJ32_450, Small outline J-leaded package (450 mil) SOJ32_450.fp
    SOJ34_450, Small outline J-leaded package (450 mil) SOJ34_450.fp
    SOJ36_450, Small outline J-leaded package (450 mil) SOJ36_450.fp
    SOJ38_450, Small outline J-leaded package (450 mil) SOJ38_450.fp
    SOJ40_450, Small outline J-leaded package (450 mil) SOJ40_450.fp
    SOJ42_450, Small outline J-leaded package (450 mil) SOJ42_450.fp
    SOJ44_450, Small outline J-leaded package (450 mil) SOJ44_450.fp
    SSOP8, Shrink small outline package, .65mm, narrow SSOP8.fp
    SSOP14, Shrink small outline package, .65mm, narrow SSOP14.fp
    SSOP16, Shrink small outline package, .65mm, narrow SSOP16.fp
    SSOP20, Shrink small outline package, .65mm, narrow SSOP20.fp
    SSOP24, Shrink small outline package, .65mm, narrow SSOP24.fp
    SSOP28, Shrink small outline package, .65mm, narrow SSOP28.fp
    SSOP48W, Shrink small outline package, 25mil, wide SSOP48W.fp
    SSOP56W, Shrink small outline package, 25mil, wide SSOP56W.fp
    SSOP64W, Shrink small outline package, .80mm, wider SSOP64W.fp
    MSOP8, Mini small outline package, .65mm MSOP8.fp
    MSOP10, Mini small outline package, .5mm MSOP10.fp
    QSOP16, Quarter size small outline package QSOP16.fp
    QSOP20, Quarter size small outline package QSOP20.fp
    QSOP24, Quarter size small outline package QSOP24.fp
    QSOP28, Quarter size small outline package QSOP28.fp
    TSOP28, Thin small outline package TSOP28.fp
    TSOP32A, Thin small outline package TSOP32A.fp
    TSOP32B, Thin small outline package TSOP32B.fp
    TSSOP8, Thin shrink small outline package, narrow (3mm) TSSOP8.fp
    TSSOP10, Thin shrink small outline package, narrow (3mm) TSSOP10.fp
    TSSOP8W, Thin shrink small outline package, standard (4.4mm) TSSOP8W.fp
    TSSOP14, Thin shrink small outline package, standard (4.4mm) TSSOP14.fp
    TSSOP16, Thin shrink small outline package, standard (4.4mm) TSSOP16.fp
    TSSOP20, Thin shrink small outline package, standard (4.4mm) TSSOP20.fp
    TSSOP24, Thin shrink small outline package, standard (4.4mm) TSSOP24.fp
    TSSOP28, Thin shrink small outline package, standard (4.4mm) TSSOP28.fp
    TSSOP38N, Thin shrink small outline package, standard (4.4mm) TSSOP38N.fp
    TSSOP48N, Thin shrink small outline package, standard (4.4mm) TSSOP48N.fp
    TSSOP56N, Thin shrink small outline package, standard (4.4mm) TSSOP56N.fp
    TSSOP32, Thin shrink small outline package, wide (6.1mm) TSSOP32.fp
    TSSOP48, Thin shrink small outline package, wide (6.1mm) TSSOP48.fp
    TSSOP56, Thin shrink small outline package, wide (6.1mm) TSSOP56.fp
    TSSOP64, Thin shrink small outline package, wide (6.1mm) TSSOP64.fp
    TSSOP80, Thin shrink small outline package, wide (6.1mm) TSSOP80.fp
    PLCC20, Plastic leadless chip carrier PLCC20.fp
    PLCC28, Plastic leadless chip carrier PLCC28.fp
    PLCC32, Plastic leadless chip carrier PLCC32.fp
    PLCC44, Plastic leadless chip carrier PLCC44.fp
    PLCC52, Plastic leadless chip carrier PLCC52.fp
    PLCC68, Plastic leadless chip carrier PLCC68.fp
    PLCC84, Plastic leadless chip carrier PLCC84.fp
    PLCC20X, Plastic leadless chip carrier with pin socket PLCC20X.fp
    PLCC28X, Plastic leadless chip carrier with pin socket PLCC28X.fp
    PLCC32X, Plastic leadless chip carrier with pin socket PLCC32X.fp
    PLCC44X, Plastic leadless chip carrier with pin socket PLCC44X.fp
    PLCC52X, Plastic leadless chip carrier with pin socket PLCC52X.fp
    PLCC68X, Plastic leadless chip carrier with pin socket PLCC68X.fp
    PLCC84X, Plastic leadless chip carrier with pin socket PLCC84X.fp
    QFN16_3, Square Quad Flat Nolead (QFN) package QFN16_3.fp
    TQFN16_3, Square Quad Flat Nolead (QFN) package TQFN16_3.fp
    QFN16_3_EP, Square Quad Flat Nolead (QFN) package QFN16_3_EP.fp
    TQFN16_3_EP, Square Quad Flat Nolead (QFN) package TQFN16_3_EP.fp
    QFN12_4, Square Quad Flat Nolead (QFN) package QFN12_4.fp
    TQFN12_4, Square Quad Flat Nolead (QFN) package TQFN12_4.fp
    QFN12_4_EP, Square Quad Flat Nolead (QFN) package QFN12_4_EP.fp
    TQFN12_4_EP, Square Quad Flat Nolead (QFN) package TQFN12_4_EP.fp
    QFN16_4, Square Quad Flat Nolead (QFN) package QFN16_4.fp
    TQFN16_4, Square Quad Flat Nolead (QFN) package TQFN16_4.fp
    QFN16_4_EP, Square Quad Flat Nolead (QFN) package QFN16_4_EP.fp
    TQFN16_4_EP, Square Quad Flat Nolead (QFN) package TQFN16_4_EP.fp
    QFN20_4, Square Quad Flat Nolead (QFN) package QFN20_4.fp
    TQFN20_4, Square Quad Flat Nolead (QFN) package TQFN20_4.fp
    QFN20_4_EP, Square Quad Flat Nolead (QFN) package QFN20_4_EP.fp
    TQFN20_4_EP, Square Quad Flat Nolead (QFN) package TQFN20_4_EP.fp
    QFN24_4, Square Quad Flat Nolead (QFN) package QFN24_4.fp
    TQFN24_4, Square Quad Flat Nolead (QFN) package TQFN24_4.fp
    QFN24_4_EP, Square Quad Flat Nolead (QFN) package QFN24_4_EP.fp
    TQFN24_4_EP, Square Quad Flat Nolead (QFN) package TQFN24_4_EP.fp
    QFN16_5, Square Quad Flat Nolead (QFN) package QFN16_5.fp
    TQFN16_5, Square Quad Flat Nolead (QFN) package TQFN16_5.fp
    QFN16_5_EP, Square Quad Flat Nolead (QFN) package QFN16_5_EP.fp
    TQFN16_5_EP, Square Quad Flat Nolead (QFN) package TQFN16_5_EP.fp
    QFN20_5, Square Quad Flat Nolead (QFN) package QFN20_5.fp
    TQFN20_5, Square Quad Flat Nolead (QFN) package TQFN20_5.fp
    QFN20_5_EP, Square Quad Flat Nolead (QFN) package QFN20_5_EP.fp
    TQFN20_5_EP, Square Quad Flat Nolead (QFN) package TQFN20_5_EP.fp
    QFN28_5, Square Quad Flat Nolead (QFN) package QFN28_5.fp
    TQFN28_5, Square Quad Flat Nolead (QFN) package TQFN28_5.fp
    QFN28_5_EP, Square Quad Flat Nolead (QFN) package QFN28_5_EP.fp
    TQFN28_5_EP, Square Quad Flat Nolead (QFN) package TQFN28_5_EP.fp
    QFN32_5, Square Quad Flat Nolead (QFN) package QFN32_5.fp
    TQFN32_5, Square Quad Flat Nolead (QFN) package TQFN32_5.fp
    QFN32_5_EP, Square Quad Flat Nolead (QFN) package QFN32_5_EP.fp
    TQFN32_5_EP, Square Quad Flat Nolead (QFN) package TQFN32_5_EP.fp
    QFN36_6, Square Quad Flat Nolead (QFN) package QFN36_6.fp
    TQFN36_6, Square Quad Flat Nolead (QFN) package TQFN36_6.fp
    QFN36_6_EP, Square Quad Flat Nolead (QFN) package QFN36_6_EP.fp
    TQFN36_6_EP, Square Quad Flat Nolead (QFN) package TQFN36_6_EP.fp
    QFN40_6, Square Quad Flat Nolead (QFN) package QFN40_6.fp
    TQFN40_6, Square Quad Flat Nolead (QFN) package TQFN40_6.fp
    QFN40_6_EP, Square Quad Flat Nolead (QFN) package QFN40_6_EP.fp
    TQFN40_6_EP, Square Quad Flat Nolead (QFN) package TQFN40_6_EP.fp
    QFN32_7, Square Quad Flat Nolead (QFN) package QFN32_7.fp
    TQFN32_7, Square Quad Flat Nolead (QFN) package TQFN32_7.fp
    QFN32_7_EP, Square Quad Flat Nolead (QFN) package QFN32_7_EP.fp
    TQFN32_7_EP, Square Quad Flat Nolead (QFN) package TQFN32_7_EP.fp
    QFN44_7, Square Quad Flat Nolead (QFN) package QFN44_7.fp
    TQFN44_7, Square Quad Flat Nolead (QFN) package TQFN44_7.fp
    QFN44_7_EP, Square Quad Flat Nolead (QFN) package QFN44_7_EP.fp
    TQFN44_7_EP, Square Quad Flat Nolead (QFN) package TQFN44_7_EP.fp
    QFN48_7, Square Quad Flat Nolead (QFN) package QFN48_7.fp
    TQFN48_7, Square Quad Flat Nolead (QFN) package TQFN48_7.fp
    QFN48_7_EP, Square Quad Flat Nolead (QFN) package QFN48_7_EP.fp
    TQFN48_7_EP, Square Quad Flat Nolead (QFN) package TQFN48_7_EP.fp
    QFN56_8, Square Quad Flat Nolead (QFN) package QFN56_8.fp
    TQFN56_8, Square Quad Flat Nolead (QFN) package TQFN56_8.fp
    QFN56_8_EP, Square Quad Flat Nolead (QFN) package QFN56_8_EP.fp
    TQFN56_8_EP, Square Quad Flat Nolead (QFN) package TQFN56_8_EP.fp
    QFN68_10, Square Quad Flat Nolead (QFN) package QFN68_10.fp
    TQFN68_10, Square Quad Flat Nolead (QFN) package TQFN68_10.fp
    QFN68_10_EP, Square Quad Flat Nolead (QFN) package QFN68_10_EP.fp
    TQFN68_10_EP, Square Quad Flat Nolead (QFN) package TQFN68_10_EP.fp
    LQFP24_4, Square Quad-side flat pack LQFP24_4.fp
    LQFP32_5, Square Quad-side flat pack LQFP32_5.fp
    LQFP32_7, Square Quad-side flat pack LQFP32_7.fp
    LQFP44_10, Square Quad-side flat pack LQFP44_10.fp
    LQFP48_7, Square Quad-side flat pack LQFP48_7.fp
    LQFP48_12, Square Quad-side flat pack LQFP48_12.fp
    LQFP52_10, Square Quad-side flat pack LQFP52_10.fp
    LQFP64_7, Square Quad-side flat pack LQFP64_7.fp
    LQFP64_10, Square Quad-side flat pack LQFP64_10.fp
    LQFP64_14, Square Quad-side flat pack LQFP64_14.fp
    LQFP72_10, Square Quad-side flat pack LQFP72_10.fp
    LQFP80_12, Square Quad-side flat pack LQFP80_12.fp
    LQFP80_14, Square Quad-side flat pack LQFP80_14.fp
    LQFP100_10, Square Quad-side flat pack LQFP100_10.fp
    LQFP100_14, Square Quad-side flat pack LQFP100_14.fp
    LQFP112_20, Square Quad-side flat pack LQFP112_20.fp
    LQFP120_16, Square Quad-side flat pack LQFP120_16.fp
    LQFP128_14, Square Quad-side flat pack LQFP128_14.fp
    LQFP128_14_EP, Square Quad-side flat pack LQFP128_14_EP.fp
    LQFP144_20, Square Quad-side flat pack LQFP144_20.fp
    LQFP160_24, Square Quad-side flat pack LQFP160_24.fp
    LQFP176_24, Square Quad-side flat pack LQFP176_24.fp
    QFP32_7, Square Quad-side flat pack QFP32_7.fp
    QFP44_10, Square Quad-side flat pack QFP44_10.fp
    QFP52_10, Square Quad-side flat pack QFP52_10.fp
    QFP64_14, Square Quad-side flat pack QFP64_14.fp
    QFP80_14, Square Quad-side flat pack QFP80_14.fp
    QFP100_14, Square Quad-side flat pack QFP100_14.fp
    QFP120_28, Square Quad-side flat pack QFP120_28.fp
    QFP128_28, Square Quad-side flat pack QFP128_28.fp
    QFP144_28, Square Quad-side flat pack QFP144_28.fp
    QFP160_28, Square Quad-side flat pack QFP160_28.fp
    QFP208_28, Square Quad-side flat pack QFP208_28.fp
    QFP160_28, Square Quad-side flat pack QFP160_28.fp
    QFP240_32, Square Quad-side flat pack QFP240_32.fp
    QFP304_40, Square Quad-side flat pack QFP304_40.fp
    TQFP32_7, Square Quad-side flat pack TQFP32_7.fp
    TQFP44_10, Square Quad-side flat pack TQFP44_10.fp
    TQFP52_10, Square Quad-side flat pack TQFP52_10.fp
    TQFP64_10, Square Quad-side flat pack TQFP64_10.fp
    TQFP64_14, Square Quad-side flat pack TQFP64_14.fp
    TQFP80_12, Square Quad-side flat pack TQFP80_12.fp
    TQFP80_14, Square Quad-side flat pack TQFP80_14.fp
    TQFP100_12, Square Quad-side flat pack TQFP100_12.fp
    TQFP100_14, Square Quad-side flat pack TQFP100_14.fp
    TQFP120_28, Square Quad-side flat pack TQFP120_28.fp
    TQFP128_14, Square Quad-side flat pack TQFP128_14.fp
    TQFP128_14_EP, Square Quad-side flat pack TQFP128_14_EP.fp
    TQFP128_28, Square Quad-side flat pack TQFP128_28.fp
    TQFP144_28, Square Quad-side flat pack TQFP144_28.fp
    TQFP160_28, Square Quad-side flat pack TQFP160_28.fp
    TQFP208_28, Square Quad-side flat pack TQFP208_28.fp
    TQFP160_28, Square Quad-side flat pack TQFP160_28.fp
    TQFP240_32, Square Quad-side flat pack TQFP240_32.fp
    TQFP304_40, Square Quad-side flat pack TQFP304_40.fp
    QFP64_R, Rectangular Quad-side flat pack QFP64_R.fp
    QFP80_R, Rectangular Quad-side flat pack QFP80_R.fp
    QFP100_R, Rectangular Quad-side flat pack QFP100_R.fp
    QFP128_R, Rectangular Quad-side flat pack QFP128_R.fp
    LQFP128_R, Rectangular Quad-side flat pack LQFP128_R.fp
    ZIP9, Zig-zag in-line package ZIP9.fp
    ZIP12, Zig-zag in-line package ZIP12.fp
    ZIP16, Zig-zag in-line package ZIP16.fp
    ZIP18, Zig-zag in-line package ZIP18.fp
    ZIP20, Zig-zag in-line package ZIP20.fp
    ZIP24, Zig-zag in-line package ZIP24.fp
    ZIP28, Zig-zag in-line package ZIP28.fp
    ZIP40, Zig-zag in-line package ZIP40.fp
    ACY100, Axial non-polar component (typically resistor or capacitor), ACY100.fp
    ACY150, Axial non-polar component (typically resistor or capacitor), ACY150.fp
    ACY200, Axial non-polar component (typically resistor or capacitor), ACY200.fp
    ACY300, Axial non-polar component (typically resistor or capacitor), ACY300.fp
    ACY400, Axial non-polar component (typically resistor or capacitor), ACY400.fp
    ACY500, Axial non-polar component (typically resistor or capacitor), ACY500.fp
    ACY600, Axial non-polar component (typically resistor or capacitor), ACY600.fp
    ACY700, Axial non-polar component (typically resistor or capacitor), ACY700.fp
    ACY800, Axial non-polar component (typically resistor or capacitor), ACY800.fp
    ACY900, Axial non-polar component (typically resistor or capacitor), ACY900.fp
    ACY1000, Axial non-polar component (typically resistor or capacitor), ACY1000.fp
    ACY1100, Axial non-polar component (typically resistor or capacitor), ACY1100.fp
    ACY1200, Axial non-polar component (typically resistor or capacitor), ACY1200.fp
    ACY1300, Axial non-polar component (typically resistor or capacitor), ACY1300.fp
    ACY1400, Axial non-polar component (typically resistor or capacitor), ACY1400.fp
    ACY1500, Axial non-polar component (typically resistor or capacitor), ACY1500.fp
    ACY1600, Axial non-polar component (typically resistor or capacitor), ACY1600.fp
    ACY100P, Axial polar component (typically capacitor), ACY100P.fp
    ACY150P, Axial polar component (typically capacitor), ACY150P.fp
    ACY200P, Axial polar component (typically capacitor), ACY200P.fp
    ACY300P, Axial polar component (typically capacitor), ACY300P.fp
    ACY400P, Axial polar component (typically capacitor), ACY400P.fp
    ACY500P, Axial polar component (typically capacitor), ACY500P.fp
    ACY600P, Axial polar component (typically capacitor), ACY600P.fp
    ACY700P, Axial polar component (typically capacitor), ACY700P.fp
    ACY800P, Axial polar component (typically capacitor), ACY800P.fp
    ACY900P, Axial polar component (typically capacitor), ACY900P.fp
    ACY1000P, Axial polar component (typically capacitor), ACY1000P.fp
    ACY1100P, Axial polar component (typically capacitor), ACY1100P.fp
    ACY1200P, Axial polar component (typically capacitor), ACY1200P.fp
    ACY1300P, Axial polar component (typically capacitor), ACY1300P.fp
    ACY1400P, Axial polar component (typically capacitor), ACY1400P.fp
    ACY1500P, Axial polar component (typically capacitor), ACY1500P.fp
    ACY1600P, Axial polar component (typically capacitor), ACY1600P.fp
    ALF300, Axial diode (pin 1 is cathode) ALF300.fp
    ALF400, Axial diode (pin 1 is cathode) ALF400.fp
    ALF500, Axial diode (pin 1 is cathode) ALF500.fp
    ALF600, Axial diode (pin 1 is cathode) ALF600.fp
    ALF700, Axial diode (pin 1 is cathode) ALF700.fp
    ALF800, Axial diode (pin 1 is cathode) ALF800.fp
    ALF900, Axial diode (pin 1 is cathode) ALF900.fp
    ALF1000, Axial diode (pin 1 is cathode) ALF1000.fp
    RCY100, Bottom lead non-polar circular component (typically capacitor) RCY100.fp
    RCY150, Bottom lead non-polar circular component (typically capacitor) RCY150.fp
    RCY200, Bottom lead non-polar circular component (typically capacitor) RCY200.fp
    RCY250, Bottom lead non-polar circular component (typically capacitor) RCY250.fp
    RCY300, Bottom lead non-polar circular component (typically capacitor) RCY300.fp
    RCY350, Bottom lead non-polar circular component (typically capacitor) RCY350.fp
    RCY400, Bottom lead non-polar circular component (typically capacitor) RCY400.fp
    RCY500, Bottom lead non-polar circular component (typically capacitor) RCY500.fp
    RCY600, Bottom lead non-polar circular component (typically capacitor) RCY600.fp
    RCY700, Bottom lead non-polar circular component (typically capacitor) RCY700.fp
    RCY800, Bottom lead non-polar circular component (typically capacitor) RCY800.fp
    RCY900, Bottom lead non-polar circular component (typically capacitor) RCY900.fp
    RCY1000, Bottom lead non-polar circular component (typically capacitor) RCY1000.fp
    RCY1100, Bottom lead non-polar circular component (typically capacitor) RCY1100.fp
    RCY1200, Bottom lead non-polar circular component (typically capacitor) RCY1200.fp
    RCY100P, Bottom lead polar circular component (typically capacitor) RCY100P.fp
    RCY150P, Bottom lead polar circular component (typically capacitor) RCY150P.fp
    RCY200P, Bottom lead polar circular component (typically capacitor) RCY200P.fp
    RCY250P, Bottom lead polar circular component (typically capacitor) RCY250P.fp
    RCY300P, Bottom lead polar circular component (typically capacitor) RCY300P.fp
    RCY350P, Bottom lead polar circular component (typically capacitor) RCY350P.fp
    RCY400P, Bottom lead polar circular component (typically capacitor) RCY400P.fp
    RCY500P, Bottom lead polar circular component (typically capacitor) RCY500P.fp
    RCY600P, Bottom lead polar circular component (typically capacitor) RCY600P.fp
    RCY700P, Bottom lead polar circular component (typically capacitor) RCY700P.fp
    RCY800P, Bottom lead polar circular component (typically capacitor) RCY800P.fp
    RCY900P, Bottom lead polar circular component (typically capacitor) RCY900P.fp
    RCY1000P, Bottom lead polar circular component (typically capacitor) RCY1000P.fp
    RCY1100P, Bottom lead polar circular component (typically capacitor) RCY1100P.fp
    RCY1200P, Bottom lead polar circular component (typically capacitor) RCY1200P.fp
    HC49U, Crystals HC49U.fp
    HC49UH, Crystals HC49UH.fp
    HC49U_3, Crystals HC49U_3.fp
    HC49U_3H, Crystals HC49U_3H.fp
    HC51U, Crystals HC51U.fp
    HC51UH, Crystals HC51UH.fp
    UM1, Crystals UM1.fp
    UM1H, Crystals UM1H.fp
    UM1_3, Crystals UM1_3.fp
    UM1_3H, Crystals UM1_3H.fp
    HC49, Crystals HC49.fp
    OSC14, Crystal oscillator OSC14.fp
    LED3, LED, size in mm (pin 1 is +, 2 is -) LED3.fp
    LED5, LED, size in mm (pin 1 is +, 2 is -) LED5.fp
    TO126, Transistor TO126.fp
    TO126S, Transistor TO126S.fp
    TO126W, Transistor TO126W.fp
    TO126SW, Transistor TO126SW.fp
    TO220, Transistor TO220.fp
    TO220S, Transistor TO220S.fp
    TO220W, Transistor TO220W.fp
    TO220SW, Transistor TO220SW.fp
    TO18, Transistor TO18.fp
    TO39, Transistor TO39.fp
    TO92, Transistor TO92.fp
    TO220ACS, diode in TO220 TO220ACS.fp
    TO218, diode in TO220 TO218.fp
    TO247, diode in TO220 TO247.fp
    TO247_2, diode in TO220 TO247_2.fp
    TO264, diode in TO220 TO264.fp
    TO251, diode in TO220 TO251.fp
    TO220ACSTAND, diode in TO220 TO220ACSTAND.fp
    PENTAWATT, Power IC, as in MULTIWATT15 PENTAWATT.fp
    HEPTAWATT, Power IC, as in MULTIWATT15 HEPTAWATT.fp
    MULTIWATT8, Power IC, as in MULTIWATT15 MULTIWATT8.fp
    MULTIWATT11, Power IC, as in MULTIWATT15 MULTIWATT11.fp
    MULTIWATT15, Power IC, as in MULTIWATT15 MULTIWATT15.fp
    JUMPER1, Jumper, i.e. single row headers JUMPER1.fp
    JUMPER2, Jumper, i.e. single row headers JUMPER2.fp
    JUMPER3, Jumper, i.e. single row headers JUMPER3.fp
    JUMPER4, Jumper, i.e. single row headers JUMPER4.fp
    JUMPER5, Jumper, i.e. single row headers JUMPER5.fp
    JUMPER6, Jumper, i.e. single row headers JUMPER6.fp
    JUMPER7, Jumper, i.e. single row headers JUMPER7.fp
    JUMPER8, Jumper, i.e. single row headers JUMPER8.fp
    JUMPER9, Jumper, i.e. single row headers JUMPER9.fp
    JUMPER10, Jumper, i.e. single row headers JUMPER10.fp
    JUMPER11, Jumper, i.e. single row headers JUMPER11.fp
    JUMPER12, Jumper, i.e. single row headers JUMPER12.fp
    JUMPER13, Jumper, i.e. single row headers JUMPER13.fp
    JUMPER14, Jumper, i.e. single row headers JUMPER14.fp
    JUMPER15, Jumper, i.e. single row headers JUMPER15.fp
    JUMPER16, Jumper, i.e. single row headers JUMPER16.fp
    JUMPER17, Jumper, i.e. single row headers JUMPER17.fp
    JUMPER18, Jumper, i.e. single row headers JUMPER18.fp
    JUMPER19, Jumper, i.e. single row headers JUMPER19.fp
    JUMPER20, Jumper, i.e. single row headers JUMPER20.fp
    JUMPER21, Jumper, i.e. single row headers JUMPER21.fp
    JUMPER22, Jumper, i.e. single row headers JUMPER22.fp
    JUMPER23, Jumper, i.e. single row headers JUMPER23.fp
    JUMPER24, Jumper, i.e. single row headers JUMPER24.fp
    JUMPER25, Jumper, i.e. single row headers JUMPER25.fp
    JUMPER26, Jumper, i.e. single row headers JUMPER26.fp
    MTA100_2, MTA Connector, (0.100 inch pitch) MTA100_2.fp
    MTA100_3, MTA Connector, (0.100 inch pitch) MTA100_3.fp
    MTA100_4, MTA Connector, (0.100 inch pitch) MTA100_4.fp
    MTA100_5, MTA Connector, (0.100 inch pitch) MTA100_5.fp
    MTA100_6, MTA Connector, (0.100 inch pitch) MTA100_6.fp
    MTA100_7, MTA Connector, (0.100 inch pitch) MTA100_7.fp
    MTA100_8, MTA Connector, (0.100 inch pitch) MTA100_8.fp
    MTA100_9, MTA Connector, (0.100 inch pitch) MTA100_9.fp
    MTA100_10, MTA Connector, (0.100 inch pitch) MTA100_10.fp
    MTA100_11, MTA Connector, (0.100 inch pitch) MTA100_11.fp
    MTA100_12, MTA Connector, (0.100 inch pitch) MTA100_12.fp
    MTA100_13, MTA Connector, (0.100 inch pitch) MTA100_13.fp
    MTA100_14, MTA Connector, (0.100 inch pitch) MTA100_14.fp
    MTA100_15, MTA Connector, (0.100 inch pitch) MTA100_15.fp
    HEADER2_1, Header connector, DIP pin numbering HEADER2_1.fp
    HEADER4_1, Header connector, DIP pin numbering HEADER4_1.fp
    HEADER6_1, Header connector, DIP pin numbering HEADER6_1.fp
    HEADER8_1, Header connector, DIP pin numbering HEADER8_1.fp
    HEADER10_1, Header connector, DIP pin numbering HEADER10_1.fp
    HEADER12_1, Header connector, DIP pin numbering HEADER12_1.fp
    HEADER14_1, Header connector, DIP pin numbering HEADER14_1.fp
    HEADER16_1, Header connector, DIP pin numbering HEADER16_1.fp
    HEADER18_1, Header connector, DIP pin numbering HEADER18_1.fp
    HEADER20_1, Header connector, DIP pin numbering HEADER20_1.fp
    HEADER22_1, Header connector, DIP pin numbering HEADER22_1.fp
    HEADER24_1, Header connector, DIP pin numbering HEADER24_1.fp
    HEADER26_1, Header connector, DIP pin numbering HEADER26_1.fp
    HEADER28_1, Header connector, DIP pin numbering HEADER28_1.fp
    HEADER30_1, Header connector, DIP pin numbering HEADER30_1.fp
    HEADER32_1, Header connector, DIP pin numbering HEADER32_1.fp
    HEADER34_1, Header connector, DIP pin numbering HEADER34_1.fp
    HEADER36_1, Header connector, DIP pin numbering HEADER36_1.fp
    HEADER38_1, Header connector, DIP pin numbering HEADER38_1.fp
    HEADER40_1, Header connector, DIP pin numbering HEADER40_1.fp
    HEADER42_1, Header connector, DIP pin numbering HEADER42_1.fp
    HEADER44_1, Header connector, DIP pin numbering HEADER44_1.fp
    HEADER46_1, Header connector, DIP pin numbering HEADER46_1.fp
    HEADER48_1, Header connector, DIP pin numbering HEADER48_1.fp
    HEADER50_1, Header connector, DIP pin numbering HEADER50_1.fp
    HEADER52_1, Header connector, DIP pin numbering HEADER52_1.fp
    HEADER54_1, Header connector, DIP pin numbering HEADER54_1.fp
    HEADER56_1, Header connector, DIP pin numbering HEADER56_1.fp
    HEADER58_1, Header connector, DIP pin numbering HEADER58_1.fp
    HEADER60_1, Header connector, DIP pin numbering HEADER60_1.fp
    HEADER62_1, Header connector, DIP pin numbering HEADER62_1.fp
    HEADER64_1, Header connector, DIP pin numbering HEADER64_1.fp
    HEADER80_1, Header connector, DIP pin numbering HEADER80_1.fp
    HEADER2_2, Header connector, ribbon cable numbering HEADER2_2.fp
    HEADER4_2, Header connector, ribbon cable numbering HEADER4_2.fp
    HEADER6_2, Header connector, ribbon cable numbering HEADER6_2.fp
    HEADER8_2, Header connector, ribbon cable numbering HEADER8_2.fp
    HEADER10_2, Header connector, ribbon cable numbering HEADER10_2.fp
    HEADER12_2, Header connector, ribbon cable numbering HEADER12_2.fp
    HEADER14_2, Header connector, ribbon cable numbering HEADER14_2.fp
    HEADER16_2, Header connector, ribbon cable numbering HEADER16_2.fp
    HEADER18_2, Header connector, ribbon cable numbering HEADER18_2.fp
    HEADER20_2, Header connector, ribbon cable numbering HEADER20_2.fp
    HEADER22_2, Header connector, ribbon cable numbering HEADER22_2.fp
    HEADER24_2, Header connector, ribbon cable numbering HEADER24_2.fp
    HEADER26_2, Header connector, ribbon cable numbering HEADER26_2.fp
    HEADER28_2, Header connector, ribbon cable numbering HEADER28_2.fp
    HEADER30_2, Header connector, ribbon cable numbering HEADER30_2.fp
    HEADER32_2, Header connector, ribbon cable numbering HEADER32_2.fp
    HEADER34_2, Header connector, ribbon cable numbering HEADER34_2.fp
    HEADER36_2, Header connector, ribbon cable numbering HEADER36_2.fp
    HEADER38_2, Header connector, ribbon cable numbering HEADER38_2.fp
    HEADER40_2, Header connector, ribbon cable numbering HEADER40_2.fp
    HEADER42_2, Header connector, ribbon cable numbering HEADER42_2.fp
    HEADER44_2, Header connector, ribbon cable numbering HEADER44_2.fp
    HEADER46_2, Header connector, ribbon cable numbering HEADER46_2.fp
    HEADER48_2, Header connector, ribbon cable numbering HEADER48_2.fp
    HEADER50_2, Header connector, ribbon cable numbering HEADER50_2.fp
    HEADER52_2, Header connector, ribbon cable numbering HEADER52_2.fp
    HEADER54_2, Header connector, ribbon cable numbering HEADER54_2.fp
    HEADER56_2, Header connector, ribbon cable numbering HEADER56_2.fp
    HEADER58_2, Header connector, ribbon cable numbering HEADER58_2.fp
    HEADER60_2, Header connector, ribbon cable numbering HEADER60_2.fp
    HEADER62_2, Header connector, ribbon cable numbering HEADER62_2.fp
    HEADER64_2, Header connector, ribbon cable numbering HEADER64_2.fp
    HEADER80_2, Header connector, ribbon cable numbering HEADER80_2.fp
    DIN41651_10, Header connector with latches DIN41651_10.fp
    DIN41651_14, Header connector with latches DIN41651_14.fp
    DIN41651_16, Header connector with latches DIN41651_16.fp
    DIN41651_20, Header connector with latches DIN41651_20.fp
    DIN41651_26, Header connector with latches DIN41651_26.fp
    DIN41651_34, Header connector with latches DIN41651_34.fp
    DIN41651_40, Header connector with latches DIN41651_40.fp
    DIN41651_50, Header connector with latches DIN41651_50.fp
    DIN41651_60, Header connector with latches DIN41651_60.fp
    DIN41651_64, Header connector with latches DIN41651_64.fp
    DIN41651_10S, Header connector with latches DIN41651_10S.fp
    DIN41651_14S, Header connector with latches DIN41651_14S.fp
    DIN41651_16S, Header connector with latches DIN41651_16S.fp
    DIN41651_20S, Header connector with latches DIN41651_20S.fp
    DIN41651_26S, Header connector with latches DIN41651_26S.fp
    DIN41651_34S, Header connector with latches DIN41651_34S.fp
    DIN41651_40S, Header connector with latches DIN41651_40S.fp
    DIN41651_50S, Header connector with latches DIN41651_50S.fp
    DIN41651_60S, Header connector with latches DIN41651_60S.fp
    DIN41651_64S, Header connector with latches DIN41651_64S.fp
    DB9F, DSUB connector, female/male DB9F.fp
    DB15F, DSUB connector, female/male DB15F.fp
    DB25F, DSUB connector, female/male DB25F.fp
    DB37F, DSUB connector, female/male DB37F.fp
    DB9M, DSUB connector, female/male DB9M.fp
    DB15M, DSUB connector, female/male DB15M.fp
    DB25M, DSUB connector, female/male DB25M.fp
    DB37M, DSUB connector, female/male DB37M.fp
    DIN41612C96F, DIN connector, 96 pin housing DIN41612C96F.fp
    DIN41612C96M, DIN connector, 96 pin housing DIN41612C96M.fp
    DIN41612C64F, DIN connector, 96 pin housing DIN41612C64F.fp
    DIN41612C64M, DIN connector, 96 pin housing DIN41612C64M.fp
    DIN41612C96FS, DIN connector, 96 pin housing DIN41612C96FS.fp
    DIN41612C96MS, DIN connector, 96 pin housing DIN41612C96MS.fp
    DIN41612C64FS, DIN connector, 96 pin housing DIN41612C64FS.fp
    DIN41612C64MS, DIN connector, 96 pin housing DIN41612C64MS.fp
    2706, Standard SMT resistor, capacitor etc 2706.fp
    CAPC0402L, Standard SMT resistor, capacitor etc CAPC0402L.fp
    CAPC0402N, Standard SMT resistor, capacitor etc CAPC0402N.fp
    CAPC0402M, Standard SMT resistor, capacitor etc CAPC0402M.fp
    INDC0402L, Standard SMT resistor, capacitor etc INDC0402L.fp
    INDC0402N, Standard SMT resistor, capacitor etc INDC0402N.fp
    INDC0402M, Standard SMT resistor, capacitor etc INDC0402M.fp
    RESC0402L, Standard SMT resistor, capacitor etc RESC0402L.fp
    RESC0402N, Standard SMT resistor, capacitor etc RESC0402N.fp
    RESC0402M, Standard SMT resistor, capacitor etc RESC0402M.fp
    01005, Standard SMT resistor, capacitor etc 01005.fp
    CAPC0603L, Standard SMT resistor, capacitor etc CAPC0603L.fp
    CAPC0603N, Standard SMT resistor, capacitor etc CAPC0603N.fp
    CAPC0603M, Standard SMT resistor, capacitor etc CAPC0603M.fp
    RESC0603L, Standard SMT resistor, capacitor etc RESC0603L.fp
    RESC0603N, Standard SMT resistor, capacitor etc RESC0603N.fp
    RESC0603M, Standard SMT resistor, capacitor etc RESC0603M.fp
    0201, Standard SMT resistor, capacitor etc 0201.fp
    CAPC1005L, Standard SMT resistor, capacitor etc CAPC1005L.fp
    CAPC1005N, Standard SMT resistor, capacitor etc CAPC1005N.fp
    CAPC1005M, Standard SMT resistor, capacitor etc CAPC1005M.fp
    INDC1005L, Standard SMT resistor, capacitor etc INDC1005L.fp
    INDC1005N, Standard SMT resistor, capacitor etc INDC1005N.fp
    INDC1005M, Standard SMT resistor, capacitor etc INDC1005M.fp
    RESC1005L, Standard SMT resistor, capacitor etc RESC1005L.fp
    RESC1005N, Standard SMT resistor, capacitor etc RESC1005N.fp
    RESC1005M, Standard SMT resistor, capacitor etc RESC1005M.fp
    0402, Standard SMT resistor, capacitor etc 0402.fp
    CAPC1608L, Standard SMT resistor, capacitor etc CAPC1608L.fp
    CAPC1608N, Standard SMT resistor, capacitor etc CAPC1608N.fp
    CAPC1608M, Standard SMT resistor, capacitor etc CAPC1608M.fp
    INDC1608L, Standard SMT resistor, capacitor etc INDC1608L.fp
    INDC1608N, Standard SMT resistor, capacitor etc INDC1608N.fp
    INDC1608M, Standard SMT resistor, capacitor etc INDC1608M.fp
    RESC1608L, Standard SMT resistor, capacitor etc RESC1608L.fp
    RESC1608N, Standard SMT resistor, capacitor etc RESC1608N.fp
    RESC1608M, Standard SMT resistor, capacitor etc RESC1608M.fp
    0603, Standard SMT resistor, capacitor etc 0603.fp
    CAPC2012L, Standard SMT resistor, capacitor etc CAPC2012L.fp
    CAPC2012N, Standard SMT resistor, capacitor etc CAPC2012N.fp
    CAPC2012M, Standard SMT resistor, capacitor etc CAPC2012M.fp
    INDC2012L, Standard SMT resistor, capacitor etc INDC2012L.fp
    INDC2012N, Standard SMT resistor, capacitor etc INDC2012N.fp
    INDC2012M, Standard SMT resistor, capacitor etc INDC2012M.fp
    RESC2012L, Standard SMT resistor, capacitor etc RESC2012L.fp
    RESC2012N, Standard SMT resistor, capacitor etc RESC2012N.fp
    RESC2012M, Standard SMT resistor, capacitor etc RESC2012M.fp
    0805, Standard SMT resistor, capacitor etc 0805.fp
    INDC2520L, Standard SMT resistor, capacitor etc INDC2520L.fp
    INDC2520N, Standard SMT resistor, capacitor etc INDC2520N.fp
    INDC2520M, Standard SMT resistor, capacitor etc INDC2520M.fp
    1008, Standard SMT resistor, capacitor etc 1008.fp
    CAPC3216L, Standard SMT resistor, capacitor etc CAPC3216L.fp
    CAPC3216N, Standard SMT resistor, capacitor etc CAPC3216N.fp
    CAPC3216M, Standard SMT resistor, capacitor etc CAPC3216M.fp
    INDC3216L, Standard SMT resistor, capacitor etc INDC3216L.fp
    INDC3216N, Standard SMT resistor, capacitor etc INDC3216N.fp
    INDC3216M, Standard SMT resistor, capacitor etc INDC3216M.fp
    RESC3216L, Standard SMT resistor, capacitor etc RESC3216L.fp
    RESC3216N, Standard SMT resistor, capacitor etc RESC3216N.fp
    RESC3216M, Standard SMT resistor, capacitor etc RESC3216M.fp
    1206, Standard SMT resistor, capacitor etc 1206.fp
    CAPC3225L, Standard SMT resistor, capacitor etc CAPC3225L.fp
    CAPC3225N, Standard SMT resistor, capacitor etc CAPC3225N.fp
    CAPC3225M, Standard SMT resistor, capacitor etc CAPC3225M.fp
    INDC3225L, Standard SMT resistor, capacitor etc INDC3225L.fp
    INDC3225N, Standard SMT resistor, capacitor etc INDC3225N.fp
    INDC3225M, Standard SMT resistor, capacitor etc INDC3225M.fp
    RESC3225L, Standard SMT resistor, capacitor etc RESC3225L.fp
    RESC3225N, Standard SMT resistor, capacitor etc RESC3225N.fp
    RESC3225M, Standard SMT resistor, capacitor etc RESC3225M.fp
    1210, Standard SMT resistor, capacitor etc 1210.fp
    INDC4509L, Standard SMT resistor, capacitor etc INDC4509L.fp
    INDC4509N, Standard SMT resistor, capacitor etc INDC4509N.fp
    INDC4509M, Standard SMT resistor, capacitor etc INDC4509M.fp
    1806, Standard SMT resistor, capacitor etc 1806.fp
    CAPC4532L, Standard SMT resistor, capacitor etc CAPC4532L.fp
    CAPC4532N, Standard SMT resistor, capacitor etc CAPC4532N.fp
    CAPC4532M, Standard SMT resistor, capacitor etc CAPC4532M.fp
    INDC4532L, Standard SMT resistor, capacitor etc INDC4532L.fp
    INDC4532N, Standard SMT resistor, capacitor etc INDC4532N.fp
    INDC4532M, Standard SMT resistor, capacitor etc INDC4532M.fp
    RESC4532L, Standard SMT resistor, capacitor etc RESC4532L.fp
    RESC4532N, Standard SMT resistor, capacitor etc RESC4532N.fp
    RESC4532M, Standard SMT resistor, capacitor etc RESC4532M.fp
    1806, Standard SMT resistor, capacitor etc 1806.fp
    CAPC4564L, Standard SMT resistor, capacitor etc CAPC4564L.fp
    CAPC4564N, Standard SMT resistor, capacitor etc CAPC4564N.fp
    CAPC4564M, Standard SMT resistor, capacitor etc CAPC4564M.fp
    RESC4564L, Standard SMT resistor, capacitor etc RESC4564L.fp
    RESC4564N, Standard SMT resistor, capacitor etc RESC4564N.fp
    RESC4564M, Standard SMT resistor, capacitor etc RESC4564M.fp
    1825, Standard SMT resistor, capacitor etc 1825.fp
    EMI0603, 3-Pin SMT EMI Filter based on standard SMT sizes EMI0603.fp
    EMI0805, 3-Pin SMT EMI Filter based on standard SMT sizes EMI0805.fp
    EMI1206, 3-Pin SMT EMI Filter based on standard SMT sizes EMI1206.fp
    EMI1806, 3-Pin SMT EMI Filter based on standard SMT sizes EMI1806.fp
    EIA3216, Tantalum SMT capacitor (pin 1 is +) EIA3216.fp
    EIA3528, Tantalum SMT capacitor (pin 1 is +) EIA3528.fp
    EIA6032, Tantalum SMT capacitor (pin 1 is +) EIA6032.fp
    EIA7343, Tantalum SMT capacitor (pin 1 is +) EIA7343.fp
    TANT_A, Tantalum SMT capacitor (pin 1 is +) TANT_A.fp
    TANT_B, Tantalum SMT capacitor (pin 1 is +) TANT_B.fp
    TANT_C, Tantalum SMT capacitor (pin 1 is +) TANT_C.fp
    TANT_D, Tantalum SMT capacitor (pin 1 is +) TANT_D.fp
    SME3, Surface mount electrolytic capacitor, number is dia in mm SME3.fp
    SME4, Surface mount electrolytic capacitor, number is dia in mm SME4.fp
    SME5, Surface mount electrolytic capacitor, number is dia in mm SME5.fp
    SME6, Surface mount electrolytic capacitor, number is dia in mm SME6.fp
    SME8, Surface mount electrolytic capacitor, number is dia in mm SME8.fp
    SME10, Surface mount electrolytic capacitor, number is dia in mm SME10.fp
    SOD80, SMT diode (pin 1 is cathode) SOD80.fp
    SOD87, SMT diode (pin 1 is cathode) SOD87.fp
    SOD106A, SMT diode (pin 1 is cathode) SOD106A.fp
    SOD110, SMT diode (pin 1 is cathode) SOD110.fp
    SOD123, SMT diode (pin 1 is cathode) SOD123.fp
    SOD323, SMT diode (pin 1 is cathode) SOD323.fp
    DO214, SMT diode (pin 1 is cathode) DO214.fp
    DO214AB, SMT diode (pin 1 is cathode) DO214AB.fp
    SOT23D, SMT diode (pin 1 is cathode) SOT23D.fp
    SOT323D, SMT diode (pin 1 is cathode) SOT323D.fp
    SOT23, SMT transistor, 3 pins SOT23.fp
    SOT323, SMT transistor, 3 pins SOT323.fp
    SC90, SMT transistor, 3 pins SC90.fp
    SC70_3, SMT transistor, 3 pins SC70_3.fp
    SOT89, SMT transistor, 4 pins SOT89.fp
    SOT143, SMT transistor, 4 pins SOT143.fp
    SOT223, SMT transistor, 4 pins SOT223.fp
    SC70_4, SMT transistor, 4 pins SC70_4.fp
    SOT25, SMT transistor, 5 pins SOT25.fp
    SOT325, SMT transistor, 5 pins SOT325.fp
    SC70_5, SMT transistor, 5 pins SC70_5.fp
    SOT26, SMT transistor, 6 pins SOT26.fp
    SOT326, SMT transistor, 6 pins SOT326.fp
    SC70_6, SMT transistor, 6 pins SC70_6.fp
    MPAK, Pressure transducer MPAK.fp
    + + Index: oldlib/lib/pcblib-newlib/generic/208_LQFP.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/208_LQFP.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/208_LQFP.fp (revision 1033) @@ -0,0 +1,225 @@ +Element(0x00 "generic" "" "208_LQFP" 100 0 0 100 0x00) +( + # left row, going down + Pad(5 108 55 108 10 "1" 0x00) + Pad(5 128 55 128 10 "2" 0x100) + Pad(5 147 55 147 10 "3" 0x100) + Pad(5 167 55 167 10 "4" 0x100) + Pad(5 187 55 187 10 "5" 0x100) + Pad(5 206 55 206 10 "6" 0x100) + Pad(5 226 55 226 10 "7" 0x100) + Pad(5 246 55 246 10 "8" 0x100) + Pad(5 265 55 265 10 "9" 0x100) + Pad(5 285 55 285 10 "10" 0x100) + Pad(5 305 55 305 10 "11" 0x100) + Pad(5 325 55 325 10 "12" 0x100) + Pad(5 344 55 344 10 "13" 0x100) + Pad(5 364 55 364 10 "14" 0x100) + Pad(5 384 55 384 10 "15" 0x100) + Pad(5 403 55 403 10 "16" 0x100) + Pad(5 423 55 423 10 "17" 0x100) + Pad(5 443 55 443 10 "18" 0x100) + Pad(5 462 55 462 10 "19" 0x100) + Pad(5 482 55 482 10 "20" 0x100) + Pad(5 502 55 502 10 "21" 0x100) + Pad(5 521 55 521 10 "22" 0x100) + Pad(5 541 55 541 10 "23" 0x100) + Pad(5 561 55 561 10 "24" 0x100) + Pad(5 580 55 580 10 "25" 0x100) + Pad(5 600 55 600 10 "26" 0x100) + Pad(5 620 55 620 10 "27" 0x100) + Pad(5 639 55 639 10 "28" 0x100) + Pad(5 659 55 659 10 "29" 0x100) + Pad(5 679 55 679 10 "30" 0x100) + Pad(5 699 55 699 10 "31" 0x100) + Pad(5 718 55 718 10 "32" 0x100) + Pad(5 738 55 738 10 "33" 0x100) + Pad(5 758 55 758 10 "34" 0x100) + Pad(5 777 55 777 10 "35" 0x100) + Pad(5 797 55 797 10 "36" 0x100) + Pad(5 817 55 817 10 "37" 0x100) + Pad(5 836 55 836 10 "38" 0x100) + Pad(5 856 55 856 10 "39" 0x100) + Pad(5 876 55 876 10 "40" 0x100) + Pad(5 895 55 895 10 "41" 0x100) + Pad(5 915 55 915 10 "42" 0x100) + Pad(5 935 55 935 10 "43" 0x100) + Pad(5 954 55 954 10 "44" 0x100) + Pad(5 974 55 974 10 "45" 0x100) + Pad(5 994 55 994 10 "46" 0x100) + Pad(5 1014 55 1014 10 "47" 0x100) + Pad(5 1033 55 1033 10 "48" 0x100) + Pad(5 1053 55 1053 10 "49" 0x100) + Pad(5 1073 55 1073 10 "50" 0x100) + Pad(5 1092 55 1092 10 "51" 0x100) + Pad(5 1112 55 1112 10 "52" 0x100) + # bottom row, going right + Pad(108 1215 108 1165 10 "53" 0x100) + Pad(128 1215 128 1165 10 "54" 0x100) + Pad(147 1215 147 1165 10 "55" 0x100) + Pad(167 1215 167 1165 10 "56" 0x100) + Pad(187 1215 187 1165 10 "57" 0x100) + Pad(206 1215 206 1165 10 "58" 0x100) + Pad(226 1215 226 1165 10 "59" 0x100) + Pad(246 1215 246 1165 10 "60" 0x100) + Pad(265 1215 265 1165 10 "61" 0x100) + Pad(285 1215 285 1165 10 "62" 0x100) + Pad(305 1215 305 1165 10 "63" 0x100) + Pad(325 1215 325 1165 10 "64" 0x100) + Pad(344 1215 344 1165 10 "65" 0x100) + Pad(364 1215 364 1165 10 "66" 0x100) + Pad(384 1215 384 1165 10 "67" 0x100) + Pad(403 1215 403 1165 10 "68" 0x100) + Pad(423 1215 423 1165 10 "69" 0x100) + Pad(443 1215 443 1165 10 "70" 0x100) + Pad(462 1215 462 1165 10 "71" 0x100) + Pad(482 1215 482 1165 10 "72" 0x100) + Pad(502 1215 502 1165 10 "73" 0x100) + Pad(521 1215 521 1165 10 "74" 0x100) + Pad(541 1215 541 1165 10 "75" 0x100) + Pad(561 1215 561 1165 10 "76" 0x100) + Pad(580 1215 580 1165 10 "77" 0x100) + Pad(600 1215 600 1165 10 "78" 0x100) + Pad(620 1215 620 1165 10 "79" 0x100) + Pad(639 1215 639 1165 10 "80" 0x100) + Pad(659 1215 659 1165 10 "81" 0x100) + Pad(679 1215 679 1165 10 "82" 0x100) + Pad(699 1215 699 1165 10 "83" 0x100) + Pad(718 1215 718 1165 10 "84" 0x100) + Pad(738 1215 738 1165 10 "85" 0x100) + Pad(758 1215 758 1165 10 "86" 0x100) + Pad(777 1215 777 1165 10 "87" 0x100) + Pad(797 1215 797 1165 10 "88" 0x100) + Pad(817 1215 817 1165 10 "89" 0x100) + Pad(836 1215 836 1165 10 "90" 0x100) + Pad(856 1215 856 1165 10 "91" 0x100) + Pad(876 1215 876 1165 10 "92" 0x100) + Pad(895 1215 895 1165 10 "93" 0x100) + Pad(915 1215 915 1165 10 "94" 0x100) + Pad(935 1215 935 1165 10 "95" 0x100) + Pad(954 1215 954 1165 10 "96" 0x100) + Pad(974 1215 974 1165 10 "97" 0x100) + Pad(994 1215 994 1165 10 "98" 0x100) + Pad(1014 1215 1014 1165 10 "99" 0x100) + Pad(1033 1215 1033 1165 10 "100" 0x100) + Pad(1053 1215 1053 1165 10 "101" 0x100) + Pad(1073 1215 1073 1165 10 "102" 0x100) + Pad(1092 1215 1092 1165 10 "103" 0x100) + Pad(1112 1215 1112 1165 10 "104" 0x100) + # right row, going up + Pad(1215 1112 1165 1112 10 "105" 0x100) + Pad(1215 1092 1165 1092 10 "106" 0x100) + Pad(1215 1073 1165 1073 10 "107" 0x100) + Pad(1215 1053 1165 1053 10 "108" 0x100) + Pad(1215 1033 1165 1033 10 "109" 0x100) + Pad(1215 1014 1165 1014 10 "110" 0x100) + Pad(1215 994 1165 994 10 "111" 0x100) + Pad(1215 974 1165 974 10 "112" 0x100) + Pad(1215 955 1165 955 10 "113" 0x100) + Pad(1215 935 1165 935 10 "114" 0x100) + Pad(1215 915 1165 915 10 "115" 0x100) + Pad(1215 895 1165 895 10 "116" 0x100) + Pad(1215 876 1165 876 10 "117" 0x100) + Pad(1215 856 1165 856 10 "118" 0x100) + Pad(1215 836 1165 836 10 "119" 0x100) + Pad(1215 817 1165 817 10 "120" 0x100) + Pad(1215 797 1165 797 10 "121" 0x100) + Pad(1215 777 1165 777 10 "122" 0x100) + Pad(1215 758 1165 758 10 "123" 0x100) + Pad(1215 738 1165 738 10 "124" 0x100) + Pad(1215 718 1165 718 10 "125" 0x100) + Pad(1215 699 1165 699 10 "126" 0x100) + Pad(1215 679 1165 679 10 "127" 0x100) + Pad(1215 659 1165 659 10 "128" 0x100) + Pad(1215 640 1165 640 10 "129" 0x100) + Pad(1215 620 1165 620 10 "130" 0x100) + Pad(1215 600 1165 600 10 "131" 0x100) + Pad(1215 581 1165 581 10 "132" 0x100) + Pad(1215 561 1165 561 10 "133" 0x100) + Pad(1215 541 1165 541 10 "134" 0x100) + Pad(1215 521 1165 521 10 "135" 0x100) + Pad(1215 502 1165 502 10 "136" 0x100) + Pad(1215 482 1165 482 10 "137" 0x100) + Pad(1215 462 1165 462 10 "138" 0x100) + Pad(1215 443 1165 443 10 "139" 0x100) + Pad(1215 423 1165 423 10 "140" 0x100) + Pad(1215 403 1165 403 10 "141" 0x100) + Pad(1215 384 1165 384 10 "142" 0x100) + Pad(1215 364 1165 364 10 "143" 0x100) + Pad(1215 344 1165 344 10 "144" 0x100) + Pad(1215 325 1165 325 10 "145" 0x100) + Pad(1215 305 1165 305 10 "146" 0x100) + Pad(1215 285 1165 285 10 "147" 0x100) + Pad(1215 266 1165 266 10 "148" 0x100) + Pad(1215 246 1165 246 10 "149" 0x100) + Pad(1215 226 1165 226 10 "150" 0x100) + Pad(1215 206 1165 206 10 "151" 0x100) + Pad(1215 187 1165 187 10 "152" 0x100) + Pad(1215 167 1165 167 10 "153" 0x100) + Pad(1215 147 1165 147 10 "154" 0x100) + Pad(1215 128 1165 128 10 "155" 0x100) + Pad(1215 108 1165 108 10 "156" 0x100) + # top row, going left + Pad(1112 5 1112 55 10 "157" 0x100) + Pad(1092 5 1092 55 10 "158" 0x100) + Pad(1073 5 1073 55 10 "159" 0x100) + Pad(1053 5 1053 55 10 "160" 0x100) + Pad(1033 5 1033 55 10 "161" 0x100) + Pad(1014 5 1014 55 10 "162" 0x100) + Pad(994 5 994 55 10 "163" 0x100) + Pad(974 5 974 55 10 "164" 0x100) + Pad(955 5 955 55 10 "165" 0x100) + Pad(935 5 935 55 10 "166" 0x100) + Pad(915 5 915 55 10 "167" 0x100) + Pad(895 5 895 55 10 "168" 0x100) + Pad(876 5 876 55 10 "169" 0x100) + Pad(856 5 856 55 10 "170" 0x100) + Pad(836 5 836 55 10 "171" 0x100) + Pad(817 5 817 55 10 "172" 0x100) + Pad(797 5 797 55 10 "173" 0x100) + Pad(777 5 777 55 10 "174" 0x100) + Pad(758 5 758 55 10 "175" 0x100) + Pad(738 5 738 55 10 "176" 0x100) + Pad(718 5 718 55 10 "177" 0x100) + Pad(699 5 699 55 10 "178" 0x100) + Pad(679 5 679 55 10 "179" 0x100) + Pad(659 5 659 55 10 "180" 0x100) + Pad(640 5 640 55 10 "181" 0x100) + Pad(620 5 620 55 10 "182" 0x100) + Pad(600 5 600 55 10 "183" 0x100) + Pad(581 5 581 55 10 "184" 0x100) + Pad(561 5 561 55 10 "185" 0x100) + Pad(541 5 541 55 10 "186" 0x100) + Pad(521 5 521 55 10 "187" 0x100) + Pad(502 5 502 55 10 "188" 0x100) + Pad(482 5 482 55 10 "189" 0x100) + Pad(462 5 462 55 10 "190" 0x100) + Pad(443 5 443 55 10 "191" 0x100) + Pad(423 5 423 55 10 "192" 0x100) + Pad(403 5 403 55 10 "193" 0x100) + Pad(384 5 384 55 10 "194" 0x100) + Pad(364 5 364 55 10 "195" 0x100) + Pad(344 5 344 55 10 "196" 0x100) + Pad(325 5 325 55 10 "197" 0x100) + Pad(305 5 305 55 10 "198" 0x100) + Pad(285 5 285 55 10 "199" 0x100) + Pad(266 5 266 55 10 "200" 0x100) + Pad(246 5 246 55 10 "201" 0x100) + Pad(226 5 226 55 10 "202" 0x100) + Pad(206 5 206 55 10 "203" 0x100) + Pad(187 5 187 55 10 "204" 0x100) + Pad(167 5 167 55 10 "205" 0x100) + Pad(147 5 147 55 10 "206" 0x100) + Pad(128 5 128 55 10 "207" 0x100) + Pad(108 5 108 55 10 "208" 0x100) + # left row, going down again, maybe + ElementLine(70 70 1150 70 8) + ElementLine(1150 70 1150 1150 8) + ElementLine(1150 1150 70 1150 8) + ElementLine(70 1150 70 70 8) + # Pin 1 Indicator + ElementArc(110 110 20 20 0 360 10) + # Moderately useful place for the Mark. This way, + # if the pins can line up with the grid, they do. + Mark(108 108) +) Index: oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 300.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "capacitor_axial" "" "AXIAL_LAY 300" 245 70 0 100 0x00) +( + Pin(0 25 55 30 "1" 0x101) + Pin(300 25 55 30 "2" 0x01) + ElementLine(0 25 75 25 10) + ElementLine(225 25 300 25 10) + ElementLine(75 0 225 0 10) + ElementLine(225 0 225 50 10) + ElementLine(225 50 75 50 10) + ElementLine(75 50 75 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 25) +) Index: oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 400.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 400.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "capacitor_axial" "" "AXIAL_LAY 400" 320 86 0 100 0x00) +( + Pin(0 33 55 30 "1" 0x101) + Pin(400 33 55 30 "2" 0x01) + ElementLine(0 33 100 33 10) + ElementLine(300 33 400 33 10) + ElementLine(100 0 300 0 10) + ElementLine(300 0 300 66 10) + ElementLine(300 66 100 66 10) + ElementLine(100 66 100 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 33) +) Index: oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 500.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 500.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 500.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "capacitor_axial" "" "AXIAL_LAY 500" 395 102 0 100 0x00) +( + Pin(0 41 55 30 "1" 0x101) + Pin(500 41 55 30 "2" 0x01) + ElementLine(0 41 125 41 10) + ElementLine(375 41 500 41 10) + ElementLine(125 0 375 0 10) + ElementLine(375 0 375 82 10) + ElementLine(375 82 125 82 10) + ElementLine(125 82 125 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 41) +) Index: oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 600.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "capacitor_axial" "" "AXIAL_LAY 600" 470 120 0 100 0x00) +( + Pin(0 50 80 50 "1" 0x101) + Pin(600 50 80 50 "2" 0x01) + ElementLine(0 50 150 50 10) + ElementLine(450 50 600 50 10) + ElementLine(150 0 450 0 10) + ElementLine(450 0 450 100 10) + ElementLine(450 100 150 100 10) + ElementLine(150 100 150 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 50) +) Index: oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 800.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 800.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/AXIAL_LAY 800.fp (revision 1033) @@ -0,0 +1,14 @@ +Element(0x00 "capacitor_axial" "" "AXIAL_LAY 800" 620 152 0 100 0x00) +( + Pin(0 66 80 50 "1" 0x101) + Pin(800 66 80 50 "2" 0x01) + ElementLine(0 66 200 66 10) + ElementLine(600 66 800 66 10) + ElementLine(200 0 600 0 10) + ElementLine(600 0 600 132 10) + ElementLine(600 132 200 132 10) + ElementLine(200 132 200 0 10) +# ElementArc(X1 Y 50 50 270 180 10) +# ElementArc(X2 Y 50 50 90 180 10) + Mark(0 66) +) Index: oldlib/lib/pcblib-newlib/generic/D 14.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/D 14.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/D 14.fp (revision 1033) @@ -0,0 +1,24 @@ +Element(0x00 "generic" "" "D 14" 142 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(194 325 244 325 20 "8" 0x100) + Pad(194 275 244 275 20 "9" 0x100) + Pad(194 225 244 225 20 "10" 0x100) + Pad(194 175 244 175 20 "11" 0x100) + Pad(194 125 244 125 20 "12" 0x100) + Pad(194 75 244 75 20 "13" 0x100) + Pad(194 25 244 25 20 "14" 0x100) + ElementLine(50 0 50 350 10) + ElementLine(50 350 194 350 10) + ElementLine(194 350 194 0 10) + ElementLine(50 0 97 0 10) + ElementLine(147 0 194 0 10) + ElementArc(122 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/D 16.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/D 16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/D 16.fp (revision 1033) @@ -0,0 +1,26 @@ +Element(0x00 "generic" "" "D 16" 142 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(0 375 50 375 20 "8" 0x100) + Pad(194 375 244 375 20 "9" 0x100) + Pad(194 325 244 325 20 "10" 0x100) + Pad(194 275 244 275 20 "11" 0x100) + Pad(194 225 244 225 20 "12" 0x100) + Pad(194 175 244 175 20 "13" 0x100) + Pad(194 125 244 125 20 "14" 0x100) + Pad(194 75 244 75 20 "15" 0x100) + Pad(194 25 244 25 20 "16" 0x100) + ElementLine(50 0 50 400 10) + ElementLine(50 400 194 400 10) + ElementLine(194 400 194 0 10) + ElementLine(50 0 97 0 10) + ElementLine(147 0 194 0 10) + ElementArc(122 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/D 18.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/D 18.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/D 18.fp (revision 1033) @@ -0,0 +1,28 @@ +Element(0x00 "generic" "" "D 18" 142 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(0 375 50 375 20 "8" 0x100) + Pad(0 425 50 425 20 "9" 0x100) + Pad(194 425 244 425 20 "10" 0x100) + Pad(194 375 244 375 20 "11" 0x100) + Pad(194 325 244 325 20 "12" 0x100) + Pad(194 275 244 275 20 "13" 0x100) + Pad(194 225 244 225 20 "14" 0x100) + Pad(194 175 244 175 20 "15" 0x100) + Pad(194 125 244 125 20 "16" 0x100) + Pad(194 75 244 75 20 "17" 0x100) + Pad(194 25 244 25 20 "18" 0x100) + ElementLine(50 0 50 450 10) + ElementLine(50 450 194 450 10) + ElementLine(194 450 194 0 10) + ElementLine(50 0 97 0 10) + ElementLine(147 0 194 0 10) + ElementArc(122 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/D 20.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/D 20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/D 20.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "generic" "" "D 20" 142 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(0 375 50 375 20 "8" 0x100) + Pad(0 425 50 425 20 "9" 0x100) + Pad(0 475 50 475 20 "10" 0x100) + Pad(194 475 244 475 20 "11" 0x100) + Pad(194 425 244 425 20 "12" 0x100) + Pad(194 375 244 375 20 "13" 0x100) + Pad(194 325 244 325 20 "14" 0x100) + Pad(194 275 244 275 20 "15" 0x100) + Pad(194 225 244 225 20 "16" 0x100) + Pad(194 175 244 175 20 "17" 0x100) + Pad(194 125 244 125 20 "18" 0x100) + Pad(194 75 244 75 20 "19" 0x100) + Pad(194 25 244 25 20 "20" 0x100) + ElementLine(50 0 50 500 10) + ElementLine(50 500 194 500 10) + ElementLine(194 500 194 0 10) + ElementLine(50 0 97 0 10) + ElementLine(147 0 194 0 10) + ElementArc(122 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/D 24.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/D 24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/D 24.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "generic" "" "D 24" 142 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(0 375 50 375 20 "8" 0x100) + Pad(0 425 50 425 20 "9" 0x100) + Pad(0 475 50 475 20 "10" 0x100) + Pad(0 525 50 525 20 "11" 0x100) + Pad(0 575 50 575 20 "12" 0x100) + Pad(194 575 244 575 20 "13" 0x100) + Pad(194 525 244 525 20 "14" 0x100) + Pad(194 475 244 475 20 "15" 0x100) + Pad(194 425 244 425 20 "16" 0x100) + Pad(194 375 244 375 20 "17" 0x100) + Pad(194 325 244 325 20 "18" 0x100) + Pad(194 275 244 275 20 "19" 0x100) + Pad(194 225 244 225 20 "20" 0x100) + Pad(194 175 244 175 20 "21" 0x100) + Pad(194 125 244 125 20 "22" 0x100) + Pad(194 75 244 75 20 "23" 0x100) + Pad(194 25 244 25 20 "24" 0x100) + ElementLine(50 0 50 600 10) + ElementLine(50 600 194 600 10) + ElementLine(194 600 194 0 10) + ElementLine(50 0 97 0 10) + ElementLine(147 0 194 0 10) + ElementArc(122 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/D 28.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/D 28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/D 28.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "generic" "" "D 28" 142 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(0 375 50 375 20 "8" 0x100) + Pad(0 425 50 425 20 "9" 0x100) + Pad(0 475 50 475 20 "10" 0x100) + Pad(0 525 50 525 20 "11" 0x100) + Pad(0 575 50 575 20 "12" 0x100) + Pad(0 625 50 625 20 "13" 0x100) + Pad(0 675 50 675 20 "14" 0x100) + Pad(194 675 244 675 20 "15" 0x100) + Pad(194 625 244 625 20 "16" 0x100) + Pad(194 575 244 575 20 "17" 0x100) + Pad(194 525 244 525 20 "18" 0x100) + Pad(194 475 244 475 20 "19" 0x100) + Pad(194 425 244 425 20 "20" 0x100) + Pad(194 375 244 375 20 "21" 0x100) + Pad(194 325 244 325 20 "22" 0x100) + Pad(194 275 244 275 20 "23" 0x100) + Pad(194 225 244 225 20 "24" 0x100) + Pad(194 175 244 175 20 "25" 0x100) + Pad(194 125 244 125 20 "26" 0x100) + Pad(194 75 244 75 20 "27" 0x100) + Pad(194 25 244 25 20 "28" 0x100) + ElementLine(50 0 50 700 10) + ElementLine(50 700 194 700 10) + ElementLine(194 700 194 0 10) + ElementLine(50 0 97 0 10) + ElementLine(147 0 194 0 10) + ElementArc(122 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/D 8.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/D 8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/D 8.fp (revision 1033) @@ -0,0 +1,18 @@ +Element(0x00 "generic" "" "D 8" 142 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(194 175 244 175 20 "5" 0x100) + Pad(194 125 244 125 20 "6" 0x100) + Pad(194 75 244 75 20 "7" 0x100) + Pad(194 25 244 25 20 "8" 0x100) + ElementLine(50 0 50 200 10) + ElementLine(50 200 194 200 10) + ElementLine(194 200 194 0 10) + ElementLine(50 0 97 0 10) + ElementLine(147 0 194 0 10) + ElementArc(122 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 14 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 14 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 14 300.fp (revision 1033) @@ -0,0 +1,26 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 14 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(350 650 60 28 "8" 0x01) + Pin(350 550 60 28 "9" 0x01) + Pin(350 450 60 28 "10" 0x01) + Pin(350 350 60 28 "11" 0x01) + Pin(350 250 60 28 "12" 0x01) + Pin(350 150 60 28 "13" 0x01) + Pin(350 50 60 28 "14" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 400 700 10) + ElementLine(400 700 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 16 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 16 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 16 300.fp (revision 1033) @@ -0,0 +1,28 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 16 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(350 750 60 28 "9" 0x01) + Pin(350 650 60 28 "10" 0x01) + Pin(350 550 60 28 "11" 0x01) + Pin(350 450 60 28 "12" 0x01) + Pin(350 350 60 28 "13" 0x01) + Pin(350 250 60 28 "14" 0x01) + Pin(350 150 60 28 "15" 0x01) + Pin(350 50 60 28 "16" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 400 800 10) + ElementLine(400 800 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 18 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 18 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 18 300.fp (revision 1033) @@ -0,0 +1,30 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 18 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(350 850 60 28 "10" 0x01) + Pin(350 750 60 28 "11" 0x01) + Pin(350 650 60 28 "12" 0x01) + Pin(350 550 60 28 "13" 0x01) + Pin(350 450 60 28 "14" 0x01) + Pin(350 350 60 28 "15" 0x01) + Pin(350 250 60 28 "16" 0x01) + Pin(350 150 60 28 "17" 0x01) + Pin(350 50 60 28 "18" 0x01) + ElementLine(0 0 0 900 10) + ElementLine(0 900 400 900 10) + ElementLine(400 900 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 20 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 20 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 20 300.fp (revision 1033) @@ -0,0 +1,32 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 20 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(350 950 60 28 "11" 0x01) + Pin(350 850 60 28 "12" 0x01) + Pin(350 750 60 28 "13" 0x01) + Pin(350 650 60 28 "14" 0x01) + Pin(350 550 60 28 "15" 0x01) + Pin(350 450 60 28 "16" 0x01) + Pin(350 350 60 28 "17" 0x01) + Pin(350 250 60 28 "18" 0x01) + Pin(350 150 60 28 "19" 0x01) + Pin(350 50 60 28 "20" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 400 1000 10) + ElementLine(400 1000 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 24 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 24 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 24 300.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 24 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(350 1150 60 28 "13" 0x01) + Pin(350 1050 60 28 "14" 0x01) + Pin(350 950 60 28 "15" 0x01) + Pin(350 850 60 28 "16" 0x01) + Pin(350 750 60 28 "17" 0x01) + Pin(350 650 60 28 "18" 0x01) + Pin(350 550 60 28 "19" 0x01) + Pin(350 450 60 28 "20" 0x01) + Pin(350 350 60 28 "21" 0x01) + Pin(350 250 60 28 "22" 0x01) + Pin(350 150 60 28 "23" 0x01) + Pin(350 50 60 28 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 400 1200 10) + ElementLine(400 1200 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 24 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 24 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 24 600.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 24 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(650 1150 60 28 "13" 0x01) + Pin(650 1050 60 28 "14" 0x01) + Pin(650 950 60 28 "15" 0x01) + Pin(650 850 60 28 "16" 0x01) + Pin(650 750 60 28 "17" 0x01) + Pin(650 650 60 28 "18" 0x01) + Pin(650 550 60 28 "19" 0x01) + Pin(650 450 60 28 "20" 0x01) + Pin(650 350 60 28 "21" 0x01) + Pin(650 250 60 28 "22" 0x01) + Pin(650 150 60 28 "23" 0x01) + Pin(650 50 60 28 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 700 1200 10) + ElementLine(700 1200 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 28 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 28 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 28 300.fp (revision 1033) @@ -0,0 +1,40 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 28 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(350 1350 60 28 "15" 0x01) + Pin(350 1250 60 28 "16" 0x01) + Pin(350 1150 60 28 "17" 0x01) + Pin(350 1050 60 28 "18" 0x01) + Pin(350 950 60 28 "19" 0x01) + Pin(350 850 60 28 "20" 0x01) + Pin(350 750 60 28 "21" 0x01) + Pin(350 650 60 28 "22" 0x01) + Pin(350 550 60 28 "23" 0x01) + Pin(350 450 60 28 "24" 0x01) + Pin(350 350 60 28 "25" 0x01) + Pin(350 250 60 28 "26" 0x01) + Pin(350 150 60 28 "27" 0x01) + Pin(350 50 60 28 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 400 1400 10) + ElementLine(400 1400 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 28 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 28 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 28 600.fp (revision 1033) @@ -0,0 +1,40 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 28 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(650 1350 60 28 "15" 0x01) + Pin(650 1250 60 28 "16" 0x01) + Pin(650 1150 60 28 "17" 0x01) + Pin(650 1050 60 28 "18" 0x01) + Pin(650 950 60 28 "19" 0x01) + Pin(650 850 60 28 "20" 0x01) + Pin(650 750 60 28 "21" 0x01) + Pin(650 650 60 28 "22" 0x01) + Pin(650 550 60 28 "23" 0x01) + Pin(650 450 60 28 "24" 0x01) + Pin(650 350 60 28 "25" 0x01) + Pin(650 250 60 28 "26" 0x01) + Pin(650 150 60 28 "27" 0x01) + Pin(650 50 60 28 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 700 1400 10) + ElementLine(700 1400 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 32 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 32 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 32 600.fp (revision 1033) @@ -0,0 +1,44 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 32 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(650 1550 60 28 "17" 0x01) + Pin(650 1450 60 28 "18" 0x01) + Pin(650 1350 60 28 "19" 0x01) + Pin(650 1250 60 28 "20" 0x01) + Pin(650 1150 60 28 "21" 0x01) + Pin(650 1050 60 28 "22" 0x01) + Pin(650 950 60 28 "23" 0x01) + Pin(650 850 60 28 "24" 0x01) + Pin(650 750 60 28 "25" 0x01) + Pin(650 650 60 28 "26" 0x01) + Pin(650 550 60 28 "27" 0x01) + Pin(650 450 60 28 "28" 0x01) + Pin(650 350 60 28 "29" 0x01) + Pin(650 250 60 28 "30" 0x01) + Pin(650 150 60 28 "31" 0x01) + Pin(650 50 60 28 "32" 0x01) + ElementLine(0 0 0 1600 10) + ElementLine(0 1600 700 1600 10) + ElementLine(700 1600 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 40 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 40 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 40 600.fp (revision 1033) @@ -0,0 +1,52 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 40 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(650 1950 60 28 "21" 0x01) + Pin(650 1850 60 28 "22" 0x01) + Pin(650 1750 60 28 "23" 0x01) + Pin(650 1650 60 28 "24" 0x01) + Pin(650 1550 60 28 "25" 0x01) + Pin(650 1450 60 28 "26" 0x01) + Pin(650 1350 60 28 "27" 0x01) + Pin(650 1250 60 28 "28" 0x01) + Pin(650 1150 60 28 "29" 0x01) + Pin(650 1050 60 28 "30" 0x01) + Pin(650 950 60 28 "31" 0x01) + Pin(650 850 60 28 "32" 0x01) + Pin(650 750 60 28 "33" 0x01) + Pin(650 650 60 28 "34" 0x01) + Pin(650 550 60 28 "35" 0x01) + Pin(650 450 60 28 "36" 0x01) + Pin(650 350 60 28 "37" 0x01) + Pin(650 250 60 28 "38" 0x01) + Pin(650 150 60 28 "39" 0x01) + Pin(650 50 60 28 "40" 0x01) + ElementLine(0 0 0 2000 10) + ElementLine(0 2000 700 2000 10) + ElementLine(700 2000 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 64 900.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 64 900.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 64 900.fp (revision 1033) @@ -0,0 +1,76 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 64 900" 520 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(50 2050 60 28 "21" 0x01) + Pin(50 2150 60 28 "22" 0x01) + Pin(50 2250 60 28 "23" 0x01) + Pin(50 2350 60 28 "24" 0x01) + Pin(50 2450 60 28 "25" 0x01) + Pin(50 2550 60 28 "26" 0x01) + Pin(50 2650 60 28 "27" 0x01) + Pin(50 2750 60 28 "28" 0x01) + Pin(50 2850 60 28 "29" 0x01) + Pin(50 2950 60 28 "30" 0x01) + Pin(50 3050 60 28 "31" 0x01) + Pin(50 3150 60 28 "32" 0x01) + Pin(950 3150 60 28 "33" 0x01) + Pin(950 3050 60 28 "34" 0x01) + Pin(950 2950 60 28 "35" 0x01) + Pin(950 2850 60 28 "36" 0x01) + Pin(950 2750 60 28 "37" 0x01) + Pin(950 2650 60 28 "38" 0x01) + Pin(950 2550 60 28 "39" 0x01) + Pin(950 2450 60 28 "40" 0x01) + Pin(950 2350 60 28 "41" 0x01) + Pin(950 2250 60 28 "42" 0x01) + Pin(950 2150 60 28 "43" 0x01) + Pin(950 2050 60 28 "44" 0x01) + Pin(950 1950 60 28 "45" 0x01) + Pin(950 1850 60 28 "46" 0x01) + Pin(950 1750 60 28 "47" 0x01) + Pin(950 1650 60 28 "48" 0x01) + Pin(950 1550 60 28 "49" 0x01) + Pin(950 1450 60 28 "50" 0x01) + Pin(950 1350 60 28 "51" 0x01) + Pin(950 1250 60 28 "52" 0x01) + Pin(950 1150 60 28 "53" 0x01) + Pin(950 1050 60 28 "54" 0x01) + Pin(950 950 60 28 "55" 0x01) + Pin(950 850 60 28 "56" 0x01) + Pin(950 750 60 28 "57" 0x01) + Pin(950 650 60 28 "58" 0x01) + Pin(950 550 60 28 "59" 0x01) + Pin(950 450 60 28 "60" 0x01) + Pin(950 350 60 28 "61" 0x01) + Pin(950 250 60 28 "62" 0x01) + Pin(950 150 60 28 "63" 0x01) + Pin(950 50 60 28 "64" 0x01) + ElementLine(0 0 0 3200 10) + ElementLine(0 3200 1000 3200 10) + ElementLine(1000 3200 1000 0 10) + ElementLine(0 0 450 0 10) + ElementLine(550 0 1000 0 10) + ElementArc(500 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIL 8 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIL 8 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIL 8 300.fp (revision 1033) @@ -0,0 +1,20 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have ,, args +Element(0x00 "generic" "" "DIL 8 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(350 350 60 28 "5" 0x01) + Pin(350 250 60 28 "6" 0x01) + Pin(350 150 60 28 "7" 0x01) + Pin(350 50 60 28 "8" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 400 400 10) + ElementLine(400 400 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/DIODE_LAY 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIODE_LAY 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIODE_LAY 300.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "diode" "" "DIODE_LAY 300" 220 100 0 100 0x00) +( + Pin(0 150 50 20 "1" 0x101) + Pin(300 150 50 20 "2" 0x01) + ElementLine(0 150 100 150 10) + ElementLine(200 150 300 150 10) + ElementLine(100 150 200 100 10) + ElementLine(200 100 200 200 10) + ElementLine(200 200 100 150 10) + ElementLine(100 100 100 200 10) + Mark(0 150) +) Index: oldlib/lib/pcblib-newlib/generic/DIODE_LAY 400.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIODE_LAY 400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIODE_LAY 400.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "diode" "" "DIODE_LAY 400" 287 134 0 100 0x00) +( + Pin(0 200 50 20 "1" 0x101) + Pin(400 200 50 20 "2" 0x01) + ElementLine(0 200 133 200 10) + ElementLine(267 200 400 200 10) + ElementLine(133 200 267 134 10) + ElementLine(267 134 267 266 10) + ElementLine(267 266 133 200 10) + ElementLine(133 134 133 266 10) + Mark(0 200) +) Index: oldlib/lib/pcblib-newlib/generic/DIODE_LAY 500.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIODE_LAY 500.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIODE_LAY 500.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "diode" "" "DIODE_LAY 500" 354 167 0 100 0x00) +( + Pin(0 250 80 20 "1" 0x101) + Pin(500 250 80 20 "2" 0x01) + ElementLine(0 250 166 250 10) + ElementLine(334 250 500 250 10) + ElementLine(166 250 334 167 10) + ElementLine(334 167 334 333 10) + ElementLine(334 333 166 250 10) + ElementLine(166 167 166 333 10) + Mark(0 250) +) Index: oldlib/lib/pcblib-newlib/generic/DIODE_LAY 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIODE_LAY 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIODE_LAY 600.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "diode" "" "DIODE_LAY 600" 420 200 0 100 0x00) +( + Pin(0 300 80 20 "1" 0x101) + Pin(600 300 80 20 "2" 0x01) + ElementLine(0 300 200 300 10) + ElementLine(400 300 600 300 10) + ElementLine(200 300 400 200 10) + ElementLine(400 200 400 400 10) + ElementLine(400 400 200 300 10) + ElementLine(200 200 200 400 10) + Mark(0 300) +) Index: oldlib/lib/pcblib-newlib/generic/DIODE_LAY 800.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DIODE_LAY 800.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DIODE_LAY 800.fp (revision 1033) @@ -0,0 +1,12 @@ +Element(0x00 "diode" "" "DIODE_LAY 800" 554 267 0 100 0x00) +( + Pin(0 400 80 20 "1" 0x101) + Pin(800 400 80 20 "2" 0x01) + ElementLine(0 400 266 400 10) + ElementLine(534 400 800 400 10) + ElementLine(266 400 534 267 10) + ElementLine(534 267 534 533 10) + ElementLine(534 533 266 400 10) + ElementLine(266 267 266 533 10) + Mark(0 400) +) Index: oldlib/lib/pcblib-newlib/generic/DW 24.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DW 24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DW 24.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "generic" "" "DW 24" 229 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(0 375 50 375 20 "8" 0x100) + Pad(0 425 50 425 20 "9" 0x100) + Pad(0 475 50 475 20 "10" 0x100) + Pad(0 525 50 525 20 "11" 0x100) + Pad(0 575 50 575 20 "12" 0x100) + Pad(369 575 419 575 20 "13" 0x100) + Pad(369 525 419 525 20 "14" 0x100) + Pad(369 475 419 475 20 "15" 0x100) + Pad(369 425 419 425 20 "16" 0x100) + Pad(369 375 419 375 20 "17" 0x100) + Pad(369 325 419 325 20 "18" 0x100) + Pad(369 275 419 275 20 "19" 0x100) + Pad(369 225 419 225 20 "20" 0x100) + Pad(369 175 419 175 20 "21" 0x100) + Pad(369 125 419 125 20 "22" 0x100) + Pad(369 75 419 75 20 "23" 0x100) + Pad(369 25 419 25 20 "24" 0x100) + ElementLine(50 0 50 600 10) + ElementLine(50 600 369 600 10) + ElementLine(369 600 369 0 10) + ElementLine(50 0 184 0 10) + ElementLine(234 0 369 0 10) + ElementArc(209 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/DW 28.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/DW 28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/DW 28.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "generic" "" "DW 28" 229 50 3 100 0x00) +( + Pad(0 25 50 25 20 "1" 0x00) + Pad(0 75 50 75 20 "2" 0x100) + Pad(0 125 50 125 20 "3" 0x100) + Pad(0 175 50 175 20 "4" 0x100) + Pad(0 225 50 225 20 "5" 0x100) + Pad(0 275 50 275 20 "6" 0x100) + Pad(0 325 50 325 20 "7" 0x100) + Pad(0 375 50 375 20 "8" 0x100) + Pad(0 425 50 425 20 "9" 0x100) + Pad(0 475 50 475 20 "10" 0x100) + Pad(0 525 50 525 20 "11" 0x100) + Pad(0 575 50 575 20 "12" 0x100) + Pad(0 625 50 625 20 "13" 0x100) + Pad(0 675 50 675 20 "14" 0x100) + Pad(369 675 419 675 20 "15" 0x100) + Pad(369 625 419 625 20 "16" 0x100) + Pad(369 575 419 575 20 "17" 0x100) + Pad(369 525 419 525 20 "18" 0x100) + Pad(369 475 419 475 20 "19" 0x100) + Pad(369 425 419 425 20 "20" 0x100) + Pad(369 375 419 375 20 "21" 0x100) + Pad(369 325 419 325 20 "22" 0x100) + Pad(369 275 419 275 20 "23" 0x100) + Pad(369 225 419 225 20 "24" 0x100) + Pad(369 175 419 175 20 "25" 0x100) + Pad(369 125 419 125 20 "26" 0x100) + Pad(369 75 419 75 20 "27" 0x100) + Pad(369 25 419 25 20 "28" 0x100) + ElementLine(50 0 50 700 10) + ElementLine(50 700 369 700 10) + ElementLine(369 700 369 0 10) + ElementLine(50 0 184 0 10) + ElementLine(234 0 369 0 10) + ElementArc(209 0 25 25 0 180 10) + Mark(25 25) +) Index: oldlib/lib/pcblib-newlib/generic/ISA8.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/ISA8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/ISA8.fp (revision 1033) @@ -0,0 +1,81 @@ + Element(0x00 "isa_eight_bit" "" "ISA8" 4000 0 0 100 0x00) +( + # Pins, entspr. Anschl. auf Loetseite (b31..b1) + Pin( 200 3850 60 35 "Gnd (b31)" 0x01) + Pin( 300 3850 60 35 "Osc" 0x01) + Pin( 400 3850 60 35 "+5V" 0x01) + Pin( 500 3850 60 35 "BALE" 0x01) + Pin( 600 3850 60 35 "TC" 0x01) + Pin( 700 3850 60 35 "/DAck2" 0x01) + Pin( 800 3850 60 35 "IRq3" 0x01) + Pin( 900 3850 60 35 "IRq4" 0x01) + Pin(1000 3850 60 35 "IRq5" 0x01) + Pin(1100 3850 60 35 "IRq6" 0x01) + Pin(1200 3850 60 35 "IRq7" 0x01) + Pin(1300 3850 60 35 "Clock" 0x01) + Pin(1400 3850 60 35 "/Ref" 0x01) + Pin(1500 3850 60 35 "DRq1" 0x01) + Pin(1600 3850 60 35 "/DAck1" 0x01) + Pin(1700 3850 60 35 "DRq3" 0x01) + Pin(1800 3850 60 35 "/DAck3" 0x01) + Pin(1900 3850 60 35 "/IOR" 0x01) + Pin(2000 3850 60 35 "/IOW" 0x01) + Pin(2100 3850 60 35 "/SMEMR" 0x01) + Pin(2200 3850 60 35 "/SMEMW" 0x01) + Pin(2300 3850 60 35 "Gnd" 0x01) + Pin(2400 3850 60 35 "+12V" 0x01) + Pin(2500 3850 60 35 "/0WS" 0x01) + Pin(2600 3850 60 35 "-12V" 0x01) + Pin(2700 3850 60 35 "DRq2" 0x01) + Pin(2800 3850 60 35 "-5V" 0x01) + Pin(2900 3850 60 35 "IEQ2" 0x01) + Pin(3000 3850 60 35 "+5V" 0x01) + Pin(3100 3850 60 35 "ResDrv" 0x01) + Pin(3200 3850 60 35 "Gnd (b1)" 0x01) + # Pins, entspr. Anschl. auf Bestueckseite + Pin( 200 3950 60 35 "SA0 (a31)" 0x01) + Pin( 300 3950 60 35 "SA1" 0x01) + Pin( 400 3950 60 35 "SA2" 0x01) + Pin( 500 3950 60 35 "SA3" 0x01) + Pin( 600 3950 60 35 "SA4" 0x01) + Pin( 700 3950 60 35 "SA5" 0x01) + Pin( 800 3950 60 35 "SA6" 0x01) + Pin( 900 3950 60 35 "SA7" 0x01) + Pin(1000 3950 60 35 "SA8" 0x01) + Pin(1100 3950 60 35 "SA9" 0x01) + Pin(1200 3950 60 35 "SA10" 0x01) + Pin(1300 3950 60 35 "SA11" 0x01) + Pin(1400 3950 60 35 "SA12" 0x01) + Pin(1500 3950 60 35 "SA13" 0x01) + Pin(1600 3950 60 35 "SA14" 0x01) + Pin(1700 3950 60 35 "SA15" 0x01) + Pin(1800 3950 60 35 "SA16" 0x01) + Pin(1900 3950 60 35 "SA17" 0x01) + Pin(2000 3950 60 35 "SA18" 0x01) + Pin(2100 3950 60 35 "SA19" 0x01) + Pin(2200 3950 60 35 "AEN" 0x01) + Pin(2300 3950 60 35 "IOChRdy" 0x01) + Pin(2400 3950 60 35 "SD0" 0x01) + Pin(2500 3950 60 35 "SD1" 0x01) + Pin(2600 3950 60 35 "SD2" 0x01) + Pin(2700 3950 60 35 "SD3" 0x01) + Pin(2800 3950 60 35 "SD4" 0x01) + Pin(2900 3950 60 35 "SD5" 0x01) + Pin(3000 3950 60 35 "SD6" 0x01) + Pin(3100 3950 60 35 "SD7" 0x01) + Pin(3200 3950 60 35 "/IOChCk (a1)" 0x01) + # Umrahmung + ElementLine( 0 100 100 100 2) + ElementLine( 100 0 100 100 2) + ElementLine( 100 100 4250 100 5) + ElementLine(4250 100 4250 3810 5) + ElementLine(4250 3810 3620 3810 5) + ElementLine(3620 3810 3620 3510 5) + ElementLine(3620 3510 3300 3510 5) + ElementLine(3300 3510 3300 3810 5) + ElementLine(3300 3810 100 3810 5) + ElementLine( 100 3810 100 3510 5) + ElementLine( 100 3510 0 3510 2) + # Markierung == Pin B1 + Mark(3200 3850) +) Index: oldlib/lib/pcblib-newlib/generic/N 14 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 14 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 14 300.fp (revision 1033) @@ -0,0 +1,26 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 14 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(350 650 60 28 "8" 0x01) + Pin(350 550 60 28 "9" 0x01) + Pin(350 450 60 28 "10" 0x01) + Pin(350 350 60 28 "11" 0x01) + Pin(350 250 60 28 "12" 0x01) + Pin(350 150 60 28 "13" 0x01) + Pin(350 50 60 28 "14" 0x01) + ElementLine(0 0 0 700 10) + ElementLine(0 700 400 700 10) + ElementLine(400 700 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 16 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 16 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 16 300.fp (revision 1033) @@ -0,0 +1,28 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 16 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(350 750 60 28 "9" 0x01) + Pin(350 650 60 28 "10" 0x01) + Pin(350 550 60 28 "11" 0x01) + Pin(350 450 60 28 "12" 0x01) + Pin(350 350 60 28 "13" 0x01) + Pin(350 250 60 28 "14" 0x01) + Pin(350 150 60 28 "15" 0x01) + Pin(350 50 60 28 "16" 0x01) + ElementLine(0 0 0 800 10) + ElementLine(0 800 400 800 10) + ElementLine(400 800 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 18 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 18 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 18 300.fp (revision 1033) @@ -0,0 +1,30 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 18 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(350 850 60 28 "10" 0x01) + Pin(350 750 60 28 "11" 0x01) + Pin(350 650 60 28 "12" 0x01) + Pin(350 550 60 28 "13" 0x01) + Pin(350 450 60 28 "14" 0x01) + Pin(350 350 60 28 "15" 0x01) + Pin(350 250 60 28 "16" 0x01) + Pin(350 150 60 28 "17" 0x01) + Pin(350 50 60 28 "18" 0x01) + ElementLine(0 0 0 900 10) + ElementLine(0 900 400 900 10) + ElementLine(400 900 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 20 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 20 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 20 300.fp (revision 1033) @@ -0,0 +1,32 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 20 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(350 950 60 28 "11" 0x01) + Pin(350 850 60 28 "12" 0x01) + Pin(350 750 60 28 "13" 0x01) + Pin(350 650 60 28 "14" 0x01) + Pin(350 550 60 28 "15" 0x01) + Pin(350 450 60 28 "16" 0x01) + Pin(350 350 60 28 "17" 0x01) + Pin(350 250 60 28 "18" 0x01) + Pin(350 150 60 28 "19" 0x01) + Pin(350 50 60 28 "20" 0x01) + ElementLine(0 0 0 1000 10) + ElementLine(0 1000 400 1000 10) + ElementLine(400 1000 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 24 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 24 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 24 300.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 24 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(350 1150 60 28 "13" 0x01) + Pin(350 1050 60 28 "14" 0x01) + Pin(350 950 60 28 "15" 0x01) + Pin(350 850 60 28 "16" 0x01) + Pin(350 750 60 28 "17" 0x01) + Pin(350 650 60 28 "18" 0x01) + Pin(350 550 60 28 "19" 0x01) + Pin(350 450 60 28 "20" 0x01) + Pin(350 350 60 28 "21" 0x01) + Pin(350 250 60 28 "22" 0x01) + Pin(350 150 60 28 "23" 0x01) + Pin(350 50 60 28 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 400 1200 10) + ElementLine(400 1200 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 24 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 24 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 24 600.fp (revision 1033) @@ -0,0 +1,36 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 24 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(650 1150 60 28 "13" 0x01) + Pin(650 1050 60 28 "14" 0x01) + Pin(650 950 60 28 "15" 0x01) + Pin(650 850 60 28 "16" 0x01) + Pin(650 750 60 28 "17" 0x01) + Pin(650 650 60 28 "18" 0x01) + Pin(650 550 60 28 "19" 0x01) + Pin(650 450 60 28 "20" 0x01) + Pin(650 350 60 28 "21" 0x01) + Pin(650 250 60 28 "22" 0x01) + Pin(650 150 60 28 "23" 0x01) + Pin(650 50 60 28 "24" 0x01) + ElementLine(0 0 0 1200 10) + ElementLine(0 1200 700 1200 10) + ElementLine(700 1200 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 28 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 28 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 28 300.fp (revision 1033) @@ -0,0 +1,40 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 28 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(350 1350 60 28 "15" 0x01) + Pin(350 1250 60 28 "16" 0x01) + Pin(350 1150 60 28 "17" 0x01) + Pin(350 1050 60 28 "18" 0x01) + Pin(350 950 60 28 "19" 0x01) + Pin(350 850 60 28 "20" 0x01) + Pin(350 750 60 28 "21" 0x01) + Pin(350 650 60 28 "22" 0x01) + Pin(350 550 60 28 "23" 0x01) + Pin(350 450 60 28 "24" 0x01) + Pin(350 350 60 28 "25" 0x01) + Pin(350 250 60 28 "26" 0x01) + Pin(350 150 60 28 "27" 0x01) + Pin(350 50 60 28 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 400 1400 10) + ElementLine(400 1400 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 28 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 28 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 28 600.fp (revision 1033) @@ -0,0 +1,40 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 28 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(650 1350 60 28 "15" 0x01) + Pin(650 1250 60 28 "16" 0x01) + Pin(650 1150 60 28 "17" 0x01) + Pin(650 1050 60 28 "18" 0x01) + Pin(650 950 60 28 "19" 0x01) + Pin(650 850 60 28 "20" 0x01) + Pin(650 750 60 28 "21" 0x01) + Pin(650 650 60 28 "22" 0x01) + Pin(650 550 60 28 "23" 0x01) + Pin(650 450 60 28 "24" 0x01) + Pin(650 350 60 28 "25" 0x01) + Pin(650 250 60 28 "26" 0x01) + Pin(650 150 60 28 "27" 0x01) + Pin(650 50 60 28 "28" 0x01) + ElementLine(0 0 0 1400 10) + ElementLine(0 1400 700 1400 10) + ElementLine(700 1400 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 32 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 32 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 32 600.fp (revision 1033) @@ -0,0 +1,44 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 32 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(650 1550 60 28 "17" 0x01) + Pin(650 1450 60 28 "18" 0x01) + Pin(650 1350 60 28 "19" 0x01) + Pin(650 1250 60 28 "20" 0x01) + Pin(650 1150 60 28 "21" 0x01) + Pin(650 1050 60 28 "22" 0x01) + Pin(650 950 60 28 "23" 0x01) + Pin(650 850 60 28 "24" 0x01) + Pin(650 750 60 28 "25" 0x01) + Pin(650 650 60 28 "26" 0x01) + Pin(650 550 60 28 "27" 0x01) + Pin(650 450 60 28 "28" 0x01) + Pin(650 350 60 28 "29" 0x01) + Pin(650 250 60 28 "30" 0x01) + Pin(650 150 60 28 "31" 0x01) + Pin(650 50 60 28 "32" 0x01) + ElementLine(0 0 0 1600 10) + ElementLine(0 1600 700 1600 10) + ElementLine(700 1600 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 40 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 40 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 40 600.fp (revision 1033) @@ -0,0 +1,52 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 40 600" 370 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(650 1950 60 28 "21" 0x01) + Pin(650 1850 60 28 "22" 0x01) + Pin(650 1750 60 28 "23" 0x01) + Pin(650 1650 60 28 "24" 0x01) + Pin(650 1550 60 28 "25" 0x01) + Pin(650 1450 60 28 "26" 0x01) + Pin(650 1350 60 28 "27" 0x01) + Pin(650 1250 60 28 "28" 0x01) + Pin(650 1150 60 28 "29" 0x01) + Pin(650 1050 60 28 "30" 0x01) + Pin(650 950 60 28 "31" 0x01) + Pin(650 850 60 28 "32" 0x01) + Pin(650 750 60 28 "33" 0x01) + Pin(650 650 60 28 "34" 0x01) + Pin(650 550 60 28 "35" 0x01) + Pin(650 450 60 28 "36" 0x01) + Pin(650 350 60 28 "37" 0x01) + Pin(650 250 60 28 "38" 0x01) + Pin(650 150 60 28 "39" 0x01) + Pin(650 50 60 28 "40" 0x01) + ElementLine(0 0 0 2000 10) + ElementLine(0 2000 700 2000 10) + ElementLine(700 2000 700 0 10) + ElementLine(0 0 300 0 10) + ElementLine(400 0 700 0 10) + ElementArc(350 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 64 900.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 64 900.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 64 900.fp (revision 1033) @@ -0,0 +1,76 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 64 900" 520 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(50 450 60 28 "5" 0x01) + Pin(50 550 60 28 "6" 0x01) + Pin(50 650 60 28 "7" 0x01) + Pin(50 750 60 28 "8" 0x01) + Pin(50 850 60 28 "9" 0x01) + Pin(50 950 60 28 "10" 0x01) + Pin(50 1050 60 28 "11" 0x01) + Pin(50 1150 60 28 "12" 0x01) + Pin(50 1250 60 28 "13" 0x01) + Pin(50 1350 60 28 "14" 0x01) + Pin(50 1450 60 28 "15" 0x01) + Pin(50 1550 60 28 "16" 0x01) + Pin(50 1650 60 28 "17" 0x01) + Pin(50 1750 60 28 "18" 0x01) + Pin(50 1850 60 28 "19" 0x01) + Pin(50 1950 60 28 "20" 0x01) + Pin(50 2050 60 28 "21" 0x01) + Pin(50 2150 60 28 "22" 0x01) + Pin(50 2250 60 28 "23" 0x01) + Pin(50 2350 60 28 "24" 0x01) + Pin(50 2450 60 28 "25" 0x01) + Pin(50 2550 60 28 "26" 0x01) + Pin(50 2650 60 28 "27" 0x01) + Pin(50 2750 60 28 "28" 0x01) + Pin(50 2850 60 28 "29" 0x01) + Pin(50 2950 60 28 "30" 0x01) + Pin(50 3050 60 28 "31" 0x01) + Pin(50 3150 60 28 "32" 0x01) + Pin(950 3150 60 28 "33" 0x01) + Pin(950 3050 60 28 "34" 0x01) + Pin(950 2950 60 28 "35" 0x01) + Pin(950 2850 60 28 "36" 0x01) + Pin(950 2750 60 28 "37" 0x01) + Pin(950 2650 60 28 "38" 0x01) + Pin(950 2550 60 28 "39" 0x01) + Pin(950 2450 60 28 "40" 0x01) + Pin(950 2350 60 28 "41" 0x01) + Pin(950 2250 60 28 "42" 0x01) + Pin(950 2150 60 28 "43" 0x01) + Pin(950 2050 60 28 "44" 0x01) + Pin(950 1950 60 28 "45" 0x01) + Pin(950 1850 60 28 "46" 0x01) + Pin(950 1750 60 28 "47" 0x01) + Pin(950 1650 60 28 "48" 0x01) + Pin(950 1550 60 28 "49" 0x01) + Pin(950 1450 60 28 "50" 0x01) + Pin(950 1350 60 28 "51" 0x01) + Pin(950 1250 60 28 "52" 0x01) + Pin(950 1150 60 28 "53" 0x01) + Pin(950 1050 60 28 "54" 0x01) + Pin(950 950 60 28 "55" 0x01) + Pin(950 850 60 28 "56" 0x01) + Pin(950 750 60 28 "57" 0x01) + Pin(950 650 60 28 "58" 0x01) + Pin(950 550 60 28 "59" 0x01) + Pin(950 450 60 28 "60" 0x01) + Pin(950 350 60 28 "61" 0x01) + Pin(950 250 60 28 "62" 0x01) + Pin(950 150 60 28 "63" 0x01) + Pin(950 50 60 28 "64" 0x01) + ElementLine(0 0 0 3200 10) + ElementLine(0 3200 1000 3200 10) + ElementLine(1000 3200 1000 0 10) + ElementLine(0 0 450 0 10) + ElementLine(550 0 1000 0 10) + ElementArc(500 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/N 8 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/N 8 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/N 8 300.fp (revision 1033) @@ -0,0 +1,20 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "generic" "" "N 8 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "1" 0x101) + Pin(50 150 60 28 "2" 0x01) + Pin(50 250 60 28 "3" 0x01) + Pin(50 350 60 28 "4" 0x01) + Pin(350 350 60 28 "5" 0x01) + Pin(350 250 60 28 "6" 0x01) + Pin(350 150 60 28 "7" 0x01) + Pin(350 50 60 28 "8" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 400 400 10) + ElementLine(400 400 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/NEC19.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/NEC19.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/NEC19.fp (revision 1033) @@ -0,0 +1,11 @@ + Element(0x00 "NEC SOT-NE19 package" "" "NEC19" 0 62 0 100 0x00) +( + # Use Pad instead of PAD so all pads come out square + Pad(0 40 0 40 24 "1" 0x100) + Pad(0 0 0 0 24 "2" 0x100) + Pad(50 20 50 20 24 "3" 0x100) + ElementLine(16 -11 16 51 6) + ElementLine(16 51 34 51 6) + ElementLine(34 51 34 -11 6) + ElementLine(34 -11 16 -11 6) +) Index: oldlib/lib/pcblib-newlib/generic/OLD_QFP 44 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OLD_QFP 44 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OLD_QFP 44 150.fp (revision 1033) @@ -0,0 +1,59 @@ +Element(0x00 "generic" "" "OLD_QFP 44 150" 100 197 0 100 0x00) +( + # left row +#define(`count', `eval(OFFSET+1)') +Pad(-65 42 5 42 20 "1" 0x00) + Pad(-65 73 5 73 20 "2" 0x100) + Pad(-65 104 5 104 20 "3" 0x100) + Pad(-65 135 5 135 20 "4" 0x100) + Pad(-65 166 5 166 20 "5" 0x100) + Pad(-65 197 5 197 20 "6" 0x100) + Pad(-65 228 5 228 20 "7" 0x100) + Pad(-65 259 5 259 20 "8" 0x100) + Pad(-65 290 5 290 20 "9" 0x100) + Pad(-65 321 5 321 20 "10" 0x100) + Pad(-65 352 5 352 20 "11" 0x100) + # bottom row +Pad(42 459 42 389 20 "12" 0x100) +Pad(73 459 73 389 20 "13" 0x100) +Pad(104 459 104 389 20 "14" 0x100) +Pad(135 459 135 389 20 "15" 0x100) +Pad(166 459 166 389 20 "16" 0x100) +Pad(197 459 197 389 20 "17" 0x100) +Pad(228 459 228 389 20 "18" 0x100) +Pad(259 459 259 389 20 "19" 0x100) +Pad(290 459 290 389 20 "20" 0x100) +Pad(321 459 321 389 20 "21" 0x100) +Pad(352 459 352 389 20 "22" 0x100) + # right row +Pad(459 352 389 352 20 "23" 0x100) +Pad(459 321 389 321 20 "24" 0x100) +Pad(459 290 389 290 20 "25" 0x100) +Pad(459 259 389 259 20 "26" 0x100) +Pad(459 228 389 228 20 "27" 0x100) +Pad(459 197 389 197 20 "28" 0x100) +Pad(459 166 389 166 20 "29" 0x100) +Pad(459 135 389 135 20 "30" 0x100) +Pad(459 104 389 104 20 "31" 0x100) +Pad(459 73 389 73 20 "32" 0x100) +Pad(459 42 389 42 20 "33" 0x100) + # top row +Pad(352 -65 352 5 20 "34" 0x100) +Pad(321 -65 321 5 20 "35" 0x100) +Pad(290 -65 290 5 20 "36" 0x100) +Pad(259 -65 259 5 20 "37" 0x100) +Pad(228 -65 228 5 20 "38" 0x100) +Pad(197 -65 197 5 20 "39" 0x100) +Pad(166 -65 166 5 20 "40" 0x100) +Pad(135 -65 135 5 20 "41" 0x100) +Pad(104 -65 104 5 20 "42" 0x100) +Pad(73 -65 73 5 20 "43" 0x100) +Pad(42 -65 42 5 20 "44" 0x100) + ElementLine(28 0 394 0 10) + ElementLine(394 0 394 394 10) + ElementLine(394 394 0 394 10) + ElementLine(0 394 0 28 10) + ElementLine(0 28 28 0 10) + ElementArc(80 80 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 1.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 1.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 1.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 1" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 10.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 10.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 10" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 12.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 12.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 12.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 12" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 16.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 16.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 16" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 2.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 2.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 2.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 2" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 20.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 20.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 20" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 24.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 24.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 24" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 32.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 32.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 32.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 32" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 4.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 4.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 4" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 5.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 5.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 5" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OSC 8.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OSC 8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OSC 8.fp (revision 1033) @@ -0,0 +1,23 @@ + Element(0x00 "oscillator" "" "OSC 8" 270 300 3 100 0x00) +( + Pin(100 100 50 28 "NC" 0x01) + Pin(100 700 50 28 "GND" 0x01) + Pin(400 700 50 28 "CLK" 0x01) + Pin(400 100 50 28 "VCC" 0x01) + ElementLine(5 5 400 5 10) + ElementArc(400 100 95 95 180 90 10) + ElementLine(495 100 495 700 10) + ElementArc(400 700 95 95 90 90 10) + ElementLine(400 795 100 795 10) + ElementArc(100 700 95 95 0 90 10) + ElementLine(5 700 5 5 10) + ElementLine(100 60 400 60 10) + ElementArc(400 100 40 40 180 90 10) + ElementLine(440 100 440 700 10) + ElementArc(400 700 40 40 90 90 10) + ElementLine(400 740 100 740 10) + ElementArc(100 700 40 40 0 90 10) + ElementLine(60 700 60 100 10) + ElementArc(100 100 40 40 270 90 10) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/generic/OVEN_OSC 5.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/OVEN_OSC 5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/OVEN_OSC 5.fp (revision 1033) @@ -0,0 +1,25 @@ + Element(0x00 "ovenized" "" "OVEN_OSC 5" 580 280 0 100 0x00) +( + Pin(320 320 150 35 "NC" 0x01) + Pin(320 1000 150 35 "VCC" 0x01) + Pin(320 1680 150 35 "CLK" 0x01) + Pin(1680 320 150 35 "+12V" 0x01) + Pin(1680 1680 150 35 "GND" 0x01) + ElementLine(2020 1125 2020 875 10) + ElementLine(2100 875 2020 875 10) + ElementLine(2100 975 2100 875 10) + ElementLine(2075 975 2100 975 10) + ElementLine(2075 1025 2075 975 10) + ElementLine(2100 1025 2075 1025 10) + ElementLine(2100 1125 2100 1025 10) + ElementLine(2100 1125 2020 1125 10) + ElementLine(2000 320 2000 1680 10) + ElementLine(320 0 1680 0 10) + ElementLine(0 1680 0 320 10) + ElementLine(1680 2000 320 2000 10) + ElementArc(320 320 320 320 270 90 10) + ElementArc(320 1680 320 320 0 90 10) + ElementArc(1680 320 320 320 180 90 10) + ElementArc(1680 1680 320 320 90 90 10) + Mark(320 320) +) Index: oldlib/lib/pcblib-newlib/generic/PLCC 44 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC 44 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC 44 150.fp (revision 1033) @@ -0,0 +1,81 @@ + # was 15 + # was 50 +Element(0x00 "generic" "" "PLCC 44 150" 100 325 0 100 0x00) +( + # top left half +Pad(325 -20 325 40 20 "1" 0x00) + Pad(275 -20 275 40 20 "2" 0x100) + Pad(225 -20 225 40 20 "3" 0x100) + Pad(175 -20 175 40 20 "4" 0x100) + Pad(125 -20 125 40 20 "5" 0x100) + Pad(75 -20 75 40 20 "6" 0x100) + # left row +Pad(-20 75 40 75 20 "7" 0x100) + Pad(-20 125 40 125 20 "8" 0x100) + Pad(-20 175 40 175 20 "9" 0x100) + Pad(-20 225 40 225 20 "10" 0x100) + Pad(-20 275 40 275 20 "11" 0x100) + Pad(-20 325 40 325 20 "12" 0x100) + Pad(-20 375 40 375 20 "13" 0x100) + Pad(-20 425 40 425 20 "14" 0x100) + Pad(-20 475 40 475 20 "15" 0x100) + Pad(-20 525 40 525 20 "16" 0x100) + Pad(-20 575 40 575 20 "17" 0x100) + # bottom row +Pad(75 670 75 610 20 "18" 0x100) +Pad(125 670 125 610 20 "19" 0x100) +Pad(175 670 175 610 20 "20" 0x100) +Pad(225 670 225 610 20 "21" 0x100) +Pad(275 670 275 610 20 "22" 0x100) +Pad(325 670 325 610 20 "23" 0x100) +Pad(375 670 375 610 20 "24" 0x100) +Pad(425 670 425 610 20 "25" 0x100) +Pad(475 670 475 610 20 "26" 0x100) +Pad(525 670 525 610 20 "27" 0x100) +Pad(575 670 575 610 20 "28" 0x100) + # right row +Pad(670 575 610 575 20 "29" 0x100) +Pad(670 525 610 525 20 "30" 0x100) +Pad(670 475 610 475 20 "31" 0x100) +Pad(670 425 610 425 20 "32" 0x100) +Pad(670 375 610 375 20 "33" 0x100) +Pad(670 325 610 325 20 "34" 0x100) +Pad(670 275 610 275 20 "35" 0x100) +Pad(670 225 610 225 20 "36" 0x100) +Pad(670 175 610 175 20 "37" 0x100) +Pad(670 125 610 125 20 "38" 0x100) +Pad(670 75 610 75 20 "39" 0x100) + # top right row +Pad(575 -20 575 40 20 "40" 0x100) +Pad(525 -20 525 40 20 "41" 0x100) +Pad(475 -20 475 40 20 "42" 0x100) +Pad(425 -20 425 40 20 "43" 0x100) +Pad(375 -20 375 40 20 "44" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(600 0 650 0 10) + ElementLine(650 0 650 50 10) + ElementLine(650 600 650 650 10) + ElementLine(650 650 600 650 10) + ElementLine(50 650 0 650 10) + ElementLine(0 650 0 600 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 690 -40 10) + ElementLine(690 -40 690 690 10) + ElementLine(690 690 -40 690 10) + ElementLine(-40 690 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(325 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/generic/PLCC 52 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC 52 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC 52 150.fp (revision 1033) @@ -0,0 +1,89 @@ + # was 15 + # was 50 +Element(0x00 "generic" "" "PLCC 52 150" 100 375 0 100 0x00) +( + # top left half +Pad(375 -20 375 40 20 "1" 0x00) + Pad(325 -20 325 40 20 "2" 0x100) + Pad(275 -20 275 40 20 "3" 0x100) + Pad(225 -20 225 40 20 "4" 0x100) + Pad(175 -20 175 40 20 "5" 0x100) + Pad(125 -20 125 40 20 "6" 0x100) + Pad(75 -20 75 40 20 "7" 0x100) + # left row +Pad(-20 75 40 75 20 "8" 0x100) + Pad(-20 125 40 125 20 "9" 0x100) + Pad(-20 175 40 175 20 "10" 0x100) + Pad(-20 225 40 225 20 "11" 0x100) + Pad(-20 275 40 275 20 "12" 0x100) + Pad(-20 325 40 325 20 "13" 0x100) + Pad(-20 375 40 375 20 "14" 0x100) + Pad(-20 425 40 425 20 "15" 0x100) + Pad(-20 475 40 475 20 "16" 0x100) + Pad(-20 525 40 525 20 "17" 0x100) + Pad(-20 575 40 575 20 "18" 0x100) + Pad(-20 625 40 625 20 "19" 0x100) + Pad(-20 675 40 675 20 "20" 0x100) + # bottom row +Pad(75 770 75 710 20 "21" 0x100) +Pad(125 770 125 710 20 "22" 0x100) +Pad(175 770 175 710 20 "23" 0x100) +Pad(225 770 225 710 20 "24" 0x100) +Pad(275 770 275 710 20 "25" 0x100) +Pad(325 770 325 710 20 "26" 0x100) +Pad(375 770 375 710 20 "27" 0x100) +Pad(425 770 425 710 20 "28" 0x100) +Pad(475 770 475 710 20 "29" 0x100) +Pad(525 770 525 710 20 "30" 0x100) +Pad(575 770 575 710 20 "31" 0x100) +Pad(625 770 625 710 20 "32" 0x100) +Pad(675 770 675 710 20 "33" 0x100) + # right row +Pad(770 675 710 675 20 "34" 0x100) +Pad(770 625 710 625 20 "35" 0x100) +Pad(770 575 710 575 20 "36" 0x100) +Pad(770 525 710 525 20 "37" 0x100) +Pad(770 475 710 475 20 "38" 0x100) +Pad(770 425 710 425 20 "39" 0x100) +Pad(770 375 710 375 20 "40" 0x100) +Pad(770 325 710 325 20 "41" 0x100) +Pad(770 275 710 275 20 "42" 0x100) +Pad(770 225 710 225 20 "43" 0x100) +Pad(770 175 710 175 20 "44" 0x100) +Pad(770 125 710 125 20 "45" 0x100) +Pad(770 75 710 75 20 "46" 0x100) + # top right row +Pad(675 -20 675 40 20 "47" 0x100) +Pad(625 -20 625 40 20 "48" 0x100) +Pad(575 -20 575 40 20 "49" 0x100) +Pad(525 -20 525 40 20 "50" 0x100) +Pad(475 -20 475 40 20 "51" 0x100) +Pad(425 -20 425 40 20 "52" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(700 0 750 0 10) + ElementLine(750 0 750 50 10) + ElementLine(750 700 750 750 10) + ElementLine(750 750 700 750 10) + ElementLine(50 750 0 750 10) + ElementLine(0 750 0 700 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 790 -40 10) + ElementLine(790 -40 790 790 10) + ElementLine(790 790 -40 790 10) + ElementLine(-40 790 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(375 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/generic/PLCC 68 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC 68 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC 68 150.fp (revision 1033) @@ -0,0 +1,105 @@ + # was 15 + # was 50 +Element(0x00 "generic" "" "PLCC 68 150" 100 475 0 100 0x00) +( + # top left half +Pad(475 -20 475 40 20 "1" 0x00) + Pad(425 -20 425 40 20 "2" 0x100) + Pad(375 -20 375 40 20 "3" 0x100) + Pad(325 -20 325 40 20 "4" 0x100) + Pad(275 -20 275 40 20 "5" 0x100) + Pad(225 -20 225 40 20 "6" 0x100) + Pad(175 -20 175 40 20 "7" 0x100) + Pad(125 -20 125 40 20 "8" 0x100) + Pad(75 -20 75 40 20 "9" 0x100) + # left row +Pad(-20 75 40 75 20 "10" 0x100) + Pad(-20 125 40 125 20 "11" 0x100) + Pad(-20 175 40 175 20 "12" 0x100) + Pad(-20 225 40 225 20 "13" 0x100) + Pad(-20 275 40 275 20 "14" 0x100) + Pad(-20 325 40 325 20 "15" 0x100) + Pad(-20 375 40 375 20 "16" 0x100) + Pad(-20 425 40 425 20 "17" 0x100) + Pad(-20 475 40 475 20 "18" 0x100) + Pad(-20 525 40 525 20 "19" 0x100) + Pad(-20 575 40 575 20 "20" 0x100) + Pad(-20 625 40 625 20 "21" 0x100) + Pad(-20 675 40 675 20 "22" 0x100) + Pad(-20 725 40 725 20 "23" 0x100) + Pad(-20 775 40 775 20 "24" 0x100) + Pad(-20 825 40 825 20 "25" 0x100) + Pad(-20 875 40 875 20 "26" 0x100) + # bottom row +Pad(75 970 75 910 20 "27" 0x100) +Pad(125 970 125 910 20 "28" 0x100) +Pad(175 970 175 910 20 "29" 0x100) +Pad(225 970 225 910 20 "30" 0x100) +Pad(275 970 275 910 20 "31" 0x100) +Pad(325 970 325 910 20 "32" 0x100) +Pad(375 970 375 910 20 "33" 0x100) +Pad(425 970 425 910 20 "34" 0x100) +Pad(475 970 475 910 20 "35" 0x100) +Pad(525 970 525 910 20 "36" 0x100) +Pad(575 970 575 910 20 "37" 0x100) +Pad(625 970 625 910 20 "38" 0x100) +Pad(675 970 675 910 20 "39" 0x100) +Pad(725 970 725 910 20 "40" 0x100) +Pad(775 970 775 910 20 "41" 0x100) +Pad(825 970 825 910 20 "42" 0x100) +Pad(875 970 875 910 20 "43" 0x100) + # right row +Pad(970 875 910 875 20 "44" 0x100) +Pad(970 825 910 825 20 "45" 0x100) +Pad(970 775 910 775 20 "46" 0x100) +Pad(970 725 910 725 20 "47" 0x100) +Pad(970 675 910 675 20 "48" 0x100) +Pad(970 625 910 625 20 "49" 0x100) +Pad(970 575 910 575 20 "50" 0x100) +Pad(970 525 910 525 20 "51" 0x100) +Pad(970 475 910 475 20 "52" 0x100) +Pad(970 425 910 425 20 "53" 0x100) +Pad(970 375 910 375 20 "54" 0x100) +Pad(970 325 910 325 20 "55" 0x100) +Pad(970 275 910 275 20 "56" 0x100) +Pad(970 225 910 225 20 "57" 0x100) +Pad(970 175 910 175 20 "58" 0x100) +Pad(970 125 910 125 20 "59" 0x100) +Pad(970 75 910 75 20 "60" 0x100) + # top right row +Pad(875 -20 875 40 20 "61" 0x100) +Pad(825 -20 825 40 20 "62" 0x100) +Pad(775 -20 775 40 20 "63" 0x100) +Pad(725 -20 725 40 20 "64" 0x100) +Pad(675 -20 675 40 20 "65" 0x100) +Pad(625 -20 625 40 20 "66" 0x100) +Pad(575 -20 575 40 20 "67" 0x100) +Pad(525 -20 525 40 20 "68" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(900 0 950 0 10) + ElementLine(950 0 950 50 10) + ElementLine(950 900 950 950 10) + ElementLine(950 950 900 950 10) + ElementLine(50 950 0 950 10) + ElementLine(0 950 0 900 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 990 -40 10) + ElementLine(990 -40 990 990 10) + ElementLine(990 990 -40 990 10) + ElementLine(-40 990 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(475 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/generic/PLCC 84 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC 84 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC 84 150.fp (revision 1033) @@ -0,0 +1,121 @@ + # was 15 + # was 50 +Element(0x00 "generic" "" "PLCC 84 150" 100 575 0 100 0x00) +( + # top left half +Pad(575 -20 575 40 20 "1" 0x00) + Pad(525 -20 525 40 20 "2" 0x100) + Pad(475 -20 475 40 20 "3" 0x100) + Pad(425 -20 425 40 20 "4" 0x100) + Pad(375 -20 375 40 20 "5" 0x100) + Pad(325 -20 325 40 20 "6" 0x100) + Pad(275 -20 275 40 20 "7" 0x100) + Pad(225 -20 225 40 20 "8" 0x100) + Pad(175 -20 175 40 20 "9" 0x100) + Pad(125 -20 125 40 20 "10" 0x100) + Pad(75 -20 75 40 20 "11" 0x100) + # left row +Pad(-20 75 40 75 20 "12" 0x100) + Pad(-20 125 40 125 20 "13" 0x100) + Pad(-20 175 40 175 20 "14" 0x100) + Pad(-20 225 40 225 20 "15" 0x100) + Pad(-20 275 40 275 20 "16" 0x100) + Pad(-20 325 40 325 20 "17" 0x100) + Pad(-20 375 40 375 20 "18" 0x100) + Pad(-20 425 40 425 20 "19" 0x100) + Pad(-20 475 40 475 20 "20" 0x100) + Pad(-20 525 40 525 20 "21" 0x100) + Pad(-20 575 40 575 20 "22" 0x100) + Pad(-20 625 40 625 20 "23" 0x100) + Pad(-20 675 40 675 20 "24" 0x100) + Pad(-20 725 40 725 20 "25" 0x100) + Pad(-20 775 40 775 20 "26" 0x100) + Pad(-20 825 40 825 20 "27" 0x100) + Pad(-20 875 40 875 20 "28" 0x100) + Pad(-20 925 40 925 20 "29" 0x100) + Pad(-20 975 40 975 20 "30" 0x100) + Pad(-20 1025 40 1025 20 "31" 0x100) + Pad(-20 1075 40 1075 20 "32" 0x100) + # bottom row +Pad(75 1170 75 1110 20 "33" 0x100) +Pad(125 1170 125 1110 20 "34" 0x100) +Pad(175 1170 175 1110 20 "35" 0x100) +Pad(225 1170 225 1110 20 "36" 0x100) +Pad(275 1170 275 1110 20 "37" 0x100) +Pad(325 1170 325 1110 20 "38" 0x100) +Pad(375 1170 375 1110 20 "39" 0x100) +Pad(425 1170 425 1110 20 "40" 0x100) +Pad(475 1170 475 1110 20 "41" 0x100) +Pad(525 1170 525 1110 20 "42" 0x100) +Pad(575 1170 575 1110 20 "43" 0x100) +Pad(625 1170 625 1110 20 "44" 0x100) +Pad(675 1170 675 1110 20 "45" 0x100) +Pad(725 1170 725 1110 20 "46" 0x100) +Pad(775 1170 775 1110 20 "47" 0x100) +Pad(825 1170 825 1110 20 "48" 0x100) +Pad(875 1170 875 1110 20 "49" 0x100) +Pad(925 1170 925 1110 20 "50" 0x100) +Pad(975 1170 975 1110 20 "51" 0x100) +Pad(1025 1170 1025 1110 20 "52" 0x100) +Pad(1075 1170 1075 1110 20 "53" 0x100) + # right row +Pad(1170 1075 1110 1075 20 "54" 0x100) +Pad(1170 1025 1110 1025 20 "55" 0x100) +Pad(1170 975 1110 975 20 "56" 0x100) +Pad(1170 925 1110 925 20 "57" 0x100) +Pad(1170 875 1110 875 20 "58" 0x100) +Pad(1170 825 1110 825 20 "59" 0x100) +Pad(1170 775 1110 775 20 "60" 0x100) +Pad(1170 725 1110 725 20 "61" 0x100) +Pad(1170 675 1110 675 20 "62" 0x100) +Pad(1170 625 1110 625 20 "63" 0x100) +Pad(1170 575 1110 575 20 "64" 0x100) +Pad(1170 525 1110 525 20 "65" 0x100) +Pad(1170 475 1110 475 20 "66" 0x100) +Pad(1170 425 1110 425 20 "67" 0x100) +Pad(1170 375 1110 375 20 "68" 0x100) +Pad(1170 325 1110 325 20 "69" 0x100) +Pad(1170 275 1110 275 20 "70" 0x100) +Pad(1170 225 1110 225 20 "71" 0x100) +Pad(1170 175 1110 175 20 "72" 0x100) +Pad(1170 125 1110 125 20 "73" 0x100) +Pad(1170 75 1110 75 20 "74" 0x100) + # top right row +Pad(1075 -20 1075 40 20 "75" 0x100) +Pad(1025 -20 1025 40 20 "76" 0x100) +Pad(975 -20 975 40 20 "77" 0x100) +Pad(925 -20 925 40 20 "78" 0x100) +Pad(875 -20 875 40 20 "79" 0x100) +Pad(825 -20 825 40 20 "80" 0x100) +Pad(775 -20 775 40 20 "81" 0x100) +Pad(725 -20 725 40 20 "82" 0x100) +Pad(675 -20 675 40 20 "83" 0x100) +Pad(625 -20 625 40 20 "84" 0x100) +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + ElementLine(1100 0 1150 0 10) + ElementLine(1150 0 1150 50 10) + ElementLine(1150 1100 1150 1150 10) + ElementLine(1150 1150 1100 1150 10) + ElementLine(50 1150 0 1150 10) + ElementLine(0 1150 0 1100 10) + ElementLine(0 50 50 0 10) +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. +# Try 40 mils, and parameterize it. 1/12/00 LRD + ElementLine(50 -40 1190 -40 10) + ElementLine(1190 -40 1190 1190 10) + ElementLine(1190 1190 -40 1190 10) + ElementLine(-40 1190 -40 50 10) + ElementLine(-40 50 50 -40 10) + ElementArc(575 100 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 44 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 44 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 44 150.fp (revision 1033) @@ -0,0 +1,63 @@ +Element(0x00 "generic" "" "PLCC_SOCKET 44 150" 350 500 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# top left row +Pin(550 250 62 35 "1" 0x101) + Pin(450 150 62 35 "2" 0x01) +Pin(450 250 62 35 "3" 0x01) + Pin(350 150 62 35 "4" 0x01) +Pin(350 250 62 35 "5" 0x01) + Pin(250 150 62 35 "6" 0x01) +#left row +Pin(150 250 62 35 "7" 0x01) + Pin(250 250 62 35 "8" 0x01) +Pin(150 350 62 35 "9" 0x01) + Pin(250 350 62 35 "10" 0x01) +Pin(150 450 62 35 "11" 0x01) + Pin(250 450 62 35 "12" 0x01) +Pin(150 550 62 35 "13" 0x01) + Pin(250 550 62 35 "14" 0x01) +Pin(150 650 62 35 "15" 0x01) + Pin(250 650 62 35 "16" 0x01) +Pin(150 750 62 35 "17" 0x01) +# bottom row +Pin(250 850 62 35 "18" 0x01) + Pin(250 750 62 35 "19" 0x01) +Pin(350 850 62 35 "20" 0x01) + Pin(350 750 62 35 "21" 0x01) +Pin(450 850 62 35 "22" 0x01) + Pin(450 750 62 35 "23" 0x01) +Pin(550 850 62 35 "24" 0x01) + Pin(550 750 62 35 "25" 0x01) +Pin(650 850 62 35 "26" 0x01) + Pin(650 750 62 35 "27" 0x01) +Pin(750 850 62 35 "28" 0x01) +# right row +Pin(850 750 62 35 "29" 0x01) + Pin(750 750 62 35 "30" 0x01) +Pin(850 650 62 35 "31" 0x01) + Pin(750 650 62 35 "32" 0x01) +Pin(850 550 62 35 "33" 0x01) + Pin(750 550 62 35 "34" 0x01) +Pin(850 450 62 35 "35" 0x01) + Pin(750 450 62 35 "36" 0x01) +Pin(850 350 62 35 "37" 0x01) + Pin(750 350 62 35 "38" 0x01) +Pin(850 250 62 35 "39" 0x01) +# top rigth row +Pin(750 150 62 35 "40" 0x01) + Pin(750 250 62 35 "41" 0x01) +Pin(650 150 62 35 "42" 0x01) + Pin(650 250 62 35 "43" 0x01) +Pin(550 150 62 35 "44" 0x01) + ElementLine(0 0 1000 0 20) + ElementLine(1000 0 1000 1000 20) + ElementLine(1000 1000 0 1000 20) + ElementLine(0 1000 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(450 0 500 50 10) + ElementLine(500 50 550 0 10) + Mark(500 150) +#------------------------------------------------------------------------ +# all other sockets, currently 20,52,68 and 84 pins +) Index: oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 52 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 52 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 52 150.fp (revision 1033) @@ -0,0 +1,70 @@ +Element(0x00 "generic" "" "PLCC_SOCKET 52 150" 350 550 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# the default case, Pad 1 is on outer top row, in the middle +#top left row +Pin(550 150 62 35 "1" 0x101) + Pin(550 250 62 35 "2" 0x01) +Pin(450 150 62 35 "3" 0x01) + Pin(450 250 62 35 "4" 0x01) +Pin(350 150 62 35 "5" 0x01) + Pin(350 250 62 35 "6" 0x01) +Pin(250 150 62 35 "7" 0x01) +# left row +Pin(150 250 62 35 "8" 0x01) + Pin(250 250 62 35 "9" 0x01) +Pin(150 350 62 35 "10" 0x01) + Pin(250 350 62 35 "11" 0x01) +Pin(150 450 62 35 "12" 0x01) + Pin(250 450 62 35 "13" 0x01) +Pin(150 550 62 35 "14" 0x01) + Pin(250 550 62 35 "15" 0x01) +Pin(150 650 62 35 "16" 0x01) + Pin(250 650 62 35 "17" 0x01) +Pin(150 750 62 35 "18" 0x01) + Pin(250 750 62 35 "19" 0x01) +Pin(150 850 62 35 "20" 0x01) +# bottom row +Pin(250 950 62 35 "21" 0x01) + Pin(250 850 62 35 "22" 0x01) +Pin(350 950 62 35 "23" 0x01) + Pin(350 850 62 35 "24" 0x01) +Pin(450 950 62 35 "25" 0x01) + Pin(450 850 62 35 "26" 0x01) +Pin(550 950 62 35 "27" 0x01) + Pin(550 850 62 35 "28" 0x01) +Pin(650 950 62 35 "29" 0x01) + Pin(650 850 62 35 "30" 0x01) +Pin(750 950 62 35 "31" 0x01) + Pin(750 850 62 35 "32" 0x01) +Pin(850 950 62 35 "33" 0x01) +# right row +Pin(950 850 62 35 "34" 0x01) + Pin(850 850 62 35 "35" 0x01) +Pin(950 750 62 35 "36" 0x01) + Pin(850 750 62 35 "37" 0x01) +Pin(950 650 62 35 "38" 0x01) + Pin(850 650 62 35 "39" 0x01) +Pin(950 550 62 35 "40" 0x01) + Pin(850 550 62 35 "41" 0x01) +Pin(950 450 62 35 "42" 0x01) + Pin(850 450 62 35 "43" 0x01) +Pin(950 350 62 35 "44" 0x01) + Pin(850 350 62 35 "45" 0x01) +Pin(950 250 62 35 "46" 0x01) +#top right row +Pin(850 150 62 35 "47" 0x01) + Pin(850 250 62 35 "48" 0x01) +Pin(750 150 62 35 "49" 0x01) + Pin(750 250 62 35 "50" 0x01) +Pin(650 150 62 35 "51" 0x01) + Pin(650 250 62 35 "52" 0x01) + ElementLine(0 0 1100 0 20) + ElementLine(1100 0 1100 1100 20) + ElementLine(1100 1100 0 1100 20) + ElementLine(0 1100 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(500 0 550 50 10) + ElementLine(550 50 600 0 10) + Mark(550 150) +) Index: oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 68 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 68 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 68 150.fp (revision 1033) @@ -0,0 +1,86 @@ +Element(0x00 "generic" "" "PLCC_SOCKET 68 150" 350 650 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# the default case, Pad 1 is on outer top row, in the middle +#top left row +Pin(650 150 62 35 "1" 0x101) + Pin(650 250 62 35 "2" 0x01) +Pin(550 150 62 35 "3" 0x01) + Pin(550 250 62 35 "4" 0x01) +Pin(450 150 62 35 "5" 0x01) + Pin(450 250 62 35 "6" 0x01) +Pin(350 150 62 35 "7" 0x01) + Pin(350 250 62 35 "8" 0x01) +Pin(250 150 62 35 "9" 0x01) +# left row +Pin(150 250 62 35 "10" 0x01) + Pin(250 250 62 35 "11" 0x01) +Pin(150 350 62 35 "12" 0x01) + Pin(250 350 62 35 "13" 0x01) +Pin(150 450 62 35 "14" 0x01) + Pin(250 450 62 35 "15" 0x01) +Pin(150 550 62 35 "16" 0x01) + Pin(250 550 62 35 "17" 0x01) +Pin(150 650 62 35 "18" 0x01) + Pin(250 650 62 35 "19" 0x01) +Pin(150 750 62 35 "20" 0x01) + Pin(250 750 62 35 "21" 0x01) +Pin(150 850 62 35 "22" 0x01) + Pin(250 850 62 35 "23" 0x01) +Pin(150 950 62 35 "24" 0x01) + Pin(250 950 62 35 "25" 0x01) +Pin(150 1050 62 35 "26" 0x01) +# bottom row +Pin(250 1150 62 35 "27" 0x01) + Pin(250 1050 62 35 "28" 0x01) +Pin(350 1150 62 35 "29" 0x01) + Pin(350 1050 62 35 "30" 0x01) +Pin(450 1150 62 35 "31" 0x01) + Pin(450 1050 62 35 "32" 0x01) +Pin(550 1150 62 35 "33" 0x01) + Pin(550 1050 62 35 "34" 0x01) +Pin(650 1150 62 35 "35" 0x01) + Pin(650 1050 62 35 "36" 0x01) +Pin(750 1150 62 35 "37" 0x01) + Pin(750 1050 62 35 "38" 0x01) +Pin(850 1150 62 35 "39" 0x01) + Pin(850 1050 62 35 "40" 0x01) +Pin(950 1150 62 35 "41" 0x01) + Pin(950 1050 62 35 "42" 0x01) +Pin(1050 1150 62 35 "43" 0x01) +# right row +Pin(1150 1050 62 35 "44" 0x01) + Pin(1050 1050 62 35 "45" 0x01) +Pin(1150 950 62 35 "46" 0x01) + Pin(1050 950 62 35 "47" 0x01) +Pin(1150 850 62 35 "48" 0x01) + Pin(1050 850 62 35 "49" 0x01) +Pin(1150 750 62 35 "50" 0x01) + Pin(1050 750 62 35 "51" 0x01) +Pin(1150 650 62 35 "52" 0x01) + Pin(1050 650 62 35 "53" 0x01) +Pin(1150 550 62 35 "54" 0x01) + Pin(1050 550 62 35 "55" 0x01) +Pin(1150 450 62 35 "56" 0x01) + Pin(1050 450 62 35 "57" 0x01) +Pin(1150 350 62 35 "58" 0x01) + Pin(1050 350 62 35 "59" 0x01) +Pin(1150 250 62 35 "60" 0x01) +#top right row +Pin(1050 150 62 35 "61" 0x01) + Pin(1050 250 62 35 "62" 0x01) +Pin(950 150 62 35 "63" 0x01) + Pin(950 250 62 35 "64" 0x01) +Pin(850 150 62 35 "65" 0x01) + Pin(850 250 62 35 "66" 0x01) +Pin(750 150 62 35 "67" 0x01) + Pin(750 250 62 35 "68" 0x01) + ElementLine(0 0 1300 0 20) + ElementLine(1300 0 1300 1300 20) + ElementLine(1300 1300 0 1300 20) + ElementLine(0 1300 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(600 0 650 50 10) + ElementLine(650 50 700 0 10) + Mark(650 150) +) Index: oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 84 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 84 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/PLCC_SOCKET 84 150.fp (revision 1033) @@ -0,0 +1,102 @@ +Element(0x00 "generic" "" "PLCC_SOCKET 84 150" 350 750 0 100 0x00) +# PLCC - 44 is a special case, pad 1 in inner row +( +# the default case, Pad 1 is on outer top row, in the middle +#top left row +Pin(750 150 62 35 "1" 0x101) + Pin(750 250 62 35 "2" 0x01) +Pin(650 150 62 35 "3" 0x01) + Pin(650 250 62 35 "4" 0x01) +Pin(550 150 62 35 "5" 0x01) + Pin(550 250 62 35 "6" 0x01) +Pin(450 150 62 35 "7" 0x01) + Pin(450 250 62 35 "8" 0x01) +Pin(350 150 62 35 "9" 0x01) + Pin(350 250 62 35 "10" 0x01) +Pin(250 150 62 35 "11" 0x01) +# left row +Pin(150 250 62 35 "12" 0x01) + Pin(250 250 62 35 "13" 0x01) +Pin(150 350 62 35 "14" 0x01) + Pin(250 350 62 35 "15" 0x01) +Pin(150 450 62 35 "16" 0x01) + Pin(250 450 62 35 "17" 0x01) +Pin(150 550 62 35 "18" 0x01) + Pin(250 550 62 35 "19" 0x01) +Pin(150 650 62 35 "20" 0x01) + Pin(250 650 62 35 "21" 0x01) +Pin(150 750 62 35 "22" 0x01) + Pin(250 750 62 35 "23" 0x01) +Pin(150 850 62 35 "24" 0x01) + Pin(250 850 62 35 "25" 0x01) +Pin(150 950 62 35 "26" 0x01) + Pin(250 950 62 35 "27" 0x01) +Pin(150 1050 62 35 "28" 0x01) + Pin(250 1050 62 35 "29" 0x01) +Pin(150 1150 62 35 "30" 0x01) + Pin(250 1150 62 35 "31" 0x01) +Pin(150 1250 62 35 "32" 0x01) +# bottom row +Pin(250 1350 62 35 "33" 0x01) + Pin(250 1250 62 35 "34" 0x01) +Pin(350 1350 62 35 "35" 0x01) + Pin(350 1250 62 35 "36" 0x01) +Pin(450 1350 62 35 "37" 0x01) + Pin(450 1250 62 35 "38" 0x01) +Pin(550 1350 62 35 "39" 0x01) + Pin(550 1250 62 35 "40" 0x01) +Pin(650 1350 62 35 "41" 0x01) + Pin(650 1250 62 35 "42" 0x01) +Pin(750 1350 62 35 "43" 0x01) + Pin(750 1250 62 35 "44" 0x01) +Pin(850 1350 62 35 "45" 0x01) + Pin(850 1250 62 35 "46" 0x01) +Pin(950 1350 62 35 "47" 0x01) + Pin(950 1250 62 35 "48" 0x01) +Pin(1050 1350 62 35 "49" 0x01) + Pin(1050 1250 62 35 "50" 0x01) +Pin(1150 1350 62 35 "51" 0x01) + Pin(1150 1250 62 35 "52" 0x01) +Pin(1250 1350 62 35 "53" 0x01) +# right row +Pin(1350 1250 62 35 "54" 0x01) + Pin(1250 1250 62 35 "55" 0x01) +Pin(1350 1150 62 35 "56" 0x01) + Pin(1250 1150 62 35 "57" 0x01) +Pin(1350 1050 62 35 "58" 0x01) + Pin(1250 1050 62 35 "59" 0x01) +Pin(1350 950 62 35 "60" 0x01) + Pin(1250 950 62 35 "61" 0x01) +Pin(1350 850 62 35 "62" 0x01) + Pin(1250 850 62 35 "63" 0x01) +Pin(1350 750 62 35 "64" 0x01) + Pin(1250 750 62 35 "65" 0x01) +Pin(1350 650 62 35 "66" 0x01) + Pin(1250 650 62 35 "67" 0x01) +Pin(1350 550 62 35 "68" 0x01) + Pin(1250 550 62 35 "69" 0x01) +Pin(1350 450 62 35 "70" 0x01) + Pin(1250 450 62 35 "71" 0x01) +Pin(1350 350 62 35 "72" 0x01) + Pin(1250 350 62 35 "73" 0x01) +Pin(1350 250 62 35 "74" 0x01) +#top right row +Pin(1250 150 62 35 "75" 0x01) + Pin(1250 250 62 35 "76" 0x01) +Pin(1150 150 62 35 "77" 0x01) + Pin(1150 250 62 35 "78" 0x01) +Pin(1050 150 62 35 "79" 0x01) + Pin(1050 250 62 35 "80" 0x01) +Pin(950 150 62 35 "81" 0x01) + Pin(950 250 62 35 "82" 0x01) +Pin(850 150 62 35 "83" 0x01) + Pin(850 250 62 35 "84" 0x01) + ElementLine(0 0 1500 0 20) + ElementLine(1500 0 1500 1500 20) + ElementLine(1500 1500 0 1500 20) + ElementLine(0 1500 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(700 0 750 50 10) + ElementLine(750 50 800 0 10) + Mark(750 150) +) Index: oldlib/lib/pcblib-newlib/generic/QFP 44 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/QFP 44 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/QFP 44 150.fp (revision 1033) @@ -0,0 +1,61 @@ +Element(0x00 "generic" "" "QFP 44 150" 100 0 0 100 0x00) +( + # left row, going down + Pad(10 116 80 116 20 "1" 0x00) + Pad(10 147 80 147 20 "2" 0x100) + Pad(10 179 80 179 20 "3" 0x100) + Pad(10 210 80 210 20 "4" 0x100) + Pad(10 242 80 242 20 "5" 0x100) + Pad(10 273 80 273 20 "6" 0x100) + Pad(10 305 80 305 20 "7" 0x100) + Pad(10 336 80 336 20 "8" 0x100) + Pad(10 368 80 368 20 "9" 0x100) + Pad(10 399 80 399 20 "10" 0x100) + Pad(10 431 80 431 20 "11" 0x100) + # bottom row, going right + Pad(116 537 116 467 20 "12" 0x100) + Pad(147 537 147 467 20 "13" 0x100) + Pad(179 537 179 467 20 "14" 0x100) + Pad(210 537 210 467 20 "15" 0x100) + Pad(242 537 242 467 20 "16" 0x100) + Pad(273 537 273 467 20 "17" 0x100) + Pad(305 537 305 467 20 "18" 0x100) + Pad(336 537 336 467 20 "19" 0x100) + Pad(368 537 368 467 20 "20" 0x100) + Pad(399 537 399 467 20 "21" 0x100) + Pad(431 537 431 467 20 "22" 0x100) + # right row, going up + Pad(537 431 467 431 20 "23" 0x100) + Pad(537 400 467 400 20 "24" 0x100) + Pad(537 368 467 368 20 "25" 0x100) + Pad(537 337 467 337 20 "26" 0x100) + Pad(537 305 467 305 20 "27" 0x100) + Pad(537 274 467 274 20 "28" 0x100) + Pad(537 242 467 242 20 "29" 0x100) + Pad(537 211 467 211 20 "30" 0x100) + Pad(537 179 467 179 20 "31" 0x100) + Pad(537 148 467 148 20 "32" 0x100) + Pad(537 116 467 116 20 "33" 0x100) + # top row, going left + Pad(431 10 431 80 20 "34" 0x100) + Pad(400 10 400 80 20 "35" 0x100) + Pad(368 10 368 80 20 "36" 0x100) + Pad(337 10 337 80 20 "37" 0x100) + Pad(305 10 305 80 20 "38" 0x100) + Pad(274 10 274 80 20 "39" 0x100) + Pad(242 10 242 80 20 "40" 0x100) + Pad(211 10 211 80 20 "41" 0x100) + Pad(179 10 179 80 20 "42" 0x100) + Pad(148 10 148 80 20 "43" 0x100) + Pad(116 10 116 80 20 "44" 0x100) + # left row, going down again, maybe + ElementLine(100 100 447 100 8) + ElementLine(447 100 447 447 8) + ElementLine(447 447 100 447 8) + ElementLine(100 447 100 100 8) + # Pin 1 Indicator + ElementArc(140 140 20 20 0 360 10) + # Moderately useful place for the Mark. This way, + # if the pins can line up with the grid, they do. + Mark(116 116) +) Index: oldlib/lib/pcblib-newlib/generic/QFP2 80 150.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/QFP2 80 150.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/QFP2 80 150.fp (revision 1033) @@ -0,0 +1,95 @@ +Element(0x00 "generic" "" "QFP2 80 150" 100 287 0 100 0x00) +( + # left row +#define(`count', `eval(OFFSET+1)') +Pad(-65 50 5 50 15 "1" 0x00) + Pad(-65 75 5 75 15 "2" 0x100) + Pad(-65 100 5 100 15 "3" 0x100) + Pad(-65 125 5 125 15 "4" 0x100) + Pad(-65 150 5 150 15 "5" 0x100) + Pad(-65 175 5 175 15 "6" 0x100) + Pad(-65 200 5 200 15 "7" 0x100) + Pad(-65 225 5 225 15 "8" 0x100) + Pad(-65 250 5 250 15 "9" 0x100) + Pad(-65 275 5 275 15 "10" 0x100) + Pad(-65 300 5 300 15 "11" 0x100) + Pad(-65 325 5 325 15 "12" 0x100) + Pad(-65 350 5 350 15 "13" 0x100) + Pad(-65 375 5 375 15 "14" 0x100) + Pad(-65 400 5 400 15 "15" 0x100) + Pad(-65 425 5 425 15 "16" 0x100) + Pad(-65 450 5 450 15 "17" 0x100) + Pad(-65 475 5 475 15 "18" 0x100) + Pad(-65 500 5 500 15 "19" 0x100) + Pad(-65 525 5 525 15 "20" 0x100) + # bottom row +Pad(50 640 50 570 15 "21" 0x100) +Pad(75 640 75 570 15 "22" 0x100) +Pad(100 640 100 570 15 "23" 0x100) +Pad(125 640 125 570 15 "24" 0x100) +Pad(150 640 150 570 15 "25" 0x100) +Pad(175 640 175 570 15 "26" 0x100) +Pad(200 640 200 570 15 "27" 0x100) +Pad(225 640 225 570 15 "28" 0x100) +Pad(250 640 250 570 15 "29" 0x100) +Pad(275 640 275 570 15 "30" 0x100) +Pad(300 640 300 570 15 "31" 0x100) +Pad(325 640 325 570 15 "32" 0x100) +Pad(350 640 350 570 15 "33" 0x100) +Pad(375 640 375 570 15 "34" 0x100) +Pad(400 640 400 570 15 "35" 0x100) +Pad(425 640 425 570 15 "36" 0x100) +Pad(450 640 450 570 15 "37" 0x100) +Pad(475 640 475 570 15 "38" 0x100) +Pad(500 640 500 570 15 "39" 0x100) +Pad(525 640 525 570 15 "40" 0x100) + # right row +Pad(640 525 570 525 15 "41" 0x100) +Pad(640 500 570 500 15 "42" 0x100) +Pad(640 475 570 475 15 "43" 0x100) +Pad(640 450 570 450 15 "44" 0x100) +Pad(640 425 570 425 15 "45" 0x100) +Pad(640 400 570 400 15 "46" 0x100) +Pad(640 375 570 375 15 "47" 0x100) +Pad(640 350 570 350 15 "48" 0x100) +Pad(640 325 570 325 15 "49" 0x100) +Pad(640 300 570 300 15 "50" 0x100) +Pad(640 275 570 275 15 "51" 0x100) +Pad(640 250 570 250 15 "52" 0x100) +Pad(640 225 570 225 15 "53" 0x100) +Pad(640 200 570 200 15 "54" 0x100) +Pad(640 175 570 175 15 "55" 0x100) +Pad(640 150 570 150 15 "56" 0x100) +Pad(640 125 570 125 15 "57" 0x100) +Pad(640 100 570 100 15 "58" 0x100) +Pad(640 75 570 75 15 "59" 0x100) +Pad(640 50 570 50 15 "60" 0x100) + # top row +Pad(525 -65 525 5 15 "61" 0x100) +Pad(500 -65 500 5 15 "62" 0x100) +Pad(475 -65 475 5 15 "63" 0x100) +Pad(450 -65 450 5 15 "64" 0x100) +Pad(425 -65 425 5 15 "65" 0x100) +Pad(400 -65 400 5 15 "66" 0x100) +Pad(375 -65 375 5 15 "67" 0x100) +Pad(350 -65 350 5 15 "68" 0x100) +Pad(325 -65 325 5 15 "69" 0x100) +Pad(300 -65 300 5 15 "70" 0x100) +Pad(275 -65 275 5 15 "71" 0x100) +Pad(250 -65 250 5 15 "72" 0x100) +Pad(225 -65 225 5 15 "73" 0x100) +Pad(200 -65 200 5 15 "74" 0x100) +Pad(175 -65 175 5 15 "75" 0x100) +Pad(150 -65 150 5 15 "76" 0x100) +Pad(125 -65 125 5 15 "77" 0x100) +Pad(100 -65 100 5 15 "78" 0x100) +Pad(75 -65 75 5 15 "79" 0x100) +Pad(50 -65 50 5 15 "80" 0x100) + ElementLine(28 0 575 0 10) + ElementLine(575 0 575 575 10) + ElementLine(575 575 0 575 10) + ElementLine(0 575 0 28 10) + ElementLine(0 28 28 0 10) + ElementArc(80 80 20 20 0 360 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/generic/R025.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/R025.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/R025.fp (revision 1033) @@ -0,0 +1,12 @@ + Element(0x00 "R 0.25W" "" "R025" 120 30 0 100 0x00) +( + Pin(0 50 68 38 "1" 0x101) + Pin(400 50 68 38 "2" 0x01) + ElementLine(100 0 300 0 20) + ElementLine(300 0 300 100 20) + ElementLine(300 100 100 100 20) + ElementLine(100 100 100 0 20) + ElementLine(0 50 100 50 20) + ElementLine(300 50 400 50 20) + Mark(0 50) +) Index: oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 200.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 200.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 200.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "capacitor_radial" "" "RADIAL_CAN 200" 200 0 0 100 0x00) +( + Pin(50 100 60 30 "1" 0x101) + Pin(150 100 60 30 "2" 0x01) + ElementArc(100 100 100 100 0 360 10) + Mark (50 100) +) Index: oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 300.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "capacitor_radial" "" "RADIAL_CAN 300" 300 0 0 100 0x00) +( + Pin(75 150 60 30 "1" 0x101) + Pin(225 150 60 30 "2" 0x01) + ElementArc(150 150 150 150 0 360 10) + Mark (75 150) +) Index: oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 400.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 400.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 400.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "capacitor_radial" "" "RADIAL_CAN 400" 400 0 0 100 0x00) +( + Pin(100 200 60 30 "1" 0x101) + Pin(300 200 60 30 "2" 0x01) + ElementArc(200 200 200 200 0 360 10) + Mark (100 200) +) Index: oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 500.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 500.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 500.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "capacitor_radial" "" "RADIAL_CAN 500" 500 0 0 100 0x00) +( + Pin(125 250 60 30 "1" 0x101) + Pin(375 250 60 30 "2" 0x01) + ElementArc(250 250 250 250 0 360 10) + Mark (125 250) +) Index: oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 600.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 600.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/RADIAL_CAN 600.fp (revision 1033) @@ -0,0 +1,7 @@ +Element(0x00 "capacitor_radial" "" "RADIAL_CAN 600" 600 0 0 100 0x00) +( + Pin(150 300 60 50 "1" 0x101) + Pin(450 300 60 50 "2" 0x01) + ElementArc(300 300 300 300 0 360 10) + Mark (150 300) +) Index: oldlib/lib/pcblib-newlib/generic/SD 20.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SD 20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SD 20.fp (revision 1033) @@ -0,0 +1,30 @@ +Element(0x00 "generic" "" "SD 20" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + ElementLine(0 0 0 1050 20) + ElementLine(0 1050 200 1050 20) + ElementLine(200 1050 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/SD 24.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SD 24.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SD 24.fp (revision 1033) @@ -0,0 +1,34 @@ +Element(0x00 "generic" "" "SD 24" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + Pin(50 1050 60 35 "21" 0x01) + Pin(150 1100 60 35 "22" 0x01) + Pin(50 1150 60 35 "23" 0x01) + Pin(150 1200 60 35 "24" 0x01) + ElementLine(0 0 0 1250 20) + ElementLine(0 1250 200 1250 20) + ElementLine(200 1250 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/SD 28.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SD 28.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SD 28.fp (revision 1033) @@ -0,0 +1,38 @@ +Element(0x00 "generic" "" "SD 28" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + Pin(50 1050 60 35 "21" 0x01) + Pin(150 1100 60 35 "22" 0x01) + Pin(50 1150 60 35 "23" 0x01) + Pin(150 1200 60 35 "24" 0x01) + Pin(50 1250 60 35 "25" 0x01) + Pin(150 1300 60 35 "26" 0x01) + Pin(50 1350 60 35 "27" 0x01) + Pin(150 1400 60 35 "28" 0x01) + ElementLine(0 0 0 1450 20) + ElementLine(0 1450 200 1450 20) + ElementLine(200 1450 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/SD 40.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SD 40.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SD 40.fp (revision 1033) @@ -0,0 +1,50 @@ +Element(0x00 "generic" "" "SD 40" 275 50 3 100 0x00) +( + Pin(50 50 60 35 "1" 0x101) + Pin(150 100 60 35 "2" 0x01) + Pin(50 150 60 35 "3" 0x01) + Pin(150 200 60 35 "4" 0x01) + Pin(50 250 60 35 "5" 0x01) + Pin(150 300 60 35 "6" 0x01) + Pin(50 350 60 35 "7" 0x01) + Pin(150 400 60 35 "8" 0x01) + Pin(50 450 60 35 "9" 0x01) + Pin(150 500 60 35 "10" 0x01) + Pin(50 550 60 35 "11" 0x01) + Pin(150 600 60 35 "12" 0x01) + Pin(50 650 60 35 "13" 0x01) + Pin(150 700 60 35 "14" 0x01) + Pin(50 750 60 35 "15" 0x01) + Pin(150 800 60 35 "16" 0x01) + Pin(50 850 60 35 "17" 0x01) + Pin(150 900 60 35 "18" 0x01) + Pin(50 950 60 35 "19" 0x01) + Pin(150 1000 60 35 "20" 0x01) + Pin(50 1050 60 35 "21" 0x01) + Pin(150 1100 60 35 "22" 0x01) + Pin(50 1150 60 35 "23" 0x01) + Pin(150 1200 60 35 "24" 0x01) + Pin(50 1250 60 35 "25" 0x01) + Pin(150 1300 60 35 "26" 0x01) + Pin(50 1350 60 35 "27" 0x01) + Pin(150 1400 60 35 "28" 0x01) + Pin(50 1450 60 35 "29" 0x01) + Pin(150 1500 60 35 "30" 0x01) + Pin(50 1550 60 35 "31" 0x01) + Pin(150 1600 60 35 "32" 0x01) + Pin(50 1650 60 35 "33" 0x01) + Pin(150 1700 60 35 "34" 0x01) + Pin(50 1750 60 35 "35" 0x01) + Pin(150 1800 60 35 "36" 0x01) + Pin(50 1850 60 35 "37" 0x01) + Pin(150 1900 60 35 "38" 0x01) + Pin(50 1950 60 35 "39" 0x01) + Pin(150 2000 60 35 "40" 0x01) + ElementLine(0 0 0 2050 20) + ElementLine(0 2050 200 2050 20) + ElementLine(200 2050 200 0 20) + ElementLine(200 0 0 0 20) + ElementLine(100 0 100 100 10) + ElementLine(100 100 0 100 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_CHIP 1206.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_CHIP 1206.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_CHIP 1206.fp (revision 1033) @@ -0,0 +1,11 @@ +# line radius (LR) depicts offset to pads lines and pad "band width" +Element(0x00 "smd chip 1206" "" "SMD_CHIP 1206" 0 0 0 25 0x00) +( + Pad(10 10 10 50 20 "" 0x100) + Pad(110 10 110 50 20 "" 0x100) + ElementLine( 0 0 120 0 5) + ElementLine(120 0 120 60 5) + ElementLine(120 60 0 60 5) + ElementLine( 0 60 0 0 5) + Mark(60 30) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_CHIP 1210.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_CHIP 1210.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_CHIP 1210.fp (revision 1033) @@ -0,0 +1,11 @@ +# line radius (LR) depicts offset to pads lines and pad "band width" +Element(0x00 "smd chip 1210" "" "SMD_CHIP 1210" 0 0 0 25 0x00) +( + Pad(10 10 10 90 20 "" 0x100) + Pad(110 10 110 90 20 "" 0x100) + ElementLine( 0 0 120 0 5) + ElementLine(120 0 120 100 5) + ElementLine(120 100 0 100 5) + ElementLine( 0 100 0 0 5) + Mark(60 50) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_CHIP 402.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_CHIP 402.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_CHIP 402.fp (revision 1033) @@ -0,0 +1,11 @@ +# line radius (LR) depicts offset to pads lines and pad "band width" +Element(0x00 "smd chip 402" "" "SMD_CHIP 402" 0 0 0 25 0x00) +( + Pad(5 5 5 15 10 "" 0x100) + Pad(35 5 35 15 10 "" 0x100) + ElementLine( 0 0 40 0 5) + ElementLine(40 0 40 20 5) + ElementLine(40 20 0 20 5) + ElementLine( 0 20 0 0 5) + Mark(20 10) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_CHIP 603.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_CHIP 603.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_CHIP 603.fp (revision 1033) @@ -0,0 +1,11 @@ +# line radius (LR) depicts offset to pads lines and pad "band width" +Element(0x00 "smd chip 603" "" "SMD_CHIP 603" 0 0 0 25 0x00) +( + Pad(7 7 7 23 14 "" 0x100) + Pad(53 7 53 23 14 "" 0x100) + ElementLine( 0 0 60 0 5) + ElementLine(60 0 60 30 5) + ElementLine(60 30 0 30 5) + ElementLine( 0 30 0 0 5) + Mark(30 15) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_CHIP 805.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_CHIP 805.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_CHIP 805.fp (revision 1033) @@ -0,0 +1,11 @@ +# line radius (LR) depicts offset to pads lines and pad "band width" +Element(0x00 "smd chip 805" "" "SMD_CHIP 805" 0 0 0 25 0x00) +( + Pad(10 10 10 40 20 "" 0x100) + Pad(70 10 70 40 20 "" 0x100) + ElementLine( 0 0 80 0 5) + ElementLine(80 0 80 50 5) + ElementLine(80 50 0 50 5) + ElementLine( 0 50 0 0 5) + Mark(40 25) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_DIODE 120 60.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_DIODE 120 60.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_DIODE 120 60.fp (revision 1033) @@ -0,0 +1,14 @@ + # Silkscreen box coordinates +Element(0x00 "chip_diode" "" "SMD_DIODE 120 60" 40 65 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -5 0 5 60 "1" 0x100) + Pad(120 -5 120 5 60 "2" 0x100) + ElementLine(-45 -50 -45 50 8) + ElementLine(-45 50 165 50 8) + ElementLine(165 50 165 -50 8) + ElementLine(165 -50 -45 -50 8) + ElementLine( -35 -50 -35 50 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_DIODE 60 30.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_DIODE 60 30.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_DIODE 60 30.fp (revision 1033) @@ -0,0 +1,14 @@ + # Silkscreen box coordinates +Element(0x00 "chip_diode" "" "SMD_DIODE 60 30" 25 50 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -5 0 5 30 "1" 0x100) + Pad(60 -5 60 5 30 "2" 0x100) + ElementLine(-30 -35 -30 35 8) + ElementLine(-30 35 90 35 8) + ElementLine(90 35 90 -35 8) + ElementLine(90 -35 -30 -35 8) + ElementLine( -20 -35 -20 35 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_DIODE 80 50.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_DIODE 80 50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_DIODE 80 50.fp (revision 1033) @@ -0,0 +1,14 @@ + # Silkscreen box coordinates +Element(0x00 "chip_diode" "" "SMD_DIODE 80 50" 32 59 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -7 0 7 45 "1" 0x100) + Pad(80 -7 80 7 45 "2" 0x100) + ElementLine(-37 -44 -37 44 8) + ElementLine(-37 44 117 44 8) + ElementLine(117 44 117 -44 8) + ElementLine(117 -44 -37 -44 8) + ElementLine( -27 -44 -27 44 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_POLAR 120 50.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_POLAR 120 50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_POLAR 120 50.fp (revision 1033) @@ -0,0 +1,17 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor_polarized" "" "SMD_POLAR 120 50" 37 60 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -3 0 3 55 "1" 0x100) + Pad(120 -3 120 3 55 "2" 0x100) + ElementLine(-42 -45 -42 45 8) + ElementLine(-42 45 162 45 8) + ElementLine(162 45 162 -45 8) + ElementLine(162 -45 -42 -45 8) + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + ElementLine( -32 -45 -32 45 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_POLAR 120 60.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_POLAR 120 60.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_POLAR 120 60.fp (revision 1033) @@ -0,0 +1,17 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor_polarized" "" "SMD_POLAR 120 60" 40 65 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -5 0 5 60 "1" 0x100) + Pad(120 -5 120 5 60 "2" 0x100) + ElementLine(-45 -50 -45 50 8) + ElementLine(-45 50 165 50 8) + ElementLine(165 50 165 -50 8) + ElementLine(165 -50 -45 -50 8) + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + ElementLine( -35 -50 -35 50 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_POLAR 140 90.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_POLAR 140 90.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_POLAR 140 90.fp (revision 1033) @@ -0,0 +1,17 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor_polarized" "" "SMD_POLAR 140 90" 50 80 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -10 0 10 80 "1" 0x100) + Pad(140 -10 140 10 80 "2" 0x100) + ElementLine(-55 -65 -55 65 8) + ElementLine(-55 65 195 65 8) + ElementLine(195 65 195 -65 8) + ElementLine(195 -65 -55 -65 8) + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + ElementLine( -45 -65 -45 65 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_POLAR 240 90.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_POLAR 240 90.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_POLAR 240 90.fp (revision 1033) @@ -0,0 +1,17 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor_polarized" "" "SMD_POLAR 240 90" 62 80 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 2 0 -2 105 "1" 0x100) + Pad(240 2 240 -2 105 "2" 0x100) + ElementLine(-67 -65 -67 65 8) + ElementLine(-67 65 307 65 8) + ElementLine(307 65 307 -65 8) + ElementLine(307 -65 -67 -65 8) + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + ElementLine( -57 -65 -57 65 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_POLAR 280 100.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_POLAR 280 100.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_POLAR 280 100.fp (revision 1033) @@ -0,0 +1,17 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor_polarized" "" "SMD_POLAR 280 100" 70 85 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 5 0 -5 120 "1" 0x100) + Pad(280 5 280 -5 120 "2" 0x100) + ElementLine(-75 -70 -75 70 8) + ElementLine(-75 70 355 70 8) + ElementLine(355 70 355 -70 8) + ElementLine(355 -70 -75 -70 8) + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + ElementLine( -65 -70 -65 70 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_POLAR 60 30.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_POLAR 60 30.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_POLAR 60 30.fp (revision 1033) @@ -0,0 +1,17 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor_polarized" "" "SMD_POLAR 60 30" 25 50 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -5 0 5 30 "1" 0x100) + Pad(60 -5 60 5 30 "2" 0x100) + ElementLine(-30 -35 -30 35 8) + ElementLine(-30 35 90 35 8) + ElementLine(90 35 90 -35 8) + ElementLine(90 -35 -30 -35 8) + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + ElementLine( -20 -35 -20 35 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_POLAR 80 50.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_POLAR 80 50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_POLAR 80 50.fp (revision 1033) @@ -0,0 +1,17 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor_polarized" "" "SMD_POLAR 80 50" 32 59 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -7 0 7 45 "1" 0x100) + Pad(80 -7 80 7 45 "2" 0x100) + ElementLine(-37 -44 -37 44 8) + ElementLine(-37 44 117 44 8) + ElementLine(117 44 117 -44 8) + ElementLine(117 -44 -37 -44 8) + # crude plus sign + # ElementLine( X1 eval(Y2L+20) X1 eval(Y2L+70) 8) + # ElementLine( eval(X1-25) eval(Y2L+45) eval(X1+25) eval(Y2L+45) 8) + ElementLine( -27 -44 -27 44 8 ) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 120 60.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 120 60.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 120 60.fp (revision 1033) @@ -0,0 +1,13 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor" "" "SMD_SIMPLE 120 60" 40 65 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -5 0 5 60 "1" 0x100) + Pad(120 -5 120 5 60 "2" 0x100) + ElementLine(-45 -50 -45 50 8) + ElementLine(-45 50 165 50 8) + ElementLine(165 50 165 -50 8) + ElementLine(165 -50 -45 -50 8) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 60 30.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 60 30.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 60 30.fp (revision 1033) @@ -0,0 +1,13 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor" "" "SMD_SIMPLE 60 30" 25 50 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -5 0 5 30 "1" 0x100) + Pad(60 -5 60 5 30 "2" 0x100) + ElementLine(-30 -35 -30 35 8) + ElementLine(-30 35 90 35 8) + ElementLine(90 35 90 -35 8) + ElementLine(90 -35 -30 -35 8) +) Index: oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 80 50.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 80 50.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SMD_SIMPLE 80 50.fp (revision 1033) @@ -0,0 +1,13 @@ + # Silkscreen box coordinates +Element(0x00 "chip_capacitor" "" "SMD_SIMPLE 80 50" 32 59 0 100 0x00) +( + # PAD(X1, Y1, X1, Y2, T, 1) + # PAD(X2, Y1, X2, Y2, T, 2) + # Use Pad instead of PAD so both pads come out square + Pad(0 -7 0 7 45 "1" 0x100) + Pad(80 -7 80 7 45 "2" 0x100) + ElementLine(-37 -44 -37 44 8) + ElementLine(-37 44 117 44 8) + ElementLine(117 44 117 -44 8) + ElementLine(117 -44 -37 -44 8) +) Index: oldlib/lib/pcblib-newlib/generic/SOT23.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SOT23.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SOT23.fp (revision 1033) @@ -0,0 +1,30 @@ + # 78 for SOT23 + # 82 for SOT23 + # 41 for SOT23 + # 34 for SOT23, 24 for SOT25 +Element(0x00 "SOT-23 package" "" "SOT23" 148 0 3 100 0x00) +( + ElementLine(0 0 0 139 10) + ElementLine(0 139 128 139 10) + ElementLine(128 139 128 0 10) + ElementLine(128 0 0 0 10) + # 1st side, 1st pin + Pad(25 107 + 25 113 + 34 + "1" "1" 0x100) + # 1st side, 2nd pin + # 1st side, 3rd pin + Pad(103 107 + 103 113 + 34 + "2" "2" 0x100) + # 2nd side, 3rd pin + # 2nd side, 2nd pin + Pad(64 25 + 64 31 + 34 + "3" "3" 0x100) + # 2nd side, 1st pin + Mark(25 110) +) Index: oldlib/lib/pcblib-newlib/generic/SOT323.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/SOT323.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/SOT323.fp (revision 1033) @@ -0,0 +1,30 @@ + # 78 for SOT23 + # 82 for SOT23 + # 41 for SOT23 + # 34 for SOT23, 24 for SOT25 +Element(0x00 "SOT-323 package" "" "SOT323" 114 0 3 100 0x00) +( + ElementLine(0 0 0 119 10) + ElementLine(0 119 94 119 10) + ElementLine(94 119 94 0 10) + ElementLine(94 0 0 0 10) + # 1st side, 1st pin + Pad(21 91 + 21 97 + 29 + "1" "1" 0x100) + # 1st side, 2nd pin + # 1st side, 3rd pin + Pad(72 91 + 72 97 + 29 + "2" "2" 0x100) + # 2nd side, 3rd pin + # 2nd side, 2nd pin + Pad(47 21 + 47 27 + 29 + "3" "3" 0x100) + # 2nd side, 1st pin + Mark(21 94) +) Index: oldlib/lib/pcblib-newlib/generic/ZIF 16.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/ZIF 16.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/ZIF 16.fp (revision 1033) @@ -0,0 +1,21 @@ +Element(0x00 "generic" "" "ZIF 16" 100 304 0 100 0x00) +( +Pad(0 12 0 97 24 "1" 0x00) +Pad(39 12 39 97 24 "2" 0x100) +Pad(78 12 78 97 24 "3" 0x100) +Pad(117 12 117 97 24 "4" 0x100) +Pad(156 12 156 97 24 "5" 0x100) +Pad(195 12 195 97 24 "6" 0x100) +Pad(234 12 234 97 24 "7" 0x100) +Pad(273 12 273 97 24 "8" 0x100) +Pad(312 12 312 97 24 "9" 0x100) +Pad(351 12 351 97 24 "10" 0x100) +Pad(390 12 390 97 24 "11" 0x100) +Pad(429 12 429 97 24 "12" 0x100) +Pad(468 12 468 97 24 "13" 0x100) +Pad(507 12 507 97 24 "14" 0x100) +Pad(546 12 546 97 24 "15" 0x100) +Pad(585 12 585 97 24 "16" 0x100) +Pad(-124 -41 -124 -151 82 "17" 0x100) +Pad(709 -41 709 -151 82 "18" 0x100) +) Index: oldlib/lib/pcblib-newlib/generic/ZIF 20.fp =================================================================== --- oldlib/lib/pcblib-newlib/generic/ZIF 20.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/ZIF 20.fp (revision 1033) @@ -0,0 +1,25 @@ +Element(0x00 "generic" "" "ZIF 20" 100 380 0 100 0x00) +( +Pad(0 12 0 97 24 "1" 0x00) +Pad(39 12 39 97 24 "2" 0x100) +Pad(78 12 78 97 24 "3" 0x100) +Pad(117 12 117 97 24 "4" 0x100) +Pad(156 12 156 97 24 "5" 0x100) +Pad(195 12 195 97 24 "6" 0x100) +Pad(234 12 234 97 24 "7" 0x100) +Pad(273 12 273 97 24 "8" 0x100) +Pad(312 12 312 97 24 "9" 0x100) +Pad(351 12 351 97 24 "10" 0x100) +Pad(390 12 390 97 24 "11" 0x100) +Pad(429 12 429 97 24 "12" 0x100) +Pad(468 12 468 97 24 "13" 0x100) +Pad(507 12 507 97 24 "14" 0x100) +Pad(546 12 546 97 24 "15" 0x100) +Pad(585 12 585 97 24 "16" 0x100) +Pad(624 12 624 97 24 "17" 0x100) +Pad(663 12 663 97 24 "18" 0x100) +Pad(702 12 702 97 24 "19" 0x100) +Pad(741 12 741 97 24 "20" 0x100) +Pad(-124 -41 -124 -151 82 "21" 0x100) +Pad(865 -41 865 -151 82 "22" 0x100) +) Index: oldlib/lib/pcblib-newlib/generic/index.html =================================================================== --- oldlib/lib/pcblib-newlib/generic/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/generic/index.html (revision 1033) @@ -0,0 +1,470 @@ + +PCB generic Footprint Library + +

    PCB generic Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    DIL 8, generic DIL 8 300.fp
    DIL 8, generic N 8 300.fp
    SMD 8, generic D 8.fp
    DIL 14, generic DIL 14 300.fp
    DIL 14, generic N 14 300.fp
    SMD 14, generic D 14.fp
    DIL 16, generic DIL 16 300.fp
    DIL 16, generic N 16 300.fp
    SMD 16, generic D 16.fp
    DIL 18, generic DIL 18 300.fp
    DIL 18, generic N 18 300.fp
    SMD 18, generic D 18.fp
    DIL 20, generic DIL 20 300.fp
    DIL 20, generic N 20 300.fp
    SMD 20, generic D 20.fp
    DIL 24, generic DIL 24 300.fp
    DIL 24, generic N 24 300.fp
    SMD 24, generic D 24.fp
    W-DIL 24, generic DIL 24 600.fp
    W-DIL 24, generic N 24 600.fp
    SMD-W 24, generic DW 24.fp
    DIL 28, generic DIL 28 300.fp
    DIL 28, generic N 28 300.fp
    SMD 28, generic D 28.fp
    W-DIL 28, generic DIL 28 600.fp
    W-DIL 28, generic N 28 600.fp
    SMD-W 28, generic DW 28.fp
    DIL 32, generic DIL 32 600.fp
    DIL 32, generic N 32 600.fp
    DIL 40, generic DIL 40 600.fp
    DIL 40, generic N 40 600.fp
    DIL 64, generic DIL 64 900.fp
    DIL 64, generic N 64 900.fp
    PLCC 44, generic PLCC 44 150.fp
    PLCC 44 socket, generic PLCC_SOCKET 44 150.fp
    PLCC 52, generic PLCC 52 150.fp
    PLCC 52 socket, generic PLCC_SOCKET 52 150.fp
    PLCC 68, generic PLCC 68 150.fp
    PLCC 68 socket, generic PLCC_SOCKET 68 150.fp
    PLCC 84, generic PLCC 84 150.fp
    PLCC 84 socket, generic PLCC_SOCKET 84 150.fp
    QFP 44, generic QFP 44 150.fp
    QFP 44 OLD, generic OLD_QFP 44 150.fp
    QFP 208, generic 208_LQFP.fp
    QFP 80, generic QFP2 80 150.fp
    ZIF 16, generic ZIF 16.fp
    ZIF 20, generic ZIF 20.fp
    ZIP 20, generic SD 20.fp
    ZIP 24, generic SD 24.fp
    ZIP 28, generic SD 28.fp
    ZIP 40, generic SD 40.fp
    300, diode DIODE_LAY 300.fp
    400, diode DIODE_LAY 400.fp
    500, diode DIODE_LAY 500.fp
    600, diode DIODE_LAY 600.fp
    800, diode DIODE_LAY 800.fp
    1 MHz OSC, oscillator OSC 1.fp
    2 MHz OSC, oscillator OSC 2.fp
    4 MHz OSC, oscillator OSC 4.fp
    5 MHz OSC, oscillator OSC 5.fp
    8 MHz OSC, oscillator OSC 8.fp
    10 MHz OSC, oscillator OSC 10.fp
    12 MHz OSC, oscillator OSC 12.fp
    16 MHz OSC, oscillator OSC 16.fp
    20 MHz OSC, oscillator OSC 20.fp
    24 MHz OSC, oscillator OSC 24.fp
    32 MHz OSC, oscillator OSC 32.fp
    5 MHz OSC, ovenized OVEN_OSC 5.fp
    0.25W Resistor (300 mil), Description_r_025 R025.fp
    300, resistor_axial AXIAL_LAY 300.fp
    400, resistor_axial AXIAL_LAY 400.fp
    500, resistor_axial AXIAL_LAY 500.fp
    600, resistor_axial AXIAL_LAY 600.fp
    800, resistor_axial AXIAL_LAY 800.fp
    300, capacitor_axial AXIAL_LAY 300.fp
    400, capacitor_axial AXIAL_LAY 400.fp
    500, capacitor_axial AXIAL_LAY 500.fp
    600, capacitor_axial AXIAL_LAY 600.fp
    800, capacitor_axial AXIAL_LAY 800.fp
    200, capacitor_radial RADIAL_CAN 200.fp
    300, capacitor_radial RADIAL_CAN 300.fp
    400, capacitor_radial RADIAL_CAN 400.fp
    500, capacitor_radial RADIAL_CAN 500.fp
    600, capacitor_radial RADIAL_CAN 600.fp
    Resistor, smd chip 402 SMD_CHIP 402.fp
    Capacitor, smd chip 402 SMD_CHIP 402.fp
    Resistor, smd chip 603 SMD_CHIP 603.fp
    Capacitor, smd chip 603 SMD_CHIP 603.fp
    Resistor, smd chip 805 SMD_CHIP 805.fp
    Capacitor, smd chip 805 SMD_CHIP 805.fp
    Resistor, smd chip 1206 SMD_CHIP 1206.fp
    Capacitor, smd chip 1206 SMD_CHIP 1206.fp
    Resistor, smd chip 1210 SMD_CHIP 1210.fp
    Capacitor, smd chip 1210 SMD_CHIP 1210.fp
    603, chip_resistor SMD_SIMPLE 60 30.fp
    805, chip_resistor SMD_SIMPLE 80 50.fp
    1206, chip_resistor SMD_SIMPLE 120 60.fp
    603, chip_capacitor SMD_SIMPLE 60 30.fp
    805, chip_capacitor SMD_SIMPLE 80 50.fp
    1206, chip_capacitor SMD_SIMPLE 120 60.fp
    603, chip_capacitor_polarized SMD_POLAR 60 30.fp
    805, chip_capacitor_polarized SMD_POLAR 80 50.fp
    1206, chip_capacitor_polarized SMD_POLAR 120 60.fp
    EIA A, chip_capacitor_polarized SMD_POLAR 120 50.fp
    EIA B, chip_capacitor_polarized SMD_POLAR 140 90.fp
    EIA C, chip_capacitor_polarized SMD_POLAR 240 90.fp
    EIA D, chip_capacitor_polarized SMD_POLAR 280 100.fp
    603, chip_diode SMD_DIODE 60 30.fp
    805, chip_diode SMD_DIODE 80 50.fp
    1206, chip_diode SMD_DIODE 120 60.fp
    XT8BIT, isa_eight_bit ISA8.fp
    SOT-23, SOT-23 package SOT23.fp
    SOT-323, SOT-323 package SOT323.fp
    SOT-NE19, NEC SOT-NE19 package NEC19.fp
    + + Index: oldlib/lib/pcblib-newlib/gtag/CONN_JTAG.fp =================================================================== --- oldlib/lib/pcblib-newlib/gtag/CONN_JTAG.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/gtag/CONN_JTAG.fp (revision 1033) @@ -0,0 +1,17 @@ + Element(0x00 "JTAG Connector" "" "CONN_JTAG" 0 0 0 100 0x00) +( + Pin(100 100 60 28 "1" "1" 0x00000101) + Pin(100 200 60 28 "2" "2" 0x00000001) + Pin(100 300 60 28 "3" "3" 0x00000001) + Pin(100 400 60 28 "4" "4" 0x00000001) + Pin(100 500 60 28 "5" "5" 0x00000001) + Pin(100 600 60 28 "6" "6" 0x00000001) + Pin(100 700 60 28 "7" "7" 0x00000001) + Pin(100 800 60 28 "8" "8" 0x00000001) + ElementLine( 0 0 200 0 20) + ElementLine( 0 150 200 150 10) + ElementLine( 200 0 200 900 20) + ElementLine( 200 900 0 900 20) + ElementLine( 0 900 0 0 20) + Mark (0 0) +) Index: oldlib/lib/pcblib-newlib/gtag/CONN_USB.fp =================================================================== --- oldlib/lib/pcblib-newlib/gtag/CONN_USB.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/gtag/CONN_USB.fp (revision 1033) @@ -0,0 +1,13 @@ + Element(0x00 "USB Male Connector" "" "CONN_USB" 4140 2050 0 100 0x00) +( + Pin(4140 2050 60 28 "1" "1" 0x00000101) + Pin(4040 2050 60 28 "2" "2" 0x00000001) + Pin(4040 2130 60 28 "3" "3" 0x00000001) + Pin(4140 2130 60 28 "4" "4" 0x00000001) + Pin(3850 2240 90 90 "" "5" 0x00000009) + Pin(4330 2240 90 90 "" "6" 0x00000009) + ElementLine( 3850 1900 4330 1900 20) + ElementLine( 3850 1900 3850 2150 20) + ElementLine( 4330 1900 4330 2150 20) + Mark (4140 2050) +) Index: oldlib/lib/pcblib-newlib/gtag/PQFP52_10X10 52.fp =================================================================== --- oldlib/lib/pcblib-newlib/gtag/PQFP52_10X10 52.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/gtag/PQFP52_10X10 52.fp (revision 1033) @@ -0,0 +1,61 @@ + Element(0x00 "USB Microcontroller" "" "PQFP52_10X10 52" 250 200 0 150 0x00) +( + Pad(0 129 80 129 16 "1" 0x00000101) + Pad(0 155 80 155 16 "2" 0x00000001) + Pad(0 180 80 180 16 "3" 0x00000001) + Pad(0 206 80 206 16 "4" 0x00000001) + Pad(0 232 80 232 16 "5" 0x00000001) + Pad(0 257 80 257 16 "6" 0x00000001) + Pad(0 283 80 283 16 "7" 0x00000001) + Pad(0 308 80 308 16 "8" 0x00000001) + Pad(0 334 80 334 16 "9" 0x00000001) + Pad(0 359 80 359 16 "10" 0x00000001) + Pad(0 385 80 385 16 "11" 0x00000001) + Pad(0 411 80 411 16 "12" 0x00000001) + Pad(0 436 80 436 16 "13" 0x00000001) + Pad(129 565 129 485 16 "14" 0x00000001) + Pad(155 565 155 485 16 "15" 0x00000001) + Pad(180 565 180 485 16 "16" 0x00000001) + Pad(206 565 206 485 16 "17" 0x00000001) + Pad(232 565 232 485 16 "18" 0x00000001) + Pad(257 565 257 485 16 "19" 0x00000001) + Pad(283 565 283 485 16 "20" 0x00000001) + Pad(308 565 308 485 16 "21" 0x00000001) + Pad(334 565 334 485 16 "22" 0x00000001) + Pad(359 565 359 485 16 "23" 0x00000001) + Pad(385 565 385 485 16 "24" 0x00000001) + Pad(411 565 411 485 16 "25" 0x00000001) + Pad(436 565 436 485 16 "26" 0x00000001) + Pad(485 436 565 436 16 "27" 0x00000001) + Pad(485 411 565 411 16 "28" 0x00000001) + Pad(485 385 565 385 16 "29" 0x00000001) + Pad(485 359 565 359 16 "30" 0x00000001) + Pad(485 334 565 334 16 "31" 0x00000001) + Pad(485 308 565 308 16 "32" 0x00000001) + Pad(485 283 565 283 16 "33" 0x00000001) + Pad(485 257 565 257 16 "34" 0x00000001) + Pad(485 232 565 232 16 "35" 0x00000001) + Pad(485 206 565 206 16 "36" 0x00000001) + Pad(485 180 565 180 16 "37" 0x00000001) + Pad(485 155 565 155 16 "38" 0x00000001) + Pad(485 129 565 129 16 "39" 0x00000001) + Pad(436 0 436 80 16 "40" 0x00000001) + Pad(411 0 411 80 16 "41" 0x00000001) + Pad(385 0 385 80 16 "42" 0x00000001) + Pad(359 0 359 80 16 "43" 0x00000001) + Pad(334 0 334 80 16 "44" 0x00000001) + Pad(308 0 308 80 16 "45" 0x00000001) + Pad(283 0 283 80 16 "46" 0x00000001) + Pad(257 0 257 80 16 "47" 0x00000001) + Pad(232 0 232 80 16 "48" 0x00000001) + Pad(206 0 206 80 16 "49" 0x00000001) + Pad(180 0 180 80 16 "50" 0x00000001) + Pad(155 0 155 80 16 "51" 0x00000001) + Pad(129 0 129 80 16 "52" 0x00000001) + ElementLine( 135 129 436 129 20) + ElementLine( 129 135 129 436 20) + ElementLine( 129 436 436 436 20) + ElementLine( 436 436 436 129 20) + ElementLine( 129 135 135 129 20) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/gtag/SM0805.fp =================================================================== --- oldlib/lib/pcblib-newlib/gtag/SM0805.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/gtag/SM0805.fp (revision 1033) @@ -0,0 +1,6 @@ + Element(0x00 "SMT 0805" "" "SM0805" 0 100 0 100 0x00) +( + Pad(100 100 100 100 50 "1" 0x00000100) + Pad(100 180 100 180 50 "2" 0x00000100) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/gtag/SM1206.fp =================================================================== --- oldlib/lib/pcblib-newlib/gtag/SM1206.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/gtag/SM1206.fp (revision 1033) @@ -0,0 +1,6 @@ + Element(0x00 "SMT 1206" "" "SM1206" 0 100 0 100 0x00) +( + Pad(100 100 100 100 60 "1" 0x00000100) + Pad(100 230 100 230 60 "2" 0x00000100) + Mark(100 100) +) Index: oldlib/lib/pcblib-newlib/gtag/index.html =================================================================== --- oldlib/lib/pcblib-newlib/gtag/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/gtag/index.html (revision 1033) @@ -0,0 +1,34 @@ + +PCB gtag Footprint Library + +

    PCB gtag Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    cy7c64603, USB Microcontroller PQFP52_10X10 52.fp
    conn_usb, USB Male Connector CONN_USB.fp
    conn_jtag, JTAG Connector CONN_JTAG.fp
    sm0805, SMT 0805 SM0805.fp
    sm1206, SMT 1206 SM1206.fp
    + + Index: oldlib/lib/pcblib-newlib/index.html =================================================================== --- oldlib/lib/pcblib-newlib/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/index.html (revision 1033) @@ -0,0 +1,29 @@ + +PCB Footprint Library + +

    PCB Footprint Library

    + + +

    For a list of footprints with either m4 syntax errors +or PCB syntax errors see the broken log file.

    + Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN12_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN12_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN12_4.fp (revision 1033) @@ -0,0 +1,91 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN12_4" 0 0 -16748 -17798 0 100 0x00000000] +( +# left row + Pad[-10118 -3149 -6417 -3149 1417 0 0 "1" "1" 0x00000000] + Pad[-10118 0 -6417 0 1417 0 0 "2" "2" 0x00000000] + Pad[-10118 3149 -6417 3149 1417 0 0 "3" "3" 0x00000000] +# bottom row + Pad[-3149 10118 -3149 6417 1417 0 0 "4" "4" 0x00000800] + Pad[0 10118 0 6417 1417 0 0 "5" "5" 0x00000800] + Pad[3149 10118 3149 6417 1417 0 0 "6" "6" 0x00000800] +# right row + Pad[10118 3149 6417 3149 1417 0 0 "7" "7" 0x00000000] + Pad[10118 0 6417 0 1417 0 0 "8" "8" 0x00000000] + Pad[10118 -3149 6417 -3149 1417 0 0 "9" "9" 0x00000000] +# top row + Pad[3149 -10118 3149 -6417 1417 0 0 "10" "10" 0x00000800] + Pad[0 -10118 0 -6417 1417 0 0 "11" "11" 0x00000800] + Pad[-3149 -10118 -3149 -6417 1417 0 0 "12" "12" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 8267 0 31496 "13" "13" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 11811 11811 7700 1000 8700 2000 "Mount1" "14" 0x0] +Pin[ -11811 11811 7700 1000 8700 2000 "Mount2" "15" 0x0] +Pin[ -11811 -11811 7700 1000 8700 2000 "Mount3" "16" 0x0] +Pin[ 11811 -11811 7700 1000 8700 2000 "Mount4" "17" 0x0] +# Silk screen around package +ElementLine[ 16748 16748 16748 -16748 1000] +ElementLine[ 16748 -16748 -16748 -16748 1000] +ElementLine[-16748 -16748 -16748 16748 1000] +ElementLine[-16748 16748 16748 16748 1000] +# Pin 1 indicator +ElementLine[-16748 -16748 -18248 -18248 1000] +# Silk showing latch area +# top +ElementLine[ -8858 -16748 -8858 -23622 100 ] +ElementLine[ -8858 -23622 8858 -23622 100 ] +ElementLine[ 8858 -16748 8858 -23622 100 ] +# bottom +ElementLine[ -8858 16748 -8858 23622 100 ] +ElementLine[ -8858 23622 8858 23622 100 ] +ElementLine[ 8858 16748 8858 23622 100 ] +# left +ElementLine[ -16748 8858 -23622 8858 100 ] +ElementLine[ -23622 8858 -23622 -8858 100 ] +ElementLine[ -16748 -8858 -23622 -8858 100 ] +# right +ElementLine[ 16748 8858 23622 8858 100 ] +ElementLine[ 23622 8858 23622 -8858 100 ] +ElementLine[ 16748 -8858 23622 -8858 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ -984 -11811 -984 11811 100 ] +ElementLine[ -196 -11811 -196 11811 100 ] +ElementLine[ -984 11811 -196 11811 100 ] +ElementLine[ -984 -11811 -196 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN16_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN16_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN16_4.fp (revision 1033) @@ -0,0 +1,95 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN16_4" 0 0 -16748 -17798 0 100 0x00000000] +( +# left row + Pad[-10118 -3838 -6417 -3838 1417 0 0 "1" "1" 0x00000000] + Pad[-10118 -1279 -6417 -1279 1417 0 0 "2" "2" 0x00000000] + Pad[-10118 1279 -6417 1279 1417 0 0 "3" "3" 0x00000000] + Pad[-10118 3838 -6417 3838 1417 0 0 "4" "4" 0x00000000] +# bottom row + Pad[-3838 10118 -3838 6417 1417 0 0 "5" "5" 0x00000800] + Pad[-1279 10118 -1279 6417 1417 0 0 "6" "6" 0x00000800] + Pad[1279 10118 1279 6417 1417 0 0 "7" "7" 0x00000800] + Pad[3838 10118 3838 6417 1417 0 0 "8" "8" 0x00000800] +# right row + Pad[10118 3838 6417 3838 1417 0 0 "9" "9" 0x00000000] + Pad[10118 1279 6417 1279 1417 0 0 "10" "10" 0x00000000] + Pad[10118 -1279 6417 -1279 1417 0 0 "11" "11" 0x00000000] + Pad[10118 -3838 6417 -3838 1417 0 0 "12" "12" 0x00000000] +# top row + Pad[3838 -10118 3838 -6417 1417 0 0 "13" "13" 0x00000800] + Pad[1279 -10118 1279 -6417 1417 0 0 "14" "14" 0x00000800] + Pad[-1279 -10118 -1279 -6417 1417 0 0 "15" "15" 0x00000800] + Pad[-3838 -10118 -3838 -6417 1417 0 0 "16" "16" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 8267 0 31496 "17" "17" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 11811 11811 7700 1000 8700 2000 "Mount1" "18" 0x0] +Pin[ -11811 11811 7700 1000 8700 2000 "Mount2" "19" 0x0] +Pin[ -11811 -11811 7700 1000 8700 2000 "Mount3" "20" 0x0] +Pin[ 11811 -11811 7700 1000 8700 2000 "Mount4" "21" 0x0] +# Silk screen around package +ElementLine[ 16748 16748 16748 -16748 1000] +ElementLine[ 16748 -16748 -16748 -16748 1000] +ElementLine[-16748 -16748 -16748 16748 1000] +ElementLine[-16748 16748 16748 16748 1000] +# Pin 1 indicator +ElementLine[-16748 -16748 -18248 -18248 1000] +# Silk showing latch area +# top +ElementLine[ -8858 -16748 -8858 -23622 100 ] +ElementLine[ -8858 -23622 8858 -23622 100 ] +ElementLine[ 8858 -16748 8858 -23622 100 ] +# bottom +ElementLine[ -8858 16748 -8858 23622 100 ] +ElementLine[ -8858 23622 8858 23622 100 ] +ElementLine[ 8858 16748 8858 23622 100 ] +# left +ElementLine[ -16748 8858 -23622 8858 100 ] +ElementLine[ -23622 8858 -23622 -8858 100 ] +ElementLine[ -16748 -8858 -23622 -8858 100 ] +# right +ElementLine[ 16748 8858 23622 8858 100 ] +ElementLine[ 23622 8858 23622 -8858 100 ] +ElementLine[ 16748 -8858 23622 -8858 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ -984 -11811 -984 11811 100 ] +ElementLine[ -196 -11811 -196 11811 100 ] +ElementLine[ -984 11811 -196 11811 100 ] +ElementLine[ -984 -11811 -196 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN16_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN16_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN16_5.fp (revision 1033) @@ -0,0 +1,95 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN16_5" 0 0 -18716 -19766 0 100 0x00000000] +( +# left row + Pad[-12086 -4724 -8385 -4724 1417 0 0 "1" "1" 0x00000000] + Pad[-12086 -1574 -8385 -1574 1417 0 0 "2" "2" 0x00000000] + Pad[-12086 1574 -8385 1574 1417 0 0 "3" "3" 0x00000000] + Pad[-12086 4724 -8385 4724 1417 0 0 "4" "4" 0x00000000] +# bottom row + Pad[-4724 12086 -4724 8385 1417 0 0 "5" "5" 0x00000800] + Pad[-1574 12086 -1574 8385 1417 0 0 "6" "6" 0x00000800] + Pad[1574 12086 1574 8385 1417 0 0 "7" "7" 0x00000800] + Pad[4724 12086 4724 8385 1417 0 0 "8" "8" 0x00000800] +# right row + Pad[12086 4724 8385 4724 1417 0 0 "9" "9" 0x00000000] + Pad[12086 1574 8385 1574 1417 0 0 "10" "10" 0x00000000] + Pad[12086 -1574 8385 -1574 1417 0 0 "11" "11" 0x00000000] + Pad[12086 -4724 8385 -4724 1417 0 0 "12" "12" 0x00000000] +# top row + Pad[4724 -12086 4724 -8385 1417 0 0 "13" "13" 0x00000800] + Pad[1574 -12086 1574 -8385 1417 0 0 "14" "14" 0x00000800] + Pad[-1574 -12086 -1574 -8385 1417 0 0 "15" "15" 0x00000800] + Pad[-4724 -12086 -4724 -8385 1417 0 0 "16" "16" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 12204 0 35433 "17" "17" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 13779 13779 7700 1000 8700 2000 "Mount1" "18" 0x0] +Pin[ -13779 13779 7700 1000 8700 2000 "Mount2" "19" 0x0] +Pin[ -13779 -13779 7700 1000 8700 2000 "Mount3" "20" 0x0] +Pin[ 13779 -13779 7700 1000 8700 2000 "Mount4" "21" 0x0] +# Silk screen around package +ElementLine[ 18716 18716 18716 -18716 1000] +ElementLine[ 18716 -18716 -18716 -18716 1000] +ElementLine[-18716 -18716 -18716 18716 1000] +ElementLine[-18716 18716 18716 18716 1000] +# Pin 1 indicator +ElementLine[-18716 -18716 -20216 -20216 1000] +# Silk showing latch area +# top +ElementLine[ -10826 -18716 -10826 -25590 100 ] +ElementLine[ -10826 -25590 10826 -25590 100 ] +ElementLine[ 10826 -18716 10826 -25590 100 ] +# bottom +ElementLine[ -10826 18716 -10826 25590 100 ] +ElementLine[ -10826 25590 10826 25590 100 ] +ElementLine[ 10826 18716 10826 25590 100 ] +# left +ElementLine[ -18716 10826 -25590 10826 100 ] +ElementLine[ -25590 10826 -25590 -10826 100 ] +ElementLine[ -18716 -10826 -25590 -10826 100 ] +# right +ElementLine[ 18716 10826 25590 10826 100 ] +ElementLine[ 25590 10826 25590 -10826 100 ] +ElementLine[ 18716 -10826 25590 -10826 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 984 -11811 984 11811 100 ] +ElementLine[ 1771 -11811 1771 11811 100 ] +ElementLine[ 984 11811 1771 11811 100 ] +ElementLine[ 984 -11811 1771 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN20_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN20_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN20_4.fp (revision 1033) @@ -0,0 +1,99 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN20_4" 0 0 -16748 -17798 0 100 0x00000000] +( +# left row + Pad[-10118 -3937 -6417 -3937 1417 0 0 "1" "1" 0x00000000] + Pad[-10118 -1968 -6417 -1968 1417 0 0 "2" "2" 0x00000000] + Pad[-10118 0 -6417 0 1417 0 0 "3" "3" 0x00000000] + Pad[-10118 1968 -6417 1968 1417 0 0 "4" "4" 0x00000000] + Pad[-10118 3937 -6417 3937 1417 0 0 "5" "5" 0x00000000] +# bottom row + Pad[-3937 10118 -3937 6417 1417 0 0 "6" "6" 0x00000800] + Pad[-1968 10118 -1968 6417 1417 0 0 "7" "7" 0x00000800] + Pad[0 10118 0 6417 1417 0 0 "8" "8" 0x00000800] + Pad[1968 10118 1968 6417 1417 0 0 "9" "9" 0x00000800] + Pad[3937 10118 3937 6417 1417 0 0 "10" "10" 0x00000800] +# right row + Pad[10118 3937 6417 3937 1417 0 0 "11" "11" 0x00000000] + Pad[10118 1968 6417 1968 1417 0 0 "12" "12" 0x00000000] + Pad[10118 0 6417 0 1417 0 0 "13" "13" 0x00000000] + Pad[10118 -1968 6417 -1968 1417 0 0 "14" "14" 0x00000000] + Pad[10118 -3937 6417 -3937 1417 0 0 "15" "15" 0x00000000] +# top row + Pad[3937 -10118 3937 -6417 1417 0 0 "16" "16" 0x00000800] + Pad[1968 -10118 1968 -6417 1417 0 0 "17" "17" 0x00000800] + Pad[0 -10118 0 -6417 1417 0 0 "18" "18" 0x00000800] + Pad[-1968 -10118 -1968 -6417 1417 0 0 "19" "19" 0x00000800] + Pad[-3937 -10118 -3937 -6417 1417 0 0 "20" "20" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 8267 0 31496 "21" "21" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 11811 11811 7700 1000 8700 2000 "Mount1" "22" 0x0] +Pin[ -11811 11811 7700 1000 8700 2000 "Mount2" "23" 0x0] +Pin[ -11811 -11811 7700 1000 8700 2000 "Mount3" "24" 0x0] +Pin[ 11811 -11811 7700 1000 8700 2000 "Mount4" "25" 0x0] +# Silk screen around package +ElementLine[ 16748 16748 16748 -16748 1000] +ElementLine[ 16748 -16748 -16748 -16748 1000] +ElementLine[-16748 -16748 -16748 16748 1000] +ElementLine[-16748 16748 16748 16748 1000] +# Pin 1 indicator +ElementLine[-16748 -16748 -18248 -18248 1000] +# Silk showing latch area +# top +ElementLine[ -8858 -16748 -8858 -23622 100 ] +ElementLine[ -8858 -23622 8858 -23622 100 ] +ElementLine[ 8858 -16748 8858 -23622 100 ] +# bottom +ElementLine[ -8858 16748 -8858 23622 100 ] +ElementLine[ -8858 23622 8858 23622 100 ] +ElementLine[ 8858 16748 8858 23622 100 ] +# left +ElementLine[ -16748 8858 -23622 8858 100 ] +ElementLine[ -23622 8858 -23622 -8858 100 ] +ElementLine[ -16748 -8858 -23622 -8858 100 ] +# right +ElementLine[ 16748 8858 23622 8858 100 ] +ElementLine[ 23622 8858 23622 -8858 100 ] +ElementLine[ 16748 -8858 23622 -8858 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ -984 -11811 -984 11811 100 ] +ElementLine[ -196 -11811 -196 11811 100 ] +ElementLine[ -984 11811 -196 11811 100 ] +ElementLine[ -984 -11811 -196 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN20_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN20_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN20_5.fp (revision 1033) @@ -0,0 +1,99 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN20_5" 0 0 -18716 -19766 0 100 0x00000000] +( +# left row + Pad[-12086 -5118 -8385 -5118 1417 0 0 "1" "1" 0x00000000] + Pad[-12086 -2559 -8385 -2559 1417 0 0 "2" "2" 0x00000000] + Pad[-12086 0 -8385 0 1417 0 0 "3" "3" 0x00000000] + Pad[-12086 2559 -8385 2559 1417 0 0 "4" "4" 0x00000000] + Pad[-12086 5118 -8385 5118 1417 0 0 "5" "5" 0x00000000] +# bottom row + Pad[-5118 12086 -5118 8385 1417 0 0 "6" "6" 0x00000800] + Pad[-2559 12086 -2559 8385 1417 0 0 "7" "7" 0x00000800] + Pad[0 12086 0 8385 1417 0 0 "8" "8" 0x00000800] + Pad[2559 12086 2559 8385 1417 0 0 "9" "9" 0x00000800] + Pad[5118 12086 5118 8385 1417 0 0 "10" "10" 0x00000800] +# right row + Pad[12086 5118 8385 5118 1417 0 0 "11" "11" 0x00000000] + Pad[12086 2559 8385 2559 1417 0 0 "12" "12" 0x00000000] + Pad[12086 0 8385 0 1417 0 0 "13" "13" 0x00000000] + Pad[12086 -2559 8385 -2559 1417 0 0 "14" "14" 0x00000000] + Pad[12086 -5118 8385 -5118 1417 0 0 "15" "15" 0x00000000] +# top row + Pad[5118 -12086 5118 -8385 1417 0 0 "16" "16" 0x00000800] + Pad[2559 -12086 2559 -8385 1417 0 0 "17" "17" 0x00000800] + Pad[0 -12086 0 -8385 1417 0 0 "18" "18" 0x00000800] + Pad[-2559 -12086 -2559 -8385 1417 0 0 "19" "19" 0x00000800] + Pad[-5118 -12086 -5118 -8385 1417 0 0 "20" "20" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 12204 0 35433 "21" "21" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 13779 13779 7700 1000 8700 2000 "Mount1" "22" 0x0] +Pin[ -13779 13779 7700 1000 8700 2000 "Mount2" "23" 0x0] +Pin[ -13779 -13779 7700 1000 8700 2000 "Mount3" "24" 0x0] +Pin[ 13779 -13779 7700 1000 8700 2000 "Mount4" "25" 0x0] +# Silk screen around package +ElementLine[ 18716 18716 18716 -18716 1000] +ElementLine[ 18716 -18716 -18716 -18716 1000] +ElementLine[-18716 -18716 -18716 18716 1000] +ElementLine[-18716 18716 18716 18716 1000] +# Pin 1 indicator +ElementLine[-18716 -18716 -20216 -20216 1000] +# Silk showing latch area +# top +ElementLine[ -10826 -18716 -10826 -25590 100 ] +ElementLine[ -10826 -25590 10826 -25590 100 ] +ElementLine[ 10826 -18716 10826 -25590 100 ] +# bottom +ElementLine[ -10826 18716 -10826 25590 100 ] +ElementLine[ -10826 25590 10826 25590 100 ] +ElementLine[ 10826 18716 10826 25590 100 ] +# left +ElementLine[ -18716 10826 -25590 10826 100 ] +ElementLine[ -25590 10826 -25590 -10826 100 ] +ElementLine[ -18716 -10826 -25590 -10826 100 ] +# right +ElementLine[ 18716 10826 25590 10826 100 ] +ElementLine[ 25590 10826 25590 -10826 100 ] +ElementLine[ 18716 -10826 25590 -10826 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 984 -11811 984 11811 100 ] +ElementLine[ 1771 -11811 1771 11811 100 ] +ElementLine[ 984 11811 1771 11811 100 ] +ElementLine[ 984 -11811 1771 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN24_4.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN24_4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN24_4.fp (revision 1033) @@ -0,0 +1,103 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN24_4" 0 0 -16748 -17798 0 100 0x00000000] +( +# left row + Pad[-10118 -4921 -6417 -4921 1417 0 0 "1" "1" 0x00000000] + Pad[-10118 -2952 -6417 -2952 1417 0 0 "2" "2" 0x00000000] + Pad[-10118 -984 -6417 -984 1417 0 0 "3" "3" 0x00000000] + Pad[-10118 984 -6417 984 1417 0 0 "4" "4" 0x00000000] + Pad[-10118 2952 -6417 2952 1417 0 0 "5" "5" 0x00000000] + Pad[-10118 4921 -6417 4921 1417 0 0 "6" "6" 0x00000000] +# bottom row + Pad[-4921 10118 -4921 6417 1417 0 0 "7" "7" 0x00000800] + Pad[-2952 10118 -2952 6417 1417 0 0 "8" "8" 0x00000800] + Pad[-984 10118 -984 6417 1417 0 0 "9" "9" 0x00000800] + Pad[984 10118 984 6417 1417 0 0 "10" "10" 0x00000800] + Pad[2952 10118 2952 6417 1417 0 0 "11" "11" 0x00000800] + Pad[4921 10118 4921 6417 1417 0 0 "12" "12" 0x00000800] +# right row + Pad[10118 4921 6417 4921 1417 0 0 "13" "13" 0x00000000] + Pad[10118 2952 6417 2952 1417 0 0 "14" "14" 0x00000000] + Pad[10118 984 6417 984 1417 0 0 "15" "15" 0x00000000] + Pad[10118 -984 6417 -984 1417 0 0 "16" "16" 0x00000000] + Pad[10118 -2952 6417 -2952 1417 0 0 "17" "17" 0x00000000] + Pad[10118 -4921 6417 -4921 1417 0 0 "18" "18" 0x00000000] +# top row + Pad[4921 -10118 4921 -6417 1417 0 0 "19" "19" 0x00000800] + Pad[2952 -10118 2952 -6417 1417 0 0 "20" "20" 0x00000800] + Pad[984 -10118 984 -6417 1417 0 0 "21" "21" 0x00000800] + Pad[-984 -10118 -984 -6417 1417 0 0 "22" "22" 0x00000800] + Pad[-2952 -10118 -2952 -6417 1417 0 0 "23" "23" 0x00000800] + Pad[-4921 -10118 -4921 -6417 1417 0 0 "24" "24" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 8267 0 31496 "25" "25" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 11811 11811 7700 1000 8700 2000 "Mount1" "26" 0x0] +Pin[ -11811 11811 7700 1000 8700 2000 "Mount2" "27" 0x0] +Pin[ -11811 -11811 7700 1000 8700 2000 "Mount3" "28" 0x0] +Pin[ 11811 -11811 7700 1000 8700 2000 "Mount4" "29" 0x0] +# Silk screen around package +ElementLine[ 16748 16748 16748 -16748 1000] +ElementLine[ 16748 -16748 -16748 -16748 1000] +ElementLine[-16748 -16748 -16748 16748 1000] +ElementLine[-16748 16748 16748 16748 1000] +# Pin 1 indicator +ElementLine[-16748 -16748 -18248 -18248 1000] +# Silk showing latch area +# top +ElementLine[ -8858 -16748 -8858 -23622 100 ] +ElementLine[ -8858 -23622 8858 -23622 100 ] +ElementLine[ 8858 -16748 8858 -23622 100 ] +# bottom +ElementLine[ -8858 16748 -8858 23622 100 ] +ElementLine[ -8858 23622 8858 23622 100 ] +ElementLine[ 8858 16748 8858 23622 100 ] +# left +ElementLine[ -16748 8858 -23622 8858 100 ] +ElementLine[ -23622 8858 -23622 -8858 100 ] +ElementLine[ -16748 -8858 -23622 -8858 100 ] +# right +ElementLine[ 16748 8858 23622 8858 100 ] +ElementLine[ 23622 8858 23622 -8858 100 ] +ElementLine[ 16748 -8858 23622 -8858 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ -984 -11811 -984 11811 100 ] +ElementLine[ -196 -11811 -196 11811 100 ] +ElementLine[ -984 11811 -196 11811 100 ] +ElementLine[ -984 -11811 -196 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN28_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN28_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN28_5.fp (revision 1033) @@ -0,0 +1,107 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN28_5" 0 0 -18716 -19766 0 100 0x00000000] +( +# left row + Pad[-12086 -5905 -8385 -5905 1417 0 0 "1" "1" 0x00000000] + Pad[-12086 -3937 -8385 -3937 1417 0 0 "2" "2" 0x00000000] + Pad[-12086 -1968 -8385 -1968 1417 0 0 "3" "3" 0x00000000] + Pad[-12086 0 -8385 0 1417 0 0 "4" "4" 0x00000000] + Pad[-12086 1968 -8385 1968 1417 0 0 "5" "5" 0x00000000] + Pad[-12086 3937 -8385 3937 1417 0 0 "6" "6" 0x00000000] + Pad[-12086 5905 -8385 5905 1417 0 0 "7" "7" 0x00000000] +# bottom row + Pad[-5905 12086 -5905 8385 1417 0 0 "8" "8" 0x00000800] + Pad[-3937 12086 -3937 8385 1417 0 0 "9" "9" 0x00000800] + Pad[-1968 12086 -1968 8385 1417 0 0 "10" "10" 0x00000800] + Pad[0 12086 0 8385 1417 0 0 "11" "11" 0x00000800] + Pad[1968 12086 1968 8385 1417 0 0 "12" "12" 0x00000800] + Pad[3937 12086 3937 8385 1417 0 0 "13" "13" 0x00000800] + Pad[5905 12086 5905 8385 1417 0 0 "14" "14" 0x00000800] +# right row + Pad[12086 5905 8385 5905 1417 0 0 "15" "15" 0x00000000] + Pad[12086 3937 8385 3937 1417 0 0 "16" "16" 0x00000000] + Pad[12086 1968 8385 1968 1417 0 0 "17" "17" 0x00000000] + Pad[12086 0 8385 0 1417 0 0 "18" "18" 0x00000000] + Pad[12086 -1968 8385 -1968 1417 0 0 "19" "19" 0x00000000] + Pad[12086 -3937 8385 -3937 1417 0 0 "20" "20" 0x00000000] + Pad[12086 -5905 8385 -5905 1417 0 0 "21" "21" 0x00000000] +# top row + Pad[5905 -12086 5905 -8385 1417 0 0 "22" "22" 0x00000800] + Pad[3937 -12086 3937 -8385 1417 0 0 "23" "23" 0x00000800] + Pad[1968 -12086 1968 -8385 1417 0 0 "24" "24" 0x00000800] + Pad[0 -12086 0 -8385 1417 0 0 "25" "25" 0x00000800] + Pad[-1968 -12086 -1968 -8385 1417 0 0 "26" "26" 0x00000800] + Pad[-3937 -12086 -3937 -8385 1417 0 0 "27" "27" 0x00000800] + Pad[-5905 -12086 -5905 -8385 1417 0 0 "28" "28" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 12204 0 35433 "29" "29" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 13779 13779 7700 1000 8700 2000 "Mount1" "30" 0x0] +Pin[ -13779 13779 7700 1000 8700 2000 "Mount2" "31" 0x0] +Pin[ -13779 -13779 7700 1000 8700 2000 "Mount3" "32" 0x0] +Pin[ 13779 -13779 7700 1000 8700 2000 "Mount4" "33" 0x0] +# Silk screen around package +ElementLine[ 18716 18716 18716 -18716 1000] +ElementLine[ 18716 -18716 -18716 -18716 1000] +ElementLine[-18716 -18716 -18716 18716 1000] +ElementLine[-18716 18716 18716 18716 1000] +# Pin 1 indicator +ElementLine[-18716 -18716 -20216 -20216 1000] +# Silk showing latch area +# top +ElementLine[ -10826 -18716 -10826 -25590 100 ] +ElementLine[ -10826 -25590 10826 -25590 100 ] +ElementLine[ 10826 -18716 10826 -25590 100 ] +# bottom +ElementLine[ -10826 18716 -10826 25590 100 ] +ElementLine[ -10826 25590 10826 25590 100 ] +ElementLine[ 10826 18716 10826 25590 100 ] +# left +ElementLine[ -18716 10826 -25590 10826 100 ] +ElementLine[ -25590 10826 -25590 -10826 100 ] +ElementLine[ -18716 -10826 -25590 -10826 100 ] +# right +ElementLine[ 18716 10826 25590 10826 100 ] +ElementLine[ 25590 10826 25590 -10826 100 ] +ElementLine[ 18716 -10826 25590 -10826 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 984 -11811 984 11811 100 ] +ElementLine[ 1771 -11811 1771 11811 100 ] +ElementLine[ 984 11811 1771 11811 100 ] +ElementLine[ 984 -11811 1771 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN32_5.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN32_5.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN32_5.fp (revision 1033) @@ -0,0 +1,111 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN32_5" 0 0 -18716 -19766 0 100 0x00000000] +( +# left row + Pad[-12086 -6889 -8385 -6889 1417 0 0 "1" "1" 0x00000000] + Pad[-12086 -4921 -8385 -4921 1417 0 0 "2" "2" 0x00000000] + Pad[-12086 -2952 -8385 -2952 1417 0 0 "3" "3" 0x00000000] + Pad[-12086 -984 -8385 -984 1417 0 0 "4" "4" 0x00000000] + Pad[-12086 984 -8385 984 1417 0 0 "5" "5" 0x00000000] + Pad[-12086 2952 -8385 2952 1417 0 0 "6" "6" 0x00000000] + Pad[-12086 4921 -8385 4921 1417 0 0 "7" "7" 0x00000000] + Pad[-12086 6889 -8385 6889 1417 0 0 "8" "8" 0x00000000] +# bottom row + Pad[-6889 12086 -6889 8385 1417 0 0 "9" "9" 0x00000800] + Pad[-4921 12086 -4921 8385 1417 0 0 "10" "10" 0x00000800] + Pad[-2952 12086 -2952 8385 1417 0 0 "11" "11" 0x00000800] + Pad[-984 12086 -984 8385 1417 0 0 "12" "12" 0x00000800] + Pad[984 12086 984 8385 1417 0 0 "13" "13" 0x00000800] + Pad[2952 12086 2952 8385 1417 0 0 "14" "14" 0x00000800] + Pad[4921 12086 4921 8385 1417 0 0 "15" "15" 0x00000800] + Pad[6889 12086 6889 8385 1417 0 0 "16" "16" 0x00000800] +# right row + Pad[12086 6889 8385 6889 1417 0 0 "17" "17" 0x00000000] + Pad[12086 4921 8385 4921 1417 0 0 "18" "18" 0x00000000] + Pad[12086 2952 8385 2952 1417 0 0 "19" "19" 0x00000000] + Pad[12086 984 8385 984 1417 0 0 "20" "20" 0x00000000] + Pad[12086 -984 8385 -984 1417 0 0 "21" "21" 0x00000000] + Pad[12086 -2952 8385 -2952 1417 0 0 "22" "22" 0x00000000] + Pad[12086 -4921 8385 -4921 1417 0 0 "23" "23" 0x00000000] + Pad[12086 -6889 8385 -6889 1417 0 0 "24" "24" 0x00000000] +# top row + Pad[6889 -12086 6889 -8385 1417 0 0 "25" "25" 0x00000800] + Pad[4921 -12086 4921 -8385 1417 0 0 "26" "26" 0x00000800] + Pad[2952 -12086 2952 -8385 1417 0 0 "27" "27" 0x00000800] + Pad[984 -12086 984 -8385 1417 0 0 "28" "28" 0x00000800] + Pad[-984 -12086 -984 -8385 1417 0 0 "29" "29" 0x00000800] + Pad[-2952 -12086 -2952 -8385 1417 0 0 "30" "30" 0x00000800] + Pad[-4921 -12086 -4921 -8385 1417 0 0 "31" "31" 0x00000800] + Pad[-6889 -12086 -6889 -8385 1417 0 0 "32" "32" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 12204 0 35433 "33" "33" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 13779 13779 7700 1000 8700 2000 "Mount1" "34" 0x0] +Pin[ -13779 13779 7700 1000 8700 2000 "Mount2" "35" 0x0] +Pin[ -13779 -13779 7700 1000 8700 2000 "Mount3" "36" 0x0] +Pin[ 13779 -13779 7700 1000 8700 2000 "Mount4" "37" 0x0] +# Silk screen around package +ElementLine[ 18716 18716 18716 -18716 1000] +ElementLine[ 18716 -18716 -18716 -18716 1000] +ElementLine[-18716 -18716 -18716 18716 1000] +ElementLine[-18716 18716 18716 18716 1000] +# Pin 1 indicator +ElementLine[-18716 -18716 -20216 -20216 1000] +# Silk showing latch area +# top +ElementLine[ -10826 -18716 -10826 -25590 100 ] +ElementLine[ -10826 -25590 10826 -25590 100 ] +ElementLine[ 10826 -18716 10826 -25590 100 ] +# bottom +ElementLine[ -10826 18716 -10826 25590 100 ] +ElementLine[ -10826 25590 10826 25590 100 ] +ElementLine[ 10826 18716 10826 25590 100 ] +# left +ElementLine[ -18716 10826 -25590 10826 100 ] +ElementLine[ -25590 10826 -25590 -10826 100 ] +ElementLine[ -18716 -10826 -25590 -10826 100 ] +# right +ElementLine[ 18716 10826 25590 10826 100 ] +ElementLine[ 25590 10826 25590 -10826 100 ] +ElementLine[ 18716 -10826 25590 -10826 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 984 -11811 984 11811 100 ] +ElementLine[ 1771 -11811 1771 11811 100 ] +ElementLine[ 984 11811 1771 11811 100 ] +ElementLine[ 984 -11811 1771 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN32_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN32_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN32_7.fp (revision 1033) @@ -0,0 +1,115 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN32_7" 0 0 -22653 -23703 0 100 0x00000000] +( +# left row + Pad[-16023 -8956 -12322 -8956 1417 0 0 "1" "1" 0x00000000] + Pad[-16023 -6397 -12322 -6397 1417 0 0 "2" "2" 0x00000000] + Pad[-16023 -3838 -12322 -3838 1417 0 0 "3" "3" 0x00000000] + Pad[-16023 -1279 -12322 -1279 1417 0 0 "4" "4" 0x00000000] + Pad[-16023 1279 -12322 1279 1417 0 0 "5" "5" 0x00000000] + Pad[-16023 3838 -12322 3838 1417 0 0 "6" "6" 0x00000000] + Pad[-16023 6397 -12322 6397 1417 0 0 "7" "7" 0x00000000] + Pad[-16023 8956 -12322 8956 1417 0 0 "8" "8" 0x00000000] +# bottom row + Pad[-8956 16023 -8956 12322 1417 0 0 "9" "9" 0x00000800] + Pad[-6397 16023 -6397 12322 1417 0 0 "10" "10" 0x00000800] + Pad[-3838 16023 -3838 12322 1417 0 0 "11" "11" 0x00000800] + Pad[-1279 16023 -1279 12322 1417 0 0 "12" "12" 0x00000800] + Pad[1279 16023 1279 12322 1417 0 0 "13" "13" 0x00000800] + Pad[3838 16023 3838 12322 1417 0 0 "14" "14" 0x00000800] + Pad[6397 16023 6397 12322 1417 0 0 "15" "15" 0x00000800] + Pad[8956 16023 8956 12322 1417 0 0 "16" "16" 0x00000800] +# right row + Pad[16023 8956 12322 8956 1417 0 0 "17" "17" 0x00000000] + Pad[16023 6397 12322 6397 1417 0 0 "18" "18" 0x00000000] + Pad[16023 3838 12322 3838 1417 0 0 "19" "19" 0x00000000] + Pad[16023 1279 12322 1279 1417 0 0 "20" "20" 0x00000000] + Pad[16023 -1279 12322 -1279 1417 0 0 "21" "21" 0x00000000] + Pad[16023 -3838 12322 -3838 1417 0 0 "22" "22" 0x00000000] + Pad[16023 -6397 12322 -6397 1417 0 0 "23" "23" 0x00000000] + Pad[16023 -8956 12322 -8956 1417 0 0 "24" "24" 0x00000000] +# top row + Pad[8956 -16023 8956 -12322 1417 0 0 "25" "25" 0x00000800] + Pad[6397 -16023 6397 -12322 1417 0 0 "26" "26" 0x00000800] + Pad[3838 -16023 3838 -12322 1417 0 0 "27" "27" 0x00000800] + Pad[1279 -16023 1279 -12322 1417 0 0 "28" "28" 0x00000800] + Pad[-1279 -16023 -1279 -12322 1417 0 0 "29" "29" 0x00000800] + Pad[-3838 -16023 -3838 -12322 1417 0 0 "30" "30" 0x00000800] + Pad[-6397 -16023 -6397 -12322 1417 0 0 "31" "31" 0x00000800] + Pad[-8956 -16023 -8956 -12322 1417 0 0 "32" "32" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 18503 0 43307 "33" "33" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 17716 17716 7700 1000 8700 2000 "Mount1" "34" 0x0] +Pin[ -17716 17716 7700 1000 8700 2000 "Mount2" "35" 0x0] +Pin[ -17716 -17716 7700 1000 8700 2000 "Mount3" "36" 0x0] +Pin[ 17716 -17716 7700 1000 8700 2000 "Mount4" "37" 0x0] +# Silk screen around package +ElementLine[ 22653 22653 22653 -22653 1000] +ElementLine[ 22653 -22653 -22653 -22653 1000] +ElementLine[-22653 -22653 -22653 22653 1000] +ElementLine[-22653 22653 22653 22653 1000] +# Pin 1 indicator +ElementLine[-22653 -22653 -24153 -24153 1000] +# Silk showing latch area +# top +ElementLine[ -14763 -22653 -14763 -29527 100 ] +ElementLine[ -14763 -29527 14763 -29527 100 ] +ElementLine[ 14763 -22653 14763 -29527 100 ] +# bottom +ElementLine[ -14763 22653 -14763 29527 100 ] +ElementLine[ -14763 29527 14763 29527 100 ] +ElementLine[ 14763 22653 14763 29527 100 ] +# left +ElementLine[ -22653 14763 -29527 14763 100 ] +ElementLine[ -29527 14763 -29527 -14763 100 ] +ElementLine[ -22653 -14763 -29527 -14763 100 ] +# right +ElementLine[ 22653 14763 29527 14763 100 ] +ElementLine[ 29527 14763 29527 -14763 100 ] +ElementLine[ 22653 -14763 29527 -14763 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 4921 -11811 4921 11811 100 ] +ElementLine[ 5708 -11811 5708 11811 100 ] +ElementLine[ 4921 11811 5708 11811 100 ] +ElementLine[ 4921 -11811 5708 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +ElementLine[ -4921 -11811 -4921 11811 100 ] +ElementLine[ -5708 -11811 -5708 11811 100 ] +ElementLine[ -4921 11811 -5708 11811 100 ] +ElementLine[ -4921 -11811 -5708 -11811 100 ] +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN36_6.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN36_6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN36_6.fp (revision 1033) @@ -0,0 +1,119 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN36_6" 0 0 -20685 -21735 0 100 0x00000000] +( +# left row + Pad[-14055 -7874 -10354 -7874 1417 0 0 "1" "1" 0x00000000] + Pad[-14055 -5905 -10354 -5905 1417 0 0 "2" "2" 0x00000000] + Pad[-14055 -3937 -10354 -3937 1417 0 0 "3" "3" 0x00000000] + Pad[-14055 -1968 -10354 -1968 1417 0 0 "4" "4" 0x00000000] + Pad[-14055 0 -10354 0 1417 0 0 "5" "5" 0x00000000] + Pad[-14055 1968 -10354 1968 1417 0 0 "6" "6" 0x00000000] + Pad[-14055 3937 -10354 3937 1417 0 0 "7" "7" 0x00000000] + Pad[-14055 5905 -10354 5905 1417 0 0 "8" "8" 0x00000000] + Pad[-14055 7874 -10354 7874 1417 0 0 "9" "9" 0x00000000] +# bottom row + Pad[-7874 14055 -7874 10354 1417 0 0 "10" "10" 0x00000800] + Pad[-5905 14055 -5905 10354 1417 0 0 "11" "11" 0x00000800] + Pad[-3937 14055 -3937 10354 1417 0 0 "12" "12" 0x00000800] + Pad[-1968 14055 -1968 10354 1417 0 0 "13" "13" 0x00000800] + Pad[0 14055 0 10354 1417 0 0 "14" "14" 0x00000800] + Pad[1968 14055 1968 10354 1417 0 0 "15" "15" 0x00000800] + Pad[3937 14055 3937 10354 1417 0 0 "16" "16" 0x00000800] + Pad[5905 14055 5905 10354 1417 0 0 "17" "17" 0x00000800] + Pad[7874 14055 7874 10354 1417 0 0 "18" "18" 0x00000800] +# right row + Pad[14055 7874 10354 7874 1417 0 0 "19" "19" 0x00000000] + Pad[14055 5905 10354 5905 1417 0 0 "20" "20" 0x00000000] + Pad[14055 3937 10354 3937 1417 0 0 "21" "21" 0x00000000] + Pad[14055 1968 10354 1968 1417 0 0 "22" "22" 0x00000000] + Pad[14055 0 10354 0 1417 0 0 "23" "23" 0x00000000] + Pad[14055 -1968 10354 -1968 1417 0 0 "24" "24" 0x00000000] + Pad[14055 -3937 10354 -3937 1417 0 0 "25" "25" 0x00000000] + Pad[14055 -5905 10354 -5905 1417 0 0 "26" "26" 0x00000000] + Pad[14055 -7874 10354 -7874 1417 0 0 "27" "27" 0x00000000] +# top row + Pad[7874 -14055 7874 -10354 1417 0 0 "28" "28" 0x00000800] + Pad[5905 -14055 5905 -10354 1417 0 0 "29" "29" 0x00000800] + Pad[3937 -14055 3937 -10354 1417 0 0 "30" "30" 0x00000800] + Pad[1968 -14055 1968 -10354 1417 0 0 "31" "31" 0x00000800] + Pad[0 -14055 0 -10354 1417 0 0 "32" "32" 0x00000800] + Pad[-1968 -14055 -1968 -10354 1417 0 0 "33" "33" 0x00000800] + Pad[-3937 -14055 -3937 -10354 1417 0 0 "34" "34" 0x00000800] + Pad[-5905 -14055 -5905 -10354 1417 0 0 "35" "35" 0x00000800] + Pad[-7874 -14055 -7874 -10354 1417 0 0 "36" "36" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 14566 0 39370 "37" "37" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 15748 15748 7700 1000 8700 2000 "Mount1" "38" 0x0] +Pin[ -15748 15748 7700 1000 8700 2000 "Mount2" "39" 0x0] +Pin[ -15748 -15748 7700 1000 8700 2000 "Mount3" "40" 0x0] +Pin[ 15748 -15748 7700 1000 8700 2000 "Mount4" "41" 0x0] +# Silk screen around package +ElementLine[ 20685 20685 20685 -20685 1000] +ElementLine[ 20685 -20685 -20685 -20685 1000] +ElementLine[-20685 -20685 -20685 20685 1000] +ElementLine[-20685 20685 20685 20685 1000] +# Pin 1 indicator +ElementLine[-20685 -20685 -22185 -22185 1000] +# Silk showing latch area +# top +ElementLine[ -12795 -20685 -12795 -27559 100 ] +ElementLine[ -12795 -27559 12795 -27559 100 ] +ElementLine[ 12795 -20685 12795 -27559 100 ] +# bottom +ElementLine[ -12795 20685 -12795 27559 100 ] +ElementLine[ -12795 27559 12795 27559 100 ] +ElementLine[ 12795 20685 12795 27559 100 ] +# left +ElementLine[ -20685 12795 -27559 12795 100 ] +ElementLine[ -27559 12795 -27559 -12795 100 ] +ElementLine[ -20685 -12795 -27559 -12795 100 ] +# right +ElementLine[ 20685 12795 27559 12795 100 ] +ElementLine[ 27559 12795 27559 -12795 100 ] +ElementLine[ 20685 -12795 27559 -12795 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 2952 -11811 2952 11811 100 ] +ElementLine[ 3740 -11811 3740 11811 100 ] +ElementLine[ 2952 11811 3740 11811 100 ] +ElementLine[ 2952 -11811 3740 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +ElementLine[ -2952 -11811 -2952 11811 100 ] +ElementLine[ -3740 -11811 -3740 11811 100 ] +ElementLine[ -2952 11811 -3740 11811 100 ] +ElementLine[ -2952 -11811 -3740 -11811 100 ] +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN40_6.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN40_6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN40_6.fp (revision 1033) @@ -0,0 +1,123 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN40_6" 0 0 -20685 -21735 0 100 0x00000000] +( +# left row + Pad[-14055 -8858 -10354 -8858 1417 0 0 "1" "1" 0x00000000] + Pad[-14055 -6889 -10354 -6889 1417 0 0 "2" "2" 0x00000000] + Pad[-14055 -4921 -10354 -4921 1417 0 0 "3" "3" 0x00000000] + Pad[-14055 -2952 -10354 -2952 1417 0 0 "4" "4" 0x00000000] + Pad[-14055 -984 -10354 -984 1417 0 0 "5" "5" 0x00000000] + Pad[-14055 984 -10354 984 1417 0 0 "6" "6" 0x00000000] + Pad[-14055 2952 -10354 2952 1417 0 0 "7" "7" 0x00000000] + Pad[-14055 4921 -10354 4921 1417 0 0 "8" "8" 0x00000000] + Pad[-14055 6889 -10354 6889 1417 0 0 "9" "9" 0x00000000] + Pad[-14055 8858 -10354 8858 1417 0 0 "10" "10" 0x00000000] +# bottom row + Pad[-8858 14055 -8858 10354 1417 0 0 "11" "11" 0x00000800] + Pad[-6889 14055 -6889 10354 1417 0 0 "12" "12" 0x00000800] + Pad[-4921 14055 -4921 10354 1417 0 0 "13" "13" 0x00000800] + Pad[-2952 14055 -2952 10354 1417 0 0 "14" "14" 0x00000800] + Pad[-984 14055 -984 10354 1417 0 0 "15" "15" 0x00000800] + Pad[984 14055 984 10354 1417 0 0 "16" "16" 0x00000800] + Pad[2952 14055 2952 10354 1417 0 0 "17" "17" 0x00000800] + Pad[4921 14055 4921 10354 1417 0 0 "18" "18" 0x00000800] + Pad[6889 14055 6889 10354 1417 0 0 "19" "19" 0x00000800] + Pad[8858 14055 8858 10354 1417 0 0 "20" "20" 0x00000800] +# right row + Pad[14055 8858 10354 8858 1417 0 0 "21" "21" 0x00000000] + Pad[14055 6889 10354 6889 1417 0 0 "22" "22" 0x00000000] + Pad[14055 4921 10354 4921 1417 0 0 "23" "23" 0x00000000] + Pad[14055 2952 10354 2952 1417 0 0 "24" "24" 0x00000000] + Pad[14055 984 10354 984 1417 0 0 "25" "25" 0x00000000] + Pad[14055 -984 10354 -984 1417 0 0 "26" "26" 0x00000000] + Pad[14055 -2952 10354 -2952 1417 0 0 "27" "27" 0x00000000] + Pad[14055 -4921 10354 -4921 1417 0 0 "28" "28" 0x00000000] + Pad[14055 -6889 10354 -6889 1417 0 0 "29" "29" 0x00000000] + Pad[14055 -8858 10354 -8858 1417 0 0 "30" "30" 0x00000000] +# top row + Pad[8858 -14055 8858 -10354 1417 0 0 "31" "31" 0x00000800] + Pad[6889 -14055 6889 -10354 1417 0 0 "32" "32" 0x00000800] + Pad[4921 -14055 4921 -10354 1417 0 0 "33" "33" 0x00000800] + Pad[2952 -14055 2952 -10354 1417 0 0 "34" "34" 0x00000800] + Pad[984 -14055 984 -10354 1417 0 0 "35" "35" 0x00000800] + Pad[-984 -14055 -984 -10354 1417 0 0 "36" "36" 0x00000800] + Pad[-2952 -14055 -2952 -10354 1417 0 0 "37" "37" 0x00000800] + Pad[-4921 -14055 -4921 -10354 1417 0 0 "38" "38" 0x00000800] + Pad[-6889 -14055 -6889 -10354 1417 0 0 "39" "39" 0x00000800] + Pad[-8858 -14055 -8858 -10354 1417 0 0 "40" "40" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 16141 0 39370 "41" "41" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 15748 15748 7700 1000 8700 2000 "Mount1" "42" 0x0] +Pin[ -15748 15748 7700 1000 8700 2000 "Mount2" "43" 0x0] +Pin[ -15748 -15748 7700 1000 8700 2000 "Mount3" "44" 0x0] +Pin[ 15748 -15748 7700 1000 8700 2000 "Mount4" "45" 0x0] +# Silk screen around package +ElementLine[ 20685 20685 20685 -20685 1000] +ElementLine[ 20685 -20685 -20685 -20685 1000] +ElementLine[-20685 -20685 -20685 20685 1000] +ElementLine[-20685 20685 20685 20685 1000] +# Pin 1 indicator +ElementLine[-20685 -20685 -22185 -22185 1000] +# Silk showing latch area +# top +ElementLine[ -12795 -20685 -12795 -27559 100 ] +ElementLine[ -12795 -27559 12795 -27559 100 ] +ElementLine[ 12795 -20685 12795 -27559 100 ] +# bottom +ElementLine[ -12795 20685 -12795 27559 100 ] +ElementLine[ -12795 27559 12795 27559 100 ] +ElementLine[ 12795 20685 12795 27559 100 ] +# left +ElementLine[ -20685 12795 -27559 12795 100 ] +ElementLine[ -27559 12795 -27559 -12795 100 ] +ElementLine[ -20685 -12795 -27559 -12795 100 ] +# right +ElementLine[ 20685 12795 27559 12795 100 ] +ElementLine[ 27559 12795 27559 -12795 100 ] +ElementLine[ 20685 -12795 27559 -12795 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 2952 -11811 2952 11811 100 ] +ElementLine[ 3740 -11811 3740 11811 100 ] +ElementLine[ 2952 11811 3740 11811 100 ] +ElementLine[ 2952 -11811 3740 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +ElementLine[ -2952 -11811 -2952 11811 100 ] +ElementLine[ -3740 -11811 -3740 11811 100 ] +ElementLine[ -2952 11811 -3740 11811 100 ] +ElementLine[ -2952 -11811 -3740 -11811 100 ] +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN44_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN44_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN44_7.fp (revision 1033) @@ -0,0 +1,127 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN44_7" 0 0 -22653 -23703 0 100 0x00000000] +( +# left row + Pad[-16023 -9842 -12322 -9842 1417 0 0 "1" "1" 0x00000000] + Pad[-16023 -7874 -12322 -7874 1417 0 0 "2" "2" 0x00000000] + Pad[-16023 -5905 -12322 -5905 1417 0 0 "3" "3" 0x00000000] + Pad[-16023 -3937 -12322 -3937 1417 0 0 "4" "4" 0x00000000] + Pad[-16023 -1968 -12322 -1968 1417 0 0 "5" "5" 0x00000000] + Pad[-16023 0 -12322 0 1417 0 0 "6" "6" 0x00000000] + Pad[-16023 1968 -12322 1968 1417 0 0 "7" "7" 0x00000000] + Pad[-16023 3937 -12322 3937 1417 0 0 "8" "8" 0x00000000] + Pad[-16023 5905 -12322 5905 1417 0 0 "9" "9" 0x00000000] + Pad[-16023 7874 -12322 7874 1417 0 0 "10" "10" 0x00000000] + Pad[-16023 9842 -12322 9842 1417 0 0 "11" "11" 0x00000000] +# bottom row + Pad[-9842 16023 -9842 12322 1417 0 0 "12" "12" 0x00000800] + Pad[-7874 16023 -7874 12322 1417 0 0 "13" "13" 0x00000800] + Pad[-5905 16023 -5905 12322 1417 0 0 "14" "14" 0x00000800] + Pad[-3937 16023 -3937 12322 1417 0 0 "15" "15" 0x00000800] + Pad[-1968 16023 -1968 12322 1417 0 0 "16" "16" 0x00000800] + Pad[0 16023 0 12322 1417 0 0 "17" "17" 0x00000800] + Pad[1968 16023 1968 12322 1417 0 0 "18" "18" 0x00000800] + Pad[3937 16023 3937 12322 1417 0 0 "19" "19" 0x00000800] + Pad[5905 16023 5905 12322 1417 0 0 "20" "20" 0x00000800] + Pad[7874 16023 7874 12322 1417 0 0 "21" "21" 0x00000800] + Pad[9842 16023 9842 12322 1417 0 0 "22" "22" 0x00000800] +# right row + Pad[16023 9842 12322 9842 1417 0 0 "23" "23" 0x00000000] + Pad[16023 7874 12322 7874 1417 0 0 "24" "24" 0x00000000] + Pad[16023 5905 12322 5905 1417 0 0 "25" "25" 0x00000000] + Pad[16023 3937 12322 3937 1417 0 0 "26" "26" 0x00000000] + Pad[16023 1968 12322 1968 1417 0 0 "27" "27" 0x00000000] + Pad[16023 0 12322 0 1417 0 0 "28" "28" 0x00000000] + Pad[16023 -1968 12322 -1968 1417 0 0 "29" "29" 0x00000000] + Pad[16023 -3937 12322 -3937 1417 0 0 "30" "30" 0x00000000] + Pad[16023 -5905 12322 -5905 1417 0 0 "31" "31" 0x00000000] + Pad[16023 -7874 12322 -7874 1417 0 0 "32" "32" 0x00000000] + Pad[16023 -9842 12322 -9842 1417 0 0 "33" "33" 0x00000000] +# top row + Pad[9842 -16023 9842 -12322 1417 0 0 "34" "34" 0x00000800] + Pad[7874 -16023 7874 -12322 1417 0 0 "35" "35" 0x00000800] + Pad[5905 -16023 5905 -12322 1417 0 0 "36" "36" 0x00000800] + Pad[3937 -16023 3937 -12322 1417 0 0 "37" "37" 0x00000800] + Pad[1968 -16023 1968 -12322 1417 0 0 "38" "38" 0x00000800] + Pad[0 -16023 0 -12322 1417 0 0 "39" "39" 0x00000800] + Pad[-1968 -16023 -1968 -12322 1417 0 0 "40" "40" 0x00000800] + Pad[-3937 -16023 -3937 -12322 1417 0 0 "41" "41" 0x00000800] + Pad[-5905 -16023 -5905 -12322 1417 0 0 "42" "42" 0x00000800] + Pad[-7874 -16023 -7874 -12322 1417 0 0 "43" "43" 0x00000800] + Pad[-9842 -16023 -9842 -12322 1417 0 0 "44" "44" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 18503 0 43307 "45" "45" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 17716 17716 7700 1000 8700 2000 "Mount1" "46" 0x0] +Pin[ -17716 17716 7700 1000 8700 2000 "Mount2" "47" 0x0] +Pin[ -17716 -17716 7700 1000 8700 2000 "Mount3" "48" 0x0] +Pin[ 17716 -17716 7700 1000 8700 2000 "Mount4" "49" 0x0] +# Silk screen around package +ElementLine[ 22653 22653 22653 -22653 1000] +ElementLine[ 22653 -22653 -22653 -22653 1000] +ElementLine[-22653 -22653 -22653 22653 1000] +ElementLine[-22653 22653 22653 22653 1000] +# Pin 1 indicator +ElementLine[-22653 -22653 -24153 -24153 1000] +# Silk showing latch area +# top +ElementLine[ -14763 -22653 -14763 -29527 100 ] +ElementLine[ -14763 -29527 14763 -29527 100 ] +ElementLine[ 14763 -22653 14763 -29527 100 ] +# bottom +ElementLine[ -14763 22653 -14763 29527 100 ] +ElementLine[ -14763 29527 14763 29527 100 ] +ElementLine[ 14763 22653 14763 29527 100 ] +# left +ElementLine[ -22653 14763 -29527 14763 100 ] +ElementLine[ -29527 14763 -29527 -14763 100 ] +ElementLine[ -22653 -14763 -29527 -14763 100 ] +# right +ElementLine[ 22653 14763 29527 14763 100 ] +ElementLine[ 29527 14763 29527 -14763 100 ] +ElementLine[ 22653 -14763 29527 -14763 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 4921 -11811 4921 11811 100 ] +ElementLine[ 5708 -11811 5708 11811 100 ] +ElementLine[ 4921 11811 5708 11811 100 ] +ElementLine[ 4921 -11811 5708 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +ElementLine[ -4921 -11811 -4921 11811 100 ] +ElementLine[ -5708 -11811 -5708 11811 100 ] +ElementLine[ -4921 11811 -5708 11811 100 ] +ElementLine[ -4921 -11811 -5708 -11811 100 ] +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN48_7.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN48_7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN48_7.fp (revision 1033) @@ -0,0 +1,131 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN48_7" 0 0 -22653 -23703 0 100 0x00000000] +( +# left row + Pad[-16023 -10826 -12322 -10826 1417 0 0 "1" "1" 0x00000000] + Pad[-16023 -8858 -12322 -8858 1417 0 0 "2" "2" 0x00000000] + Pad[-16023 -6889 -12322 -6889 1417 0 0 "3" "3" 0x00000000] + Pad[-16023 -4921 -12322 -4921 1417 0 0 "4" "4" 0x00000000] + Pad[-16023 -2952 -12322 -2952 1417 0 0 "5" "5" 0x00000000] + Pad[-16023 -984 -12322 -984 1417 0 0 "6" "6" 0x00000000] + Pad[-16023 984 -12322 984 1417 0 0 "7" "7" 0x00000000] + Pad[-16023 2952 -12322 2952 1417 0 0 "8" "8" 0x00000000] + Pad[-16023 4921 -12322 4921 1417 0 0 "9" "9" 0x00000000] + Pad[-16023 6889 -12322 6889 1417 0 0 "10" "10" 0x00000000] + Pad[-16023 8858 -12322 8858 1417 0 0 "11" "11" 0x00000000] + Pad[-16023 10826 -12322 10826 1417 0 0 "12" "12" 0x00000000] +# bottom row + Pad[-10826 16023 -10826 12322 1417 0 0 "13" "13" 0x00000800] + Pad[-8858 16023 -8858 12322 1417 0 0 "14" "14" 0x00000800] + Pad[-6889 16023 -6889 12322 1417 0 0 "15" "15" 0x00000800] + Pad[-4921 16023 -4921 12322 1417 0 0 "16" "16" 0x00000800] + Pad[-2952 16023 -2952 12322 1417 0 0 "17" "17" 0x00000800] + Pad[-984 16023 -984 12322 1417 0 0 "18" "18" 0x00000800] + Pad[984 16023 984 12322 1417 0 0 "19" "19" 0x00000800] + Pad[2952 16023 2952 12322 1417 0 0 "20" "20" 0x00000800] + Pad[4921 16023 4921 12322 1417 0 0 "21" "21" 0x00000800] + Pad[6889 16023 6889 12322 1417 0 0 "22" "22" 0x00000800] + Pad[8858 16023 8858 12322 1417 0 0 "23" "23" 0x00000800] + Pad[10826 16023 10826 12322 1417 0 0 "24" "24" 0x00000800] +# right row + Pad[16023 10826 12322 10826 1417 0 0 "25" "25" 0x00000000] + Pad[16023 8858 12322 8858 1417 0 0 "26" "26" 0x00000000] + Pad[16023 6889 12322 6889 1417 0 0 "27" "27" 0x00000000] + Pad[16023 4921 12322 4921 1417 0 0 "28" "28" 0x00000000] + Pad[16023 2952 12322 2952 1417 0 0 "29" "29" 0x00000000] + Pad[16023 984 12322 984 1417 0 0 "30" "30" 0x00000000] + Pad[16023 -984 12322 -984 1417 0 0 "31" "31" 0x00000000] + Pad[16023 -2952 12322 -2952 1417 0 0 "32" "32" 0x00000000] + Pad[16023 -4921 12322 -4921 1417 0 0 "33" "33" 0x00000000] + Pad[16023 -6889 12322 -6889 1417 0 0 "34" "34" 0x00000000] + Pad[16023 -8858 12322 -8858 1417 0 0 "35" "35" 0x00000000] + Pad[16023 -10826 12322 -10826 1417 0 0 "36" "36" 0x00000000] +# top row + Pad[10826 -16023 10826 -12322 1417 0 0 "37" "37" 0x00000800] + Pad[8858 -16023 8858 -12322 1417 0 0 "38" "38" 0x00000800] + Pad[6889 -16023 6889 -12322 1417 0 0 "39" "39" 0x00000800] + Pad[4921 -16023 4921 -12322 1417 0 0 "40" "40" 0x00000800] + Pad[2952 -16023 2952 -12322 1417 0 0 "41" "41" 0x00000800] + Pad[984 -16023 984 -12322 1417 0 0 "42" "42" 0x00000800] + Pad[-984 -16023 -984 -12322 1417 0 0 "43" "43" 0x00000800] + Pad[-2952 -16023 -2952 -12322 1417 0 0 "44" "44" 0x00000800] + Pad[-4921 -16023 -4921 -12322 1417 0 0 "45" "45" 0x00000800] + Pad[-6889 -16023 -6889 -12322 1417 0 0 "46" "46" 0x00000800] + Pad[-8858 -16023 -8858 -12322 1417 0 0 "47" "47" 0x00000800] + Pad[-10826 -16023 -10826 -12322 1417 0 0 "48" "48" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 22047 0 43307 "49" "49" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 17716 17716 7700 1000 8700 2000 "Mount1" "50" 0x0] +Pin[ -17716 17716 7700 1000 8700 2000 "Mount2" "51" 0x0] +Pin[ -17716 -17716 7700 1000 8700 2000 "Mount3" "52" 0x0] +Pin[ 17716 -17716 7700 1000 8700 2000 "Mount4" "53" 0x0] +# Silk screen around package +ElementLine[ 22653 22653 22653 -22653 1000] +ElementLine[ 22653 -22653 -22653 -22653 1000] +ElementLine[-22653 -22653 -22653 22653 1000] +ElementLine[-22653 22653 22653 22653 1000] +# Pin 1 indicator +ElementLine[-22653 -22653 -24153 -24153 1000] +# Silk showing latch area +# top +ElementLine[ -14763 -22653 -14763 -29527 100 ] +ElementLine[ -14763 -29527 14763 -29527 100 ] +ElementLine[ 14763 -22653 14763 -29527 100 ] +# bottom +ElementLine[ -14763 22653 -14763 29527 100 ] +ElementLine[ -14763 29527 14763 29527 100 ] +ElementLine[ 14763 22653 14763 29527 100 ] +# left +ElementLine[ -22653 14763 -29527 14763 100 ] +ElementLine[ -29527 14763 -29527 -14763 100 ] +ElementLine[ -22653 -14763 -29527 -14763 100 ] +# right +ElementLine[ 22653 14763 29527 14763 100 ] +ElementLine[ 29527 14763 29527 -14763 100 ] +ElementLine[ 22653 -14763 29527 -14763 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 4921 -11811 4921 11811 100 ] +ElementLine[ 5708 -11811 5708 11811 100 ] +ElementLine[ 4921 11811 5708 11811 100 ] +ElementLine[ 4921 -11811 5708 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +ElementLine[ -4921 -11811 -4921 11811 100 ] +ElementLine[ -5708 -11811 -5708 11811 100 ] +ElementLine[ -4921 11811 -5708 11811 100 ] +ElementLine[ -4921 -11811 -5708 -11811 100 ] +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN56_8.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN56_8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN56_8.fp (revision 1033) @@ -0,0 +1,139 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN56_8" 0 0 -24622 -25672 0 100 0x00000000] +( +# left row + Pad[-17992 -12795 -14291 -12795 1417 0 0 "1" "1" 0x00000000] + Pad[-17992 -10826 -14291 -10826 1417 0 0 "2" "2" 0x00000000] + Pad[-17992 -8858 -14291 -8858 1417 0 0 "3" "3" 0x00000000] + Pad[-17992 -6889 -14291 -6889 1417 0 0 "4" "4" 0x00000000] + Pad[-17992 -4921 -14291 -4921 1417 0 0 "5" "5" 0x00000000] + Pad[-17992 -2952 -14291 -2952 1417 0 0 "6" "6" 0x00000000] + Pad[-17992 -984 -14291 -984 1417 0 0 "7" "7" 0x00000000] + Pad[-17992 984 -14291 984 1417 0 0 "8" "8" 0x00000000] + Pad[-17992 2952 -14291 2952 1417 0 0 "9" "9" 0x00000000] + Pad[-17992 4921 -14291 4921 1417 0 0 "10" "10" 0x00000000] + Pad[-17992 6889 -14291 6889 1417 0 0 "11" "11" 0x00000000] + Pad[-17992 8858 -14291 8858 1417 0 0 "12" "12" 0x00000000] + Pad[-17992 10826 -14291 10826 1417 0 0 "13" "13" 0x00000000] + Pad[-17992 12795 -14291 12795 1417 0 0 "14" "14" 0x00000000] +# bottom row + Pad[-12795 17992 -12795 14291 1417 0 0 "15" "15" 0x00000800] + Pad[-10826 17992 -10826 14291 1417 0 0 "16" "16" 0x00000800] + Pad[-8858 17992 -8858 14291 1417 0 0 "17" "17" 0x00000800] + Pad[-6889 17992 -6889 14291 1417 0 0 "18" "18" 0x00000800] + Pad[-4921 17992 -4921 14291 1417 0 0 "19" "19" 0x00000800] + Pad[-2952 17992 -2952 14291 1417 0 0 "20" "20" 0x00000800] + Pad[-984 17992 -984 14291 1417 0 0 "21" "21" 0x00000800] + Pad[984 17992 984 14291 1417 0 0 "22" "22" 0x00000800] + Pad[2952 17992 2952 14291 1417 0 0 "23" "23" 0x00000800] + Pad[4921 17992 4921 14291 1417 0 0 "24" "24" 0x00000800] + Pad[6889 17992 6889 14291 1417 0 0 "25" "25" 0x00000800] + Pad[8858 17992 8858 14291 1417 0 0 "26" "26" 0x00000800] + Pad[10826 17992 10826 14291 1417 0 0 "27" "27" 0x00000800] + Pad[12795 17992 12795 14291 1417 0 0 "28" "28" 0x00000800] +# right row + Pad[17992 12795 14291 12795 1417 0 0 "29" "29" 0x00000000] + Pad[17992 10826 14291 10826 1417 0 0 "30" "30" 0x00000000] + Pad[17992 8858 14291 8858 1417 0 0 "31" "31" 0x00000000] + Pad[17992 6889 14291 6889 1417 0 0 "32" "32" 0x00000000] + Pad[17992 4921 14291 4921 1417 0 0 "33" "33" 0x00000000] + Pad[17992 2952 14291 2952 1417 0 0 "34" "34" 0x00000000] + Pad[17992 984 14291 984 1417 0 0 "35" "35" 0x00000000] + Pad[17992 -984 14291 -984 1417 0 0 "36" "36" 0x00000000] + Pad[17992 -2952 14291 -2952 1417 0 0 "37" "37" 0x00000000] + Pad[17992 -4921 14291 -4921 1417 0 0 "38" "38" 0x00000000] + Pad[17992 -6889 14291 -6889 1417 0 0 "39" "39" 0x00000000] + Pad[17992 -8858 14291 -8858 1417 0 0 "40" "40" 0x00000000] + Pad[17992 -10826 14291 -10826 1417 0 0 "41" "41" 0x00000000] + Pad[17992 -12795 14291 -12795 1417 0 0 "42" "42" 0x00000000] +# top row + Pad[12795 -17992 12795 -14291 1417 0 0 "43" "43" 0x00000800] + Pad[10826 -17992 10826 -14291 1417 0 0 "44" "44" 0x00000800] + Pad[8858 -17992 8858 -14291 1417 0 0 "45" "45" 0x00000800] + Pad[6889 -17992 6889 -14291 1417 0 0 "46" "46" 0x00000800] + Pad[4921 -17992 4921 -14291 1417 0 0 "47" "47" 0x00000800] + Pad[2952 -17992 2952 -14291 1417 0 0 "48" "48" 0x00000800] + Pad[984 -17992 984 -14291 1417 0 0 "49" "49" 0x00000800] + Pad[-984 -17992 -984 -14291 1417 0 0 "50" "50" 0x00000800] + Pad[-2952 -17992 -2952 -14291 1417 0 0 "51" "51" 0x00000800] + Pad[-4921 -17992 -4921 -14291 1417 0 0 "52" "52" 0x00000800] + Pad[-6889 -17992 -6889 -14291 1417 0 0 "53" "53" 0x00000800] + Pad[-8858 -17992 -8858 -14291 1417 0 0 "54" "54" 0x00000800] + Pad[-10826 -17992 -10826 -14291 1417 0 0 "55" "55" 0x00000800] + Pad[-12795 -17992 -12795 -14291 1417 0 0 "56" "56" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 26181 0 47244 "57" "57" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 19685 19685 7700 1000 8700 2000 "Mount1" "58" 0x0] +Pin[ -19685 19685 7700 1000 8700 2000 "Mount2" "59" 0x0] +Pin[ -19685 -19685 7700 1000 8700 2000 "Mount3" "60" 0x0] +Pin[ 19685 -19685 7700 1000 8700 2000 "Mount4" "61" 0x0] +# Silk screen around package +ElementLine[ 24622 24622 24622 -24622 1000] +ElementLine[ 24622 -24622 -24622 -24622 1000] +ElementLine[-24622 -24622 -24622 24622 1000] +ElementLine[-24622 24622 24622 24622 1000] +# Pin 1 indicator +ElementLine[-24622 -24622 -26122 -26122 1000] +# Silk showing latch area +# top +ElementLine[ -16732 -24622 -16732 -31496 100 ] +ElementLine[ -16732 -31496 16732 -31496 100 ] +ElementLine[ 16732 -24622 16732 -31496 100 ] +# bottom +ElementLine[ -16732 24622 -16732 31496 100 ] +ElementLine[ -16732 31496 16732 31496 100 ] +ElementLine[ 16732 24622 16732 31496 100 ] +# left +ElementLine[ -24622 16732 -31496 16732 100 ] +ElementLine[ -31496 16732 -31496 -16732 100 ] +ElementLine[ -24622 -16732 -31496 -16732 100 ] +# right +ElementLine[ 24622 16732 31496 16732 100 ] +ElementLine[ 31496 16732 31496 -16732 100 ] +ElementLine[ 24622 -16732 31496 -16732 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 6889 -11811 6889 11811 100 ] +ElementLine[ 7677 -11811 7677 11811 100 ] +ElementLine[ 6889 11811 7677 11811 100 ] +ElementLine[ 6889 -11811 7677 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +ElementLine[ -6889 -11811 -6889 11811 100 ] +ElementLine[ -7677 -11811 -7677 11811 100 ] +ElementLine[ -6889 11811 -7677 11811 100 ] +ElementLine[ -6889 -11811 -7677 -11811 100 ] +) Index: oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN68_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN68_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/JOHNSTECH_QFN68_10.fp (revision 1033) @@ -0,0 +1,151 @@ + # number of pins on left/right sides (pin1 is upper pin on left side) + # number of pins on top/bottom sides + # pin pitch (1/1000 mil) + # y-coordinate for upper pin on left/right sides (1/1000 mil) + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + # total horizontal package width (1/1000 mil) + # total vertical package width (1/1000 mil) + # how much pads extend beyond the package edge (1/1000 mil) (the 75 is 0.75 mm) + # how much pads extend inward from the package pad edge (1/1000 mil) + # pad length/width (1/1000 mil) + # pad width (mil/100) + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + # pad size and drill size (mil/100) for the mounting holes + # soldermask relief size for mounting holes (mil/100) + # silkscreen width (mils/100) + # how much the silk screen is moved away from the package (1/1000 mil) + # upper right corner for silk screen (mil/100) + # refdes text size (mil/100) + # x,y coordinates for refdes label (mil/100) + # square exposed paddle size (mil/100) + # location of mounting holes (mil/100) + # latch silkscreen width (mils/100) + # points for latch silk on the left/right sides of the part (mil/100) + # points for latch silk on the top/bottom sides of the part (mil/100) + # points for silk showing where the exposed paddle contacts are (mil/100) + # spacing between rows of EP contacts in 1/100 mm. + # soldermask opening (mil/100) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Johnstech QFN Socket, Series 1MM (724812-724839)" "" "JOHNSTECH_QFN68_10" 0 0 -28559 -29609 0 100 0x00000000] +( +# left row + Pad[-21929 -15748 -18228 -15748 1417 0 0 "1" "1" 0x00000000] + Pad[-21929 -13779 -18228 -13779 1417 0 0 "2" "2" 0x00000000] + Pad[-21929 -11811 -18228 -11811 1417 0 0 "3" "3" 0x00000000] + Pad[-21929 -9842 -18228 -9842 1417 0 0 "4" "4" 0x00000000] + Pad[-21929 -7874 -18228 -7874 1417 0 0 "5" "5" 0x00000000] + Pad[-21929 -5905 -18228 -5905 1417 0 0 "6" "6" 0x00000000] + Pad[-21929 -3937 -18228 -3937 1417 0 0 "7" "7" 0x00000000] + Pad[-21929 -1968 -18228 -1968 1417 0 0 "8" "8" 0x00000000] + Pad[-21929 0 -18228 0 1417 0 0 "9" "9" 0x00000000] + Pad[-21929 1968 -18228 1968 1417 0 0 "10" "10" 0x00000000] + Pad[-21929 3937 -18228 3937 1417 0 0 "11" "11" 0x00000000] + Pad[-21929 5905 -18228 5905 1417 0 0 "12" "12" 0x00000000] + Pad[-21929 7874 -18228 7874 1417 0 0 "13" "13" 0x00000000] + Pad[-21929 9842 -18228 9842 1417 0 0 "14" "14" 0x00000000] + Pad[-21929 11811 -18228 11811 1417 0 0 "15" "15" 0x00000000] + Pad[-21929 13779 -18228 13779 1417 0 0 "16" "16" 0x00000000] + Pad[-21929 15748 -18228 15748 1417 0 0 "17" "17" 0x00000000] +# bottom row + Pad[-15748 21929 -15748 18228 1417 0 0 "18" "18" 0x00000800] + Pad[-13779 21929 -13779 18228 1417 0 0 "19" "19" 0x00000800] + Pad[-11811 21929 -11811 18228 1417 0 0 "20" "20" 0x00000800] + Pad[-9842 21929 -9842 18228 1417 0 0 "21" "21" 0x00000800] + Pad[-7874 21929 -7874 18228 1417 0 0 "22" "22" 0x00000800] + Pad[-5905 21929 -5905 18228 1417 0 0 "23" "23" 0x00000800] + Pad[-3937 21929 -3937 18228 1417 0 0 "24" "24" 0x00000800] + Pad[-1968 21929 -1968 18228 1417 0 0 "25" "25" 0x00000800] + Pad[0 21929 0 18228 1417 0 0 "26" "26" 0x00000800] + Pad[1968 21929 1968 18228 1417 0 0 "27" "27" 0x00000800] + Pad[3937 21929 3937 18228 1417 0 0 "28" "28" 0x00000800] + Pad[5905 21929 5905 18228 1417 0 0 "29" "29" 0x00000800] + Pad[7874 21929 7874 18228 1417 0 0 "30" "30" 0x00000800] + Pad[9842 21929 9842 18228 1417 0 0 "31" "31" 0x00000800] + Pad[11811 21929 11811 18228 1417 0 0 "32" "32" 0x00000800] + Pad[13779 21929 13779 18228 1417 0 0 "33" "33" 0x00000800] + Pad[15748 21929 15748 18228 1417 0 0 "34" "34" 0x00000800] +# right row + Pad[21929 15748 18228 15748 1417 0 0 "35" "35" 0x00000000] + Pad[21929 13779 18228 13779 1417 0 0 "36" "36" 0x00000000] + Pad[21929 11811 18228 11811 1417 0 0 "37" "37" 0x00000000] + Pad[21929 9842 18228 9842 1417 0 0 "38" "38" 0x00000000] + Pad[21929 7874 18228 7874 1417 0 0 "39" "39" 0x00000000] + Pad[21929 5905 18228 5905 1417 0 0 "40" "40" 0x00000000] + Pad[21929 3937 18228 3937 1417 0 0 "41" "41" 0x00000000] + Pad[21929 1968 18228 1968 1417 0 0 "42" "42" 0x00000000] + Pad[21929 0 18228 0 1417 0 0 "43" "43" 0x00000000] + Pad[21929 -1968 18228 -1968 1417 0 0 "44" "44" 0x00000000] + Pad[21929 -3937 18228 -3937 1417 0 0 "45" "45" 0x00000000] + Pad[21929 -5905 18228 -5905 1417 0 0 "46" "46" 0x00000000] + Pad[21929 -7874 18228 -7874 1417 0 0 "47" "47" 0x00000000] + Pad[21929 -9842 18228 -9842 1417 0 0 "48" "48" 0x00000000] + Pad[21929 -11811 18228 -11811 1417 0 0 "49" "49" 0x00000000] + Pad[21929 -13779 18228 -13779 1417 0 0 "50" "50" 0x00000000] + Pad[21929 -15748 18228 -15748 1417 0 0 "51" "51" 0x00000000] +# top row + Pad[15748 -21929 15748 -18228 1417 0 0 "52" "52" 0x00000800] + Pad[13779 -21929 13779 -18228 1417 0 0 "53" "53" 0x00000800] + Pad[11811 -21929 11811 -18228 1417 0 0 "54" "54" 0x00000800] + Pad[9842 -21929 9842 -18228 1417 0 0 "55" "55" 0x00000800] + Pad[7874 -21929 7874 -18228 1417 0 0 "56" "56" 0x00000800] + Pad[5905 -21929 5905 -18228 1417 0 0 "57" "57" 0x00000800] + Pad[3937 -21929 3937 -18228 1417 0 0 "58" "58" 0x00000800] + Pad[1968 -21929 1968 -18228 1417 0 0 "59" "59" 0x00000800] + Pad[0 -21929 0 -18228 1417 0 0 "60" "60" 0x00000800] + Pad[-1968 -21929 -1968 -18228 1417 0 0 "61" "61" 0x00000800] + Pad[-3937 -21929 -3937 -18228 1417 0 0 "62" "62" 0x00000800] + Pad[-5905 -21929 -5905 -18228 1417 0 0 "63" "63" 0x00000800] + Pad[-7874 -21929 -7874 -18228 1417 0 0 "64" "64" 0x00000800] + Pad[-9842 -21929 -9842 -18228 1417 0 0 "65" "65" 0x00000800] + Pad[-11811 -21929 -11811 -18228 1417 0 0 "66" "66" 0x00000800] + Pad[-13779 -21929 -13779 -18228 1417 0 0 "67" "67" 0x00000800] + Pad[-15748 -21929 -15748 -18228 1417 0 0 "68" "68" 0x00000800] +# Exposed paddle. Note that this pad also sets the soldermask +# relief for the entire part. +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) +Pad[0 0 0 0 30314 0 55118 "69" "69" 0x00000100] +# Mounting pins +# Pin(x, y, thickness, clearance, mask, drilling hole, name, +# number, flags +Pin[ 23622 23622 7700 1000 8700 2000 "Mount1" "70" 0x0] +Pin[ -23622 23622 7700 1000 8700 2000 "Mount2" "71" 0x0] +Pin[ -23622 -23622 7700 1000 8700 2000 "Mount3" "72" 0x0] +Pin[ 23622 -23622 7700 1000 8700 2000 "Mount4" "73" 0x0] +# Silk screen around package +ElementLine[ 28559 28559 28559 -28559 1000] +ElementLine[ 28559 -28559 -28559 -28559 1000] +ElementLine[-28559 -28559 -28559 28559 1000] +ElementLine[-28559 28559 28559 28559 1000] +# Pin 1 indicator +ElementLine[-28559 -28559 -30059 -30059 1000] +# Silk showing latch area +# top +ElementLine[ -20669 -28559 -20669 -35433 100 ] +ElementLine[ -20669 -35433 20669 -35433 100 ] +ElementLine[ 20669 -28559 20669 -35433 100 ] +# bottom +ElementLine[ -20669 28559 -20669 35433 100 ] +ElementLine[ -20669 35433 20669 35433 100 ] +ElementLine[ 20669 28559 20669 35433 100 ] +# left +ElementLine[ -28559 20669 -35433 20669 100 ] +ElementLine[ -35433 20669 -35433 -20669 100 ] +ElementLine[ -28559 -20669 -35433 -20669 100 ] +# right +ElementLine[ 28559 20669 35433 20669 100 ] +ElementLine[ 35433 20669 35433 -20669 100 ] +ElementLine[ 28559 -20669 35433 -20669 100 ] +# Silk showing area for exposed paddle socket contacts +ElementLine[ 10826 -11811 10826 11811 100 ] +ElementLine[ 11614 -11811 11614 11811 100 ] +ElementLine[ 10826 11811 11614 11811 100 ] +ElementLine[ 10826 -11811 11614 -11811 100 ] +# packages with width >= 6.0 mm have 2 rows of contacts +ElementLine[ -10826 -11811 -10826 11811 100 ] +ElementLine[ -11614 -11811 -11614 11811 100 ] +ElementLine[ -10826 11811 -11614 11811 100 ] +ElementLine[ -10826 -11811 -11614 -11811 100 ] +) Index: oldlib/lib/pcblib-newlib/johnstech/index.html =================================================================== --- oldlib/lib/pcblib-newlib/johnstech/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/johnstech/index.html (revision 1033) @@ -0,0 +1,74 @@ + +PCB johnstech Footprint Library + +

    PCB johnstech Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    JOHNSTECH_QFN12_4, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN12_4.fp
    JOHNSTECH_QFN16_4, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN16_4.fp
    JOHNSTECH_QFN20_4, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN20_4.fp
    JOHNSTECH_QFN24_4, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN24_4.fp
    JOHNSTECH_QFN16_5, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN16_5.fp
    JOHNSTECH_QFN20_5, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN20_5.fp
    JOHNSTECH_QFN28_5, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN28_5.fp
    JOHNSTECH_QFN32_5, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN32_5.fp
    JOHNSTECH_QFN36_6, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN36_6.fp
    JOHNSTECH_QFN40_6, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN40_6.fp
    JOHNSTECH_QFN32_7, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN32_7.fp
    JOHNSTECH_QFN44_7, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN44_7.fp
    JOHNSTECH_QFN48_7, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN48_7.fp
    JOHNSTECH_QFN56_8, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN56_8.fp
    JOHNSTECH_QFN68_10, Johnstech QFN Socket, Series 1MM (724812-724839) JOHNSTECH_QFN68_10.fp
    + + Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CA531.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CA531.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CA531.fp (revision 1033) @@ -0,0 +1,42 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits CA Style Package" "" "MINICIRCUITS_CA531" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -6000 -3700 + -3000 -3700 + 2000 1000 3000 "1" "1" 0x00000100] + Pad[ -6000 0 + -3000 0 + 2000 1000 3000 "2" "2" 0x00000100] + Pad[ -6000 3700 + -3000 3700 + 2000 1000 3000 "3" "3" 0x00000100] + Pad[ 6000 3700 + 3000 3700 + 2000 1000 3000 "4" "4" 0x00000100] + Pad[ 6000 0 + 3000 0 + 2000 1000 3000 "5" "5" 0x00000100] + Pad[ 6000 -3700 + 3000 -3700 + 2000 1000 3000 "6" "6" 0x00000100] + ElementLine[-8000 -5700 -8000 5700 1000] + ElementLine[-8000 5700 8000 5700 1000] + ElementLine[ 8000 5700 8000 -5700 1000] + ElementLine[-8000 -5700 -2500 -5700 1000] + ElementLine[ 8000 -5700 2500 -5700 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CB518.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CB518.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CB518.fp (revision 1033) @@ -0,0 +1,55 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits CB Style Package" "" "MINICIRCUITS_CB518" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -13000 -10000 + -7000 -10000 + 3000 1000 4000 "1" "1" 0x00000100] + Pad[ -13000 -5000 + -7000 -5000 + 3000 1000 4000 "2" "2" 0x00000100] + Pad[ -13000 0 + -7000 0 + 3000 1000 4000 "3" "3" 0x00000100] + Pad[ -13000 5000 + -7000 5000 + 3000 1000 4000 "4" "4" 0x00000100] + Pad[ -13000 10000 + -7000 10000 + 3000 1000 4000 "5" "5" 0x00000100] + Pad[ 13000 10000 + 7000 10000 + 3000 1000 4000 "6" "6" 0x00000100] + Pad[ 13000 5000 + 7000 5000 + 3000 1000 4000 "7" "7" 0x00000100] + Pad[ 13000 0 + 7000 0 + 3000 1000 4000 "8" "8" 0x00000100] + Pad[ 13000 -5000 + 7000 -5000 + 3000 1000 4000 "9" "9" 0x00000100] + Pad[ 13000 -10000 + 7000 -10000 + 3000 1000 4000 "10" "10" 0x00000100] + ElementLine[-15500 -12500 -15500 12500 1000] + ElementLine[-15500 12500 15500 12500 1000] + ElementLine[ 15500 12500 15500 -12500 1000] + ElementLine[-15500 -12500 -2500 -12500 1000] + ElementLine[ 15500 -12500 2500 -12500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -12500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CB539.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CB539.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CB539.fp (revision 1033) @@ -0,0 +1,55 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits CB Style Package" "" "MINICIRCUITS_CB539" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -14250 -10000 + -8250 -10000 + 3000 1000 4000 "1" "1" 0x00000100] + Pad[ -14250 -5000 + -8250 -5000 + 3000 1000 4000 "2" "2" 0x00000100] + Pad[ -14250 0 + -8250 0 + 3000 1000 4000 "3" "3" 0x00000100] + Pad[ -14250 5000 + -8250 5000 + 3000 1000 4000 "4" "4" 0x00000100] + Pad[ -14250 10000 + -8250 10000 + 3000 1000 4000 "5" "5" 0x00000100] + Pad[ 14250 10000 + 8250 10000 + 3000 1000 4000 "6" "6" 0x00000100] + Pad[ 14250 5000 + 8250 5000 + 3000 1000 4000 "7" "7" 0x00000100] + Pad[ 14250 0 + 8250 0 + 3000 1000 4000 "8" "8" 0x00000100] + Pad[ 14250 -5000 + 8250 -5000 + 3000 1000 4000 "9" "9" 0x00000100] + Pad[ 14250 -10000 + 8250 -10000 + 3000 1000 4000 "10" "10" 0x00000100] + ElementLine[-16750 -12500 -16750 12500 1000] + ElementLine[-16750 12500 16750 12500 1000] + ElementLine[ 16750 12500 16750 -12500 1000] + ElementLine[-16750 -12500 -2500 -12500 1000] + ElementLine[ 16750 -12500 2500 -12500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -12500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD541.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD541.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD541.fp (revision 1033) @@ -0,0 +1,43 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits CD Style Package" "" "MINICIRCUITS_CD541" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -11750 -10000 + -8250 -10000 + 6500 1000 7500 "1" "1" 0x00000100] + Pad[ -11750 0 + -8250 0 + 6500 1000 7500 "2" "2" 0x00000100] + Pad[ -11750 10000 + -8250 10000 + 6500 1000 7500 "3" "3" 0x00000100] + Pad[ 11750 10000 + 8250 10000 + 6500 1000 7500 "4" "4" 0x00000100] + Pad[ 11750 0 + 8250 0 + 6500 1000 7500 "5" "5" 0x00000100] + Pad[ 11750 -10000 + 8250 -10000 + 6500 1000 7500 "6" "6" 0x00000100] + ElementLine[-16000 -14250 -16000 14250 1000] + ElementLine[-16000 14250 16000 14250 1000] + ElementLine[ 16000 14250 16000 -14250 1000] + ElementLine[-16000 -14250 -2500 -14250 1000] + ElementLine[ 16000 -14250 2500 -14250 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -14250 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD542.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD542.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD542.fp (revision 1033) @@ -0,0 +1,43 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits CD Style Package" "" "MINICIRCUITS_CD542" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -11750 -10000 + -8250 -10000 + 6500 1000 7500 "1" "1" 0x00000100] + Pad[ -11750 0 + -8250 0 + 6500 1000 7500 "2" "2" 0x00000100] + Pad[ -11750 10000 + -8250 10000 + 6500 1000 7500 "3" "3" 0x00000100] + Pad[ 11750 10000 + 8250 10000 + 6500 1000 7500 "4" "4" 0x00000100] + Pad[ 11750 0 + 8250 0 + 6500 1000 7500 "5" "5" 0x00000100] + Pad[ 11750 -10000 + 8250 -10000 + 6500 1000 7500 "6" "6" 0x00000100] + ElementLine[-16000 -14250 -16000 14250 1000] + ElementLine[-16000 14250 16000 14250 1000] + ElementLine[ 16000 14250 16000 -14250 1000] + ElementLine[-16000 -14250 -2500 -14250 1000] + ElementLine[ 16000 -14250 2500 -14250 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -14250 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD636.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD636.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD636.fp (revision 1033) @@ -0,0 +1,43 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits CD Style Package" "" "MINICIRCUITS_CD636" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -11750 -10000 + -8250 -10000 + 6500 1000 7500 "1" "1" 0x00000100] + Pad[ -11750 0 + -8250 0 + 6500 1000 7500 "2" "2" 0x00000100] + Pad[ -11750 10000 + -8250 10000 + 6500 1000 7500 "3" "3" 0x00000100] + Pad[ 11750 10000 + 8250 10000 + 6500 1000 7500 "4" "4" 0x00000100] + Pad[ 11750 0 + 8250 0 + 6500 1000 7500 "5" "5" 0x00000100] + Pad[ 11750 -10000 + 8250 -10000 + 6500 1000 7500 "6" "6" 0x00000100] + ElementLine[-16000 -14250 -16000 14250 1000] + ElementLine[-16000 14250 16000 14250 1000] + ElementLine[ 16000 14250 16000 -14250 1000] + ElementLine[-16000 -14250 -2500 -14250 1000] + ElementLine[ 16000 -14250 2500 -14250 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -14250 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD637.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD637.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_CD637.fp (revision 1033) @@ -0,0 +1,43 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits CD Style Package" "" "MINICIRCUITS_CD637" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -11750 -10000 + -8250 -10000 + 6500 1000 7500 "1" "1" 0x00000100] + Pad[ -11750 0 + -8250 0 + 6500 1000 7500 "2" "2" 0x00000100] + Pad[ -11750 10000 + -8250 10000 + 6500 1000 7500 "3" "3" 0x00000100] + Pad[ 11750 10000 + 8250 10000 + 6500 1000 7500 "4" "4" 0x00000100] + Pad[ 11750 0 + 8250 0 + 6500 1000 7500 "5" "5" 0x00000100] + Pad[ 11750 -10000 + 8250 -10000 + 6500 1000 7500 "6" "6" 0x00000100] + ElementLine[-16000 -14250 -16000 14250 1000] + ElementLine[-16000 14250 16000 14250 1000] + ElementLine[ 16000 14250 16000 -14250 1000] + ElementLine[-16000 -14250 -2500 -14250 1000] + ElementLine[ 16000 -14250 2500 -14250 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -14250 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_KK81.fp =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_KK81.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/MINICIRCUITS_KK81.fp (revision 1033) @@ -0,0 +1,43 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Mini-Circuits KK Style Package" "" "MINICIRCUITS_KK81" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -27800 -10000 + -20200 -10000 + 5000 1000 6000 "6" "6" 0x00000100] + Pad[ -27800 0 + -20200 0 + 5000 1000 6000 "5" "5" 0x00000100] + Pad[ -27800 10000 + -20200 10000 + 5000 1000 6000 "4" "4" 0x00000100] + Pad[ 27800 10000 + 20200 10000 + 5000 1000 6000 "3" "3" 0x00000100] + Pad[ 27800 0 + 20200 0 + 5000 1000 6000 "2" "2" 0x00000100] + Pad[ 27800 -10000 + 20200 -10000 + 5000 1000 6000 "1" "1" 0x00000100] + ElementLine[-31300 -13500 -31300 13500 1000] + ElementLine[-31300 13500 31300 13500 1000] + ElementLine[ 31300 13500 31300 -13500 1000] + ElementLine[-31300 -13500 -2500 -13500 1000] + ElementLine[ 31300 -13500 2500 -13500 1000] + # punt on the arc on small parts as it can cover the pads + ElementArc[0 -13500 2500 2500 0 180 1000] +) Index: oldlib/lib/pcblib-newlib/minicircuits/index.html =================================================================== --- oldlib/lib/pcblib-newlib/minicircuits/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/minicircuits/index.html (revision 1033) @@ -0,0 +1,46 @@ + +PCB minicircuits Footprint Library + +

    PCB minicircuits Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    MINICIRCUITS_CA531, Mini-Circuits CA Style Package MINICIRCUITS_CA531.fp
    MINICIRCUITS_CB518, Mini-Circuits CB Style Package MINICIRCUITS_CB518.fp
    MINICIRCUITS_CB539, Mini-Circuits CB Style Package MINICIRCUITS_CB539.fp
    MINICIRCUITS_CD541, Mini-Circuits CD Style Package MINICIRCUITS_CD541.fp
    MINICIRCUITS_CD542, Mini-Circuits CD Style Package MINICIRCUITS_CD542.fp
    MINICIRCUITS_CD636, Mini-Circuits CD Style Package MINICIRCUITS_CD636.fp
    MINICIRCUITS_CD637, Mini-Circuits CD Style Package MINICIRCUITS_CD637.fp
    MINICIRCUITS_KK81, Mini-Circuits KK Style Package MINICIRCUITS_KK81.fp
    + + Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_10_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_10_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_10_10.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_10_10" "" "`NICHICON_WT_CAP_10_10'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -20078 0 -11023 6330 1000 7330 "Plus" "1" "square"] +Pad[ 0 20078 0 11023 6330 1000 7330 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 21969 21969 4465 21969 1000] +ElementLine[ 21969 21969 21969 -18969 1000] +ElementLine[ 21969 -18969 18969 -21969 1000] +ElementLine[ 18969 -21969 4465 -21969 1000] +ElementLine[ -21969 21969 -4465 21969 1000] +ElementLine[ -21969 21969 -21969 -18969 1000] +ElementLine[ -21969 -18969 -18969 -21969 1000] +ElementLine[ -18969 -21969 -4465 -21969 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_3_5p4.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_3_5p4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_3_5p4.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_3_5p4" "" "`NICHICON_WT_CAP_3_5p4'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -6692 0 -3149 5149 1000 6149 "Plus" "1" "square"] +Pad[ 0 6692 0 3149 5149 1000 6149 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 8189 8189 3874 8189 1000] +ElementLine[ 8189 8189 8189 -5189 1000] +ElementLine[ 8189 -5189 5189 -8189 1000] +ElementLine[ 5189 -8189 3874 -8189 1000] +ElementLine[ -8189 8189 -3874 8189 1000] +ElementLine[ -8189 8189 -8189 -5189 1000] +ElementLine[ -8189 -5189 -5189 -8189 1000] +ElementLine[ -5189 -8189 -3874 -8189 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_4_5p4.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_4_5p4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_4_5p4.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_4_5p4" "" "`NICHICON_WT_CAP_4_5p4'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -8268 0 -3543 5149 1000 6149 "Plus" "1" "square"] +Pad[ 0 8268 0 3543 5149 1000 6149 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 10158 10158 3874 10158 1000] +ElementLine[ 10158 10158 10158 -7158 1000] +ElementLine[ 10158 -7158 7158 -10158 1000] +ElementLine[ 7158 -10158 3874 -10158 1000] +ElementLine[ -10158 10158 -3874 10158 1000] +ElementLine[ -10158 10158 -10158 -7158 1000] +ElementLine[ -10158 -7158 -7158 -10158 1000] +ElementLine[ -7158 -10158 -3874 -10158 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_5_5p4.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_5_5p4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_5_5p4.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_5_5p4" "" "`NICHICON_WT_CAP_5_5p4'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -10039 0 -4133 5149 1000 6149 "Plus" "1" "square"] +Pad[ 0 10039 0 4133 5149 1000 6149 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 12126 12126 3874 12126 1000] +ElementLine[ 12126 12126 12126 -9126 1000] +ElementLine[ 12126 -9126 9126 -12126 1000] +ElementLine[ 9126 -12126 3874 -12126 1000] +ElementLine[ -12126 12126 -3874 12126 1000] +ElementLine[ -12126 12126 -12126 -9126 1000] +ElementLine[ -12126 -9126 -9126 -12126 1000] +ElementLine[ -9126 -12126 -3874 -12126 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_5p4.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_5p4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_5p4.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_6p3_5p4" "" "`NICHICON_WT_CAP_6p3_5p4'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -12992 0 -5905 5149 1000 6149 "Plus" "1" "square"] +Pad[ 0 12992 0 5905 5149 1000 6149 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 14685 14685 3874 14685 1000] +ElementLine[ 14685 14685 14685 -11685 1000] +ElementLine[ 14685 -11685 11685 -14685 1000] +ElementLine[ 11685 -14685 3874 -14685 1000] +ElementLine[ -14685 14685 -3874 14685 1000] +ElementLine[ -14685 14685 -14685 -11685 1000] +ElementLine[ -14685 -11685 -11685 -14685 1000] +ElementLine[ -11685 -14685 -3874 -14685 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_5p8.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_5p8.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_5p8.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_6p3_5p8" "" "`NICHICON_WT_CAP_6p3_5p8'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -12992 0 -5905 5149 1000 6149 "Plus" "1" "square"] +Pad[ 0 12992 0 5905 5149 1000 6149 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 14685 14685 3874 14685 1000] +ElementLine[ 14685 14685 14685 -11685 1000] +ElementLine[ 14685 -11685 11685 -14685 1000] +ElementLine[ 11685 -14685 3874 -14685 1000] +ElementLine[ -14685 14685 -3874 14685 1000] +ElementLine[ -14685 14685 -14685 -11685 1000] +ElementLine[ -14685 -11685 -11685 -14685 1000] +ElementLine[ -11685 -14685 -3874 -14685 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_7p7.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_7p7.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_6p3_7p7.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_6p3_7p7" "" "`NICHICON_WT_CAP_6p3_7p7'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -12992 0 -5905 5149 1000 6149 "Plus" "1" "square"] +Pad[ 0 12992 0 5905 5149 1000 6149 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 14685 14685 3874 14685 1000] +ElementLine[ 14685 14685 14685 -11685 1000] +ElementLine[ 14685 -11685 11685 -14685 1000] +ElementLine[ 11685 -14685 3874 -14685 1000] +ElementLine[ -14685 14685 -3874 14685 1000] +ElementLine[ -14685 14685 -14685 -11685 1000] +ElementLine[ -14685 -11685 -11685 -14685 1000] +ElementLine[ -11685 -14685 -3874 -14685 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_8_10.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_8_10.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_8_10.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_8_10" "" "`NICHICON_WT_CAP_8_10'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -16141 0 -8267 6330 1000 7330 "Plus" "1" "square"] +Pad[ 0 16141 0 8267 6330 1000 7330 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 18032 18032 4465 18032 1000] +ElementLine[ 18032 18032 18032 -15032 1000] +ElementLine[ 18032 -15032 15032 -18032 1000] +ElementLine[ 15032 -18032 4465 -18032 1000] +ElementLine[ -18032 18032 -4465 18032 1000] +ElementLine[ -18032 18032 -18032 -15032 1000] +ElementLine[ -18032 -15032 -15032 -18032 1000] +ElementLine[ -15032 -18032 -4465 -18032 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_8_5p4.fp =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_8_5p4.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/NICHICON_WT_CAP_8_5p4.fp (revision 1033) @@ -0,0 +1,34 @@ + # max pin width (perpendicular to axis of package) (1/100 mil) + # max pin length (parallel to axis of package) (1/100 mil) + # gap between the pads (1/100 mil) + # package width (1/100 mil) + # package height (1/100 mil) + # component veritcal height off board (1/100 mil) + # pad width and length + # y values for drawing the pad. The Y center of the pad is 0.5*(PINL + PINS) + # we need a line segment of length PADL - PADW so we have end points: + # 0.5*(PINL + PINS) +/- 0.5*(PADL - PADW) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # lower left corner for silk screen (1/100 mil) + # upper right corner for silk screen (1/100 mil) + # how much to notch the corners by in silk to indicate polarity +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_nichicon_NICHICON_WT_CAP_8_5p4" "" "`NICHICON_WT_CAP_8_5p4'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +Pad[ 0 -16732 0 -6102 5149 1000 6149 "Plus" "1" "square"] +Pad[ 0 16732 0 6102 5149 1000 6149 "Minus" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +ElementLine[ 18032 18032 3874 18032 1000] +ElementLine[ 18032 18032 18032 -15032 1000] +ElementLine[ 18032 -15032 15032 -18032 1000] +ElementLine[ 15032 -18032 3874 -18032 1000] +ElementLine[ -18032 18032 -3874 18032 1000] +ElementLine[ -18032 18032 -18032 -15032 1000] +ElementLine[ -18032 -15032 -15032 -18032 1000] +ElementLine[ -15032 -18032 -3874 -18032 1000] +) Index: oldlib/lib/pcblib-newlib/nichicon/index.html =================================================================== --- oldlib/lib/pcblib-newlib/nichicon/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/nichicon/index.html (revision 1033) @@ -0,0 +1,50 @@ + +PCB nichicon Footprint Library + +

    PCB nichicon Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    NICHICON_WT_CAP_3_5p4, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_3_5p4.fp
    NICHICON_WT_CAP_4_5p4, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_4_5p4.fp
    NICHICON_WT_CAP_5_5p4, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_5_5p4.fp
    NICHICON_WT_CAP_6p3_5p4, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_6p3_5p4.fp
    NICHICON_WT_CAP_6p3_5p8, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_6p3_5p8.fp
    NICHICON_WT_CAP_6p3_7p7, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_6p3_7p7.fp
    NICHICON_WT_CAP_8_5p4, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_8_5p4.fp
    NICHICON_WT_CAP_8_10, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_8_10.fp
    NICHICON_WT_CAP_10_10, Nichicon WT series SMT Aluminum Electrolytic Capacitor NICHICON_WT_CAP_10_10.fp
    + + Index: oldlib/lib/pcblib-newlib/optek/OPTEK_OVSRWACR6.fp =================================================================== --- oldlib/lib/pcblib-newlib/optek/OPTEK_OVSRWACR6.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/optek/OPTEK_OVSRWACR6.fp (revision 1033) @@ -0,0 +1,27 @@ + # pad width and length (1/100 mil) + # pad center (X) (1/100 mil) + # x values for the pads + # package width (1/100 mil) + # package height (1/100 mil) + # width of soldermask relief (5 mil on each side) + # silkscreen width (1/100 mils) + # how much space to leave around the part before the + # silk screen (1/100 mils) + # top edge silk + # bottom edge silk +# Element [SFlags "Desc" "Name" "Value" MX MY TX TY TDir TScale TSFlags] +Element[ "" "Description_optek_OPTEK_OVSRWACR6" "" "`OPTEK_OVSRWACR6'" 0 0 0 0 0 100 ""] +( +# Pad [rX1 rY1 rX2 rY2 Thickness Clearance Mask "Name" "Number" SFlags] +# the pads +Pad[ -5708 0 -3740 0 3543 1000 4543 "K" "1" "square"] +Pad[ 5708 0 3740 0 3543 1000 4543 "A" "2" "square"] +# Silk screen around package +# ElementLine[ x1 y1 x2 y2 width] +# top edge +ElementLine[ -668 -1771 668 -1771 1000 ] +# left/right and bottom +ElementLine[ -6800 3071 -6800 4600 1000 ] +ElementLine[ 6800 3071 6800 4600 1000 ] +ElementLine[ -6800 4600 6800 4600 1000 ] +) Index: oldlib/lib/pcblib-newlib/optek/index.html =================================================================== --- oldlib/lib/pcblib-newlib/optek/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/optek/index.html (revision 1033) @@ -0,0 +1,18 @@ + +PCB optek Footprint Library + +

    PCB optek Footprint Library

    + + + + + + + + + + + +
    CommentFootprint Name
    OPTEK_OVSRWACR6, OPTEK Surface Mount LEDs' OPTEK_OVSRWACR6.fp
    + + Index: oldlib/lib/pcblib-newlib/optical/LED 100.fp =================================================================== --- oldlib/lib/pcblib-newlib/optical/LED 100.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/optical/LED 100.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "LED 5mm" "" "LED 100" 100 70 0 100 0x00) +( +# typical LED is 0.5 mm or 0.020" square pin. See for example +# http://www.lumex.com and part number SSL-LX3054LGD. +# 0.020" square is 0.0288" diagonal. A number 57 drill is +# 0.043" which should be enough. a 65 mil pad gives 11 mils +# of annular ring. + Pin(-50 0 65 43 "-" 0x101) + Pin(50 0 65 43 "+" 0x01) + ElementArc(0 0 50 50 45 90 10) + ElementArc(0 0 50 50 225 90 10) + ElementArc(0 0 70 70 45 90 10) + ElementArc(0 0 70 70 225 90 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/optical/LED 60.fp =================================================================== --- oldlib/lib/pcblib-newlib/optical/LED 60.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/optical/LED 60.fp (revision 1033) @@ -0,0 +1,15 @@ +Element(0x00 "LED 3mm" "" "LED 60" 100 70 0 100 0x00) +( +# typical LED is 0.5 mm or 0.020" square pin. See for example +# http://www.lumex.com and part number SSL-LX3054LGD. +# 0.020" square is 0.0288" diagonal. A number 57 drill is +# 0.043" which should be enough. a 65 mil pad gives 11 mils +# of annular ring. + Pin(-50 0 65 43 "-" 0x101) + Pin(50 0 65 43 "+" 0x01) + ElementArc(0 0 30 30 45 90 10) + ElementArc(0 0 30 30 225 90 10) + ElementArc(0 0 50 50 45 90 10) + ElementArc(0 0 50 50 225 90 10) + Mark(0 0) +) Index: oldlib/lib/pcblib-newlib/optical/N 8 300.fp =================================================================== --- oldlib/lib/pcblib-newlib/optical/N 8 300.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/optical/N 8 300.fp (revision 1033) @@ -0,0 +1,20 @@ +# retain backwards compatibility to older versions of PKG_DIL +# which did not have 100,60,28 args +Element(0x00 "optical coupling device" "" "N 8 300" 220 100 3 100 0x00) +( + Pin(50 50 60 28 "NC" 0x101) + Pin(50 150 60 28 "A+" 0x01) + Pin(50 250 60 28 "K-" 0x01) + Pin(50 350 60 28 "NC" 0x01) + Pin(350 350 60 28 "Gnd" 0x01) + Pin(350 250 60 28 "Out" 0x01) + Pin(350 150 60 28 "En" 0x01) + Pin(350 50 60 28 "Vcc" 0x01) + ElementLine(0 0 0 400 10) + ElementLine(0 400 400 400 10) + ElementLine(400 400 400 0 10) + ElementLine(0 0 150 0 10) + ElementLine(250 0 400 0 10) + ElementArc(200 0 50 50 0 180 10) + Mark(50 50) +) Index: oldlib/lib/pcblib-newlib/optical/index.html =================================================================== --- oldlib/lib/pcblib-newlib/optical/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/optical/index.html (revision 1033) @@ -0,0 +1,46 @@ + +PCB optical Footprint Library + +

    PCB optical Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    green, LED 3mm LED 60.fp
    red, LED 3mm LED 60.fp
    blue, LED 3mm LED 60.fp
    green, LED 5mm LED 100.fp
    red, LED 5mm LED 100.fp
    blue, LED 5mm LED 100.fp
    6N136, optical coupling device N 8 300.fp
    6N137, optical coupling device N 8 300.fp
    + + Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB14V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB14V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB14V.fp (revision 1033) @@ -0,0 +1,36 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXB14V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -1181 -984 + -1181 -984 + 1181 1000 2181 "1" "1" 0x00000100] + Pad[ -1181 984 + -1181 984 + 1181 1000 2181 "2" "2" 0x00000100] + Pad[ 1181 984 + 1181 984 + 1181 1000 2181 "3" "3" 0x00000100] + Pad[ 1181 -984 + 1181 -984 + 1181 1000 2181 "4" "4" 0x00000100] + ElementLine[-2771 -2574 -2771 2574 1000] + ElementLine[-2771 2574 2771 2574 1000] + ElementLine[ 2771 2574 2771 -2574 1000] + ElementLine[-2771 -2574 -2500 -2574 1000] + ElementLine[ 2771 -2574 2500 -2574 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB24V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB24V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB24V.fp (revision 1033) @@ -0,0 +1,36 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXB24V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -2125 -1279 + -1732 -1279 + 1496 1000 2496 "1" "1" 0x00000100] + Pad[ -2125 1279 + -1732 1279 + 1496 1000 2496 "2" "2" 0x00000100] + Pad[ 2125 1279 + 1732 1279 + 1496 1000 2496 "3" "3" 0x00000100] + Pad[ 2125 -1279 + 1732 -1279 + 1496 1000 2496 "4" "4" 0x00000100] + ElementLine[-3873 -3027 -3873 3027 1000] + ElementLine[-3873 3027 3873 3027 1000] + ElementLine[ 3873 3027 3873 -3027 1000] + ElementLine[-3873 -3027 -2500 -3027 1000] + ElementLine[ 3873 -3027 2500 -3027 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB28V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB28V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB28V.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXB28V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -2263 -2952 + -1279 -2952 + 984 1000 1984 "1" "1" 0x00000100] + Pad[ -2263 -984 + -1279 -984 + 984 1000 1984 "2" "2" 0x00000100] + Pad[ -2263 984 + -1279 984 + 984 1000 1984 "3" "3" 0x00000100] + Pad[ -2263 2952 + -1279 2952 + 984 1000 1984 "4" "4" 0x00000100] + Pad[ 2263 2952 + 1279 2952 + 984 1000 1984 "5" "5" 0x00000100] + Pad[ 2263 984 + 1279 984 + 984 1000 1984 "6" "6" 0x00000100] + Pad[ 2263 -984 + 1279 -984 + 984 1000 1984 "7" "7" 0x00000100] + Pad[ 2263 -2952 + 1279 -2952 + 984 1000 1984 "8" "8" 0x00000100] + ElementLine[-3755 -4444 -3755 4444 1000] + ElementLine[-3755 4444 3755 4444 1000] + ElementLine[ 3755 4444 3755 -4444 1000] + ElementLine[-3755 -4444 -2500 -4444 1000] + ElementLine[ 3755 -4444 2500 -4444 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB2HV.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB2HV.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB2HV.fp (revision 1033) @@ -0,0 +1,72 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXB2HV" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -3444 -6889 + -2460 -6889 + 984 1000 1984 "1" "1" 0x00000100] + Pad[ -3444 -4921 + -2460 -4921 + 984 1000 1984 "2" "2" 0x00000100] + Pad[ -3444 -2952 + -2460 -2952 + 984 1000 1984 "3" "3" 0x00000100] + Pad[ -3444 -984 + -2460 -984 + 984 1000 1984 "4" "4" 0x00000100] + Pad[ -3444 984 + -2460 984 + 984 1000 1984 "5" "5" 0x00000100] + Pad[ -3444 2952 + -2460 2952 + 984 1000 1984 "6" "6" 0x00000100] + Pad[ -3444 4921 + -2460 4921 + 984 1000 1984 "7" "7" 0x00000100] + Pad[ -3444 6889 + -2460 6889 + 984 1000 1984 "8" "8" 0x00000100] + Pad[ 3444 6889 + 2460 6889 + 984 1000 1984 "9" "9" 0x00000100] + Pad[ 3444 4921 + 2460 4921 + 984 1000 1984 "10" "10" 0x00000100] + Pad[ 3444 2952 + 2460 2952 + 984 1000 1984 "11" "11" 0x00000100] + Pad[ 3444 984 + 2460 984 + 984 1000 1984 "12" "12" 0x00000100] + Pad[ 3444 -984 + 2460 -984 + 984 1000 1984 "13" "13" 0x00000100] + Pad[ 3444 -2952 + 2460 -2952 + 984 1000 1984 "14" "14" 0x00000100] + Pad[ 3444 -4921 + 2460 -4921 + 984 1000 1984 "15" "15" 0x00000100] + Pad[ 3444 -6889 + 2460 -6889 + 984 1000 1984 "16" "16" 0x00000100] + ElementLine[-4937 -8381 -4937 8381 1000] + ElementLine[-4937 8381 4937 8381 1000] + ElementLine[ 4937 8381 4937 -8381 1000] + ElementLine[-4937 -8381 -2500 -8381 1000] + ElementLine[ 4937 -8381 2500 -8381 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB34V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB34V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB34V.fp (revision 1033) @@ -0,0 +1,36 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXB34V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -3838 -1574 + -2460 -1574 + 1771 1000 2771 "1" "1" 0x00000100] + Pad[ -3838 1574 + -2460 1574 + 1771 1000 2771 "2" "2" 0x00000100] + Pad[ 3838 1574 + 2460 1574 + 1771 1000 2771 "3" "3" 0x00000100] + Pad[ 3838 -1574 + 2460 -1574 + 1771 1000 2771 "4" "4" 0x00000100] + ElementLine[-5724 -3460 -5724 3460 1000] + ElementLine[-5724 3460 5724 3460 1000] + ElementLine[ 5724 3460 5724 -3460 1000] + ElementLine[-5724 -3460 -2500 -3460 1000] + ElementLine[ 5724 -3460 2500 -3460 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB38V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB38V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXB38V.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXB38V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -3838 -4724 + -2460 -4724 + 1771 1000 2771 "1" "1" 0x00000100] + Pad[ -3838 -1574 + -2460 -1574 + 1771 1000 2771 "2" "2" 0x00000100] + Pad[ -3838 1574 + -2460 1574 + 1771 1000 2771 "3" "3" 0x00000100] + Pad[ -3838 4724 + -2460 4724 + 1771 1000 2771 "4" "4" 0x00000100] + Pad[ 3838 4724 + 2460 4724 + 1771 1000 2771 "5" "5" 0x00000100] + Pad[ 3838 1574 + 2460 1574 + 1771 1000 2771 "6" "6" 0x00000100] + Pad[ 3838 -1574 + 2460 -1574 + 1771 1000 2771 "7" "7" 0x00000100] + Pad[ 3838 -4724 + 2460 -4724 + 1771 1000 2771 "8" "8" 0x00000100] + ElementLine[-5724 -6610 -5724 6610 1000] + ElementLine[-5724 6610 5724 6610 1000] + ElementLine[ 5724 6610 5724 -6610 1000] + ElementLine[-5724 -6610 -2500 -6610 1000] + ElementLine[ 5724 -6610 2500 -6610 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBS8V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBS8V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBS8V.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXBS8V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -5649 -7500 + -3405 -7500 + 2480 1000 3480 "1" "1" 0x00000100] + Pad[ -5649 -2500 + -3405 -2500 + 2480 1000 3480 "2" "2" 0x00000100] + Pad[ -5649 2500 + -3405 2500 + 2480 1000 3480 "3" "3" 0x00000100] + Pad[ -5649 7500 + -3405 7500 + 2480 1000 3480 "4" "4" 0x00000100] + Pad[ 5649 7500 + 3405 7500 + 2480 1000 3480 "5" "5" 0x00000100] + Pad[ 5649 2500 + 3405 2500 + 2480 1000 3480 "6" "6" 0x00000100] + Pad[ 5649 -2500 + 3405 -2500 + 2480 1000 3480 "7" "7" 0x00000100] + Pad[ 5649 -7500 + 3405 -7500 + 2480 1000 3480 "8" "8" 0x00000100] + ElementLine[-7889 -9740 -7889 9740 1000] + ElementLine[-7889 9740 7889 9740 1000] + ElementLine[ 7889 9740 7889 -9740 1000] + ElementLine[-7889 -9740 -2500 -9740 1000] + ElementLine[ 7889 -9740 2500 -9740 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBV4V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBV4V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBV4V.fp (revision 1033) @@ -0,0 +1,36 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXBV4V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -3484 -1574 + -2421 -1574 + 1692 1000 2692 "1" "1" 0x00000100] + Pad[ -3484 1574 + -2421 1574 + 1692 1000 2692 "2" "2" 0x00000100] + Pad[ 3484 1574 + 2421 1574 + 1692 1000 2692 "3" "3" 0x00000100] + Pad[ 3484 -1574 + 2421 -1574 + 1692 1000 2692 "4" "4" 0x00000100] + ElementLine[-5330 -3421 -5330 3421 1000] + ElementLine[-5330 3421 5330 3421 1000] + ElementLine[ 5330 3421 5330 -3421 1000] + ElementLine[-5330 -3421 -2500 -3421 1000] + ElementLine[ 5330 -3421 2500 -3421 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBV8V.fp =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBV8V.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/PANASONIC_EXBV8V.fp (revision 1033) @@ -0,0 +1,48 @@ + # number of pads + # pad width in 1/1000 mil + # pad length in 1/1000 mil + # pad pitch 1/1000 mil + # seperation between pads on opposite sides 1/1000 mil + # X coordinates for the right hand column of pads (1/100 mils) + # pad clearance to plane layer in 1/100 mil + # pad soldermask width in 1/100 mil + # silk screen width (1/100 mils) + # figure out if we have an even or odd number of pins per side + # silk bounding box is -XMAX,-YMAX, XMAX,YMAX (1/100 mils) +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "Panasonic EXB Series Chip Resistor Array" "" "PANASONIC_EXBV8V" 0 0 -2000 -6000 0 100 0x00000000] +( +# +# Pad[x1, y1, x2, y2, thickness, clearance, mask, name , pad number, flags] + Pad[ -3484 -4724 + -2421 -4724 + 1692 1000 2692 "1" "1" 0x00000100] + Pad[ -3484 -1574 + -2421 -1574 + 1692 1000 2692 "2" "2" 0x00000100] + Pad[ -3484 1574 + -2421 1574 + 1692 1000 2692 "3" "3" 0x00000100] + Pad[ -3484 4724 + -2421 4724 + 1692 1000 2692 "4" "4" 0x00000100] + Pad[ 3484 4724 + 2421 4724 + 1692 1000 2692 "5" "5" 0x00000100] + Pad[ 3484 1574 + 2421 1574 + 1692 1000 2692 "6" "6" 0x00000100] + Pad[ 3484 -1574 + 2421 -1574 + 1692 1000 2692 "7" "7" 0x00000100] + Pad[ 3484 -4724 + 2421 -4724 + 1692 1000 2692 "8" "8" 0x00000100] + ElementLine[-5330 -6570 -5330 6570 1000] + ElementLine[-5330 6570 5330 6570 1000] + ElementLine[ 5330 6570 5330 -6570 1000] + ElementLine[-5330 -6570 -2500 -6570 1000] + ElementLine[ 5330 -6570 2500 -6570 1000] + # punt on the arc on small parts as it can cover the pads +) Index: oldlib/lib/pcblib-newlib/panasonic/index.html =================================================================== --- oldlib/lib/pcblib-newlib/panasonic/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/panasonic/index.html (revision 1033) @@ -0,0 +1,50 @@ + +PCB panasonic Footprint Library + +

    PCB panasonic Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    PANASONIC_EXB14V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXB14V.fp
    PANASONIC_EXB24V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXB24V.fp
    PANASONIC_EXB28V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXB28V.fp
    PANASONIC_EXBV4V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXBV4V.fp
    PANASONIC_EXBV8V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXBV8V.fp
    PANASONIC_EXB34V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXB34V.fp
    PANASONIC_EXB38V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXB38V.fp
    PANASONIC_EXBS8V, Panasonic EXB Series Chip Resistor Array PANASONIC_EXBS8V.fp
    PANASONIC_EXB2HV, Panasonic EXB Series Chip Resistor Array PANASONIC_EXB2HV.fp
    + + Index: oldlib/lib/pcblib-newlib/pci/PCI5V_AVE_HEIGHT.fp =================================================================== --- oldlib/lib/pcblib-newlib/pci/PCI5V_AVE_HEIGHT.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/pci/PCI5V_AVE_HEIGHT.fp (revision 1033) @@ -0,0 +1,746 @@ +Element(0x00 "PCI 5V Array Average Height" "" "PCI5V_AVE_HEIGHT" 1600 10 1 30 0x00) +( + Mark(1600 135) + ElementArc(1 0 100 100 180 90 1) + ElementLine(1 -100 -799 -100 1) + ElementArc(-799 0 100 100 270 90 1) + ElementLine(-899 0 -899 270 1) + ElementArc(-911 270 12 12 90 90 1) + ElementLine(-911 282 -1525 282 1) + ElementLine(113 282 2538 282 2) + ElementArc(113 270 12 12 0 90 2) + ElementArc(2538 270 12 12 90 90 2) + ElementLine(101 0 101 270 1) + ElementLine(2550 0 2550 270 1) + ElementArc(2600 0 50 50 180 180 1) + Pad(113 20 137 20 16 "-12V" "1" 0x0) + Pad(113 20 113 220 16 "-12V" "1" 0x0) + Pad(113 220 125 270 16 "-12V" "1" 0x0) + Pad(125 20 125 270 16 "-12V" "1" 0x0) + Pad(137 20 137 220 16 "-12V" "1" 0x0) + Pad(137 220 125 270 16 "-12V" "1" 0x0) + Pad(163 20 187 20 16 "TCK" "2" 0x0) + Pad(163 20 163 220 16 "TCK" "2" 0x0) + Pad(163 220 175 270 16 "TCK" "2" 0x0) + Pad(175 20 175 270 16 "TCK" "2" 0x0) + Pad(187 20 187 220 16 "TCK" "2" 0x0) + Pad(187 220 175 270 16 "TCK" "2" 0x0) + Pad(213 20 237 20 16 "Ground" "3" 0x0) + Pad(213 20 213 220 16 "Ground" "3" 0x0) + Pad(213 220 225 270 16 "Ground" "3" 0x0) + Pad(225 20 225 270 16 "Ground" "3" 0x0) + Pad(237 20 237 220 16 "Ground" "3" 0x0) + Pad(237 220 225 270 16 "Ground" "3" 0x0) + Pad(263 20 287 20 16 "TD0" "4" 0x0) + Pad(263 20 263 220 16 "TD0" "4" 0x0) + Pad(263 220 275 270 16 "TD0" "4" 0x0) + Pad(275 20 275 270 16 "TD0" "4" 0x0) + Pad(287 20 287 220 16 "TD0" "4" 0x0) + Pad(287 220 275 270 16 "TD0" "4" 0x0) + Pad(313 20 337 20 16 "+5V" "5" 0x0) + Pad(313 20 313 220 16 "+5V" "5" 0x0) + Pad(313 220 325 270 16 "+5V" "5" 0x0) + Pad(325 20 325 270 16 "+5V" "5" 0x0) + Pad(337 20 337 220 16 "+5V" "5" 0x0) + Pad(337 220 325 270 16 "+5V" "5" 0x0) + Pad(363 20 387 20 16 "+5V" "6" 0x0) + Pad(363 20 363 220 16 "+5V" "6" 0x0) + Pad(363 220 375 270 16 "+5V" "6" 0x0) + Pad(375 20 375 270 16 "+5V" "6" 0x0) + Pad(387 20 387 220 16 "+5V" "6" 0x0) + Pad(387 220 375 270 16 "+5V" "6" 0x0) + Pad(413 20 437 20 16 "INTB*" "7" 0x0) + Pad(413 20 413 220 16 "INTB*" "7" 0x0) + Pad(413 220 425 270 16 "INTB*" "7" 0x0) + Pad(425 20 425 270 16 "INTB*" "7" 0x0) + Pad(437 20 437 220 16 "INTB*" "7" 0x0) + Pad(437 220 425 270 16 "INTB*" "7" 0x0) + Pad(463 20 487 20 16 "INTD*" "8" 0x0) + Pad(463 20 463 220 16 "INTD*" "8" 0x0) + Pad(463 220 475 270 16 "INTD*" "8" 0x0) + Pad(475 20 475 270 16 "INTD*" "8" 0x0) + Pad(487 20 487 220 16 "INTD*" "8" 0x0) + Pad(487 220 475 270 16 "INTD*" "8" 0x0) + Pad(513 20 537 20 16 "PRSNT1*" "9" 0x0) + Pad(513 20 513 220 16 "PRSNT1*" "9" 0x0) + Pad(513 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(525 20 525 270 16 "PRSNT1*" "9" 0x0) + Pad(537 20 537 220 16 "PRSNT1*" "9" 0x0) + Pad(537 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(563 20 587 20 16 "Reserved" "10" 0x0) + Pad(563 20 563 220 16 "Reserved" "10" 0x0) + Pad(563 220 575 270 16 "Reserved" "10" 0x0) + Pad(575 20 575 270 16 "Reserved" "10" 0x0) + Pad(587 20 587 220 16 "Reserved" "10" 0x0) + Pad(587 220 575 270 16 "Reserved" "10" 0x0) + Pad(613 20 637 20 16 "PRSNT2*" "11" 0x0) + Pad(613 20 613 220 16 "PRSNT2*" "11" 0x0) + Pad(613 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(625 20 625 270 16 "PRSNT2*" "11" 0x0) + Pad(637 20 637 220 16 "PRSNT2*" "11" 0x0) + Pad(637 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(663 20 687 20 16 "Ground" "12" 0x0) + Pad(663 20 663 220 16 "Ground" "12" 0x0) + Pad(663 220 675 270 16 "Ground" "12" 0x0) + Pad(675 20 675 270 16 "Ground" "12" 0x0) + Pad(687 20 687 220 16 "Ground" "12" 0x0) + Pad(687 220 675 270 16 "Ground" "12" 0x0) + Pad(713 20 737 20 16 "Ground" "13" 0x0) + Pad(713 20 713 220 16 "Ground" "13" 0x0) + Pad(713 220 725 270 16 "Ground" "13" 0x0) + Pad(725 20 725 270 16 "Ground" "13" 0x0) + Pad(737 20 737 220 16 "Ground" "13" 0x0) + Pad(737 220 725 270 16 "Ground" "13" 0x0) + Pad(763 20 787 20 16 "Reserved" "14" 0x0) + Pad(763 20 763 220 16 "Reserved" "14" 0x0) + Pad(763 220 775 270 16 "Reserved" "14" 0x0) + Pad(775 20 775 270 16 "Reserved" "14" 0x0) + Pad(787 20 787 220 16 "Reserved" "14" 0x0) + Pad(787 220 775 270 16 "Reserved" "14" 0x0) + Pad(813 20 837 20 16 "Ground" "15" 0x0) + Pad(813 20 813 220 16 "Ground" "15" 0x0) + Pad(813 220 825 270 16 "Ground" "15" 0x0) + Pad(825 20 825 270 16 "Ground" "15" 0x0) + Pad(837 20 837 220 16 "Ground" "15" 0x0) + Pad(837 220 825 270 16 "Ground" "15" 0x0) + Pad(863 20 887 20 16 "CLK" "16" 0x0) + Pad(863 20 863 220 16 "CLK" "16" 0x0) + Pad(863 220 875 270 16 "CLK" "16" 0x0) + Pad(875 20 875 270 16 "CLK" "16" 0x0) + Pad(887 20 887 220 16 "CLK" "16" 0x0) + Pad(887 220 875 270 16 "CLK" "16" 0x0) + Pad(913 20 937 20 16 "Ground" "17" 0x0) + Pad(913 20 913 220 16 "Ground" "17" 0x0) + Pad(913 220 925 270 16 "Ground" "17" 0x0) + Pad(925 20 925 270 16 "Ground" "17" 0x0) + Pad(937 20 937 220 16 "Ground" "17" 0x0) + Pad(937 220 925 270 16 "Ground" "17" 0x0) + Pad(963 20 987 20 16 "REQ*" "18" 0x0) + Pad(963 20 963 220 16 "REQ*" "18" 0x0) + Pad(963 220 975 270 16 "REQ*" "18" 0x0) + Pad(975 20 975 270 16 "REQ*" "18" 0x0) + Pad(987 20 987 220 16 "REQ*" "18" 0x0) + Pad(987 220 975 270 16 "REQ*" "18" 0x0) + Pad(1013 20 1037 20 16 "+5V" "19" 0x0) + Pad(1013 20 1013 220 16 "+5V" "19" 0x0) + Pad(1013 220 1025 270 16 "+5V" "19" 0x0) + Pad(1025 20 1025 270 16 "+5V" "19" 0x0) + Pad(1037 20 1037 220 16 "+5V" "19" 0x0) + Pad(1037 220 1025 270 16 "+5V" "19" 0x0) + Pad(1063 20 1087 20 16 "AD[31]" "20" 0x0) + Pad(1063 20 1063 220 16 "AD[31]" "20" 0x0) + Pad(1063 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1075 20 1075 270 16 "AD[31]" "20" 0x0) + Pad(1087 20 1087 220 16 "AD[31]" "20" 0x0) + Pad(1087 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1113 20 1137 20 16 "AD[29]" "21" 0x0) + Pad(1113 20 1113 220 16 "AD[29]" "21" 0x0) + Pad(1113 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1125 20 1125 270 16 "AD[29]" "21" 0x0) + Pad(1137 20 1137 220 16 "AD[29]" "21" 0x0) + Pad(1137 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1163 20 1187 20 16 "Ground" "22" 0x0) + Pad(1163 20 1163 220 16 "Ground" "22" 0x0) + Pad(1163 220 1175 270 16 "Ground" "22" 0x0) + Pad(1175 20 1175 270 16 "Ground" "22" 0x0) + Pad(1187 20 1187 220 16 "Ground" "22" 0x0) + Pad(1187 220 1175 270 16 "Ground" "22" 0x0) + Pad(1213 20 1237 20 16 "AD[27]" "23" 0x0) + Pad(1213 20 1213 220 16 "AD[27]" "23" 0x0) + Pad(1213 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1225 20 1225 270 16 "AD[27]" "23" 0x0) + Pad(1237 20 1237 220 16 "AD[27]" "23" 0x0) + Pad(1237 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1263 20 1287 20 16 "AD[25]" "24" 0x0) + Pad(1263 20 1263 220 16 "AD[25]" "24" 0x0) + Pad(1263 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1275 20 1275 270 16 "AD[25]" "24" 0x0) + Pad(1287 20 1287 220 16 "AD[25]" "24" 0x0) + Pad(1287 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1313 20 1337 20 16 "+3.3V" "25" 0x0) + Pad(1313 20 1313 220 16 "+3.3V" "25" 0x0) + Pad(1313 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1325 20 1325 270 16 "+3.3V" "25" 0x0) + Pad(1337 20 1337 220 16 "+3.3V" "25" 0x0) + Pad(1337 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1363 20 1387 20 16 "C/BE[3]*" "26" 0x0) + Pad(1363 20 1363 220 16 "C/BE[3]*" "26" 0x0) + Pad(1363 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1375 20 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1387 20 1387 220 16 "C/BE[3]*" "26" 0x0) + Pad(1387 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1413 20 1437 20 16 "AD[23]" "27" 0x0) + Pad(1413 20 1413 220 16 "AD[23]" "27" 0x0) + Pad(1413 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1425 20 1425 270 16 "AD[23]" "27" 0x0) + Pad(1437 20 1437 220 16 "AD[23]" "27" 0x0) + Pad(1437 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1463 20 1487 20 16 "Ground" "28" 0x0) + Pad(1463 20 1463 220 16 "Ground" "28" 0x0) + Pad(1463 220 1475 270 16 "Ground" "28" 0x0) + Pad(1475 20 1475 270 16 "Ground" "28" 0x0) + Pad(1487 20 1487 220 16 "Ground" "28" 0x0) + Pad(1487 220 1475 270 16 "Ground" "28" 0x0) + Pad(1513 20 1537 20 16 "AD[21]" "29" 0x0) + Pad(1513 20 1513 220 16 "AD[21]" "29" 0x0) + Pad(1513 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1525 20 1525 270 16 "AD[21]" "29" 0x0) + Pad(1537 20 1537 220 16 "AD[21]" "29" 0x0) + Pad(1537 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1563 20 1587 20 16 "AD[19]" "30" 0x0) + Pad(1563 20 1563 220 16 "AD[19]" "30" 0x0) + Pad(1563 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1575 20 1575 270 16 "AD[19]" "30" 0x0) + Pad(1587 20 1587 220 16 "AD[19]" "30" 0x0) + Pad(1587 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1613 20 1637 20 16 "+3.3V" "31" 0x0) + Pad(1613 20 1613 220 16 "+3.3V" "31" 0x0) + Pad(1613 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1625 20 1625 270 16 "+3.3V" "31" 0x0) + Pad(1637 20 1637 220 16 "+3.3V" "31" 0x0) + Pad(1637 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1663 20 1687 20 16 "AD[17]" "32" 0x0) + Pad(1663 20 1663 220 16 "AD[17]" "32" 0x0) + Pad(1663 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1675 20 1675 270 16 "AD[17]" "32" 0x0) + Pad(1687 20 1687 220 16 "AD[17]" "32" 0x0) + Pad(1687 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1713 20 1737 20 16 "C/BE[2]*" "33" 0x0) + Pad(1713 20 1713 220 16 "C/BE[2]*" "33" 0x0) + Pad(1713 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1725 20 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1737 20 1737 220 16 "C/BE[2]*" "33" 0x0) + Pad(1737 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1763 20 1787 20 16 "Ground" "34" 0x0) + Pad(1763 20 1763 220 16 "Ground" "34" 0x0) + Pad(1763 220 1775 270 16 "Ground" "34" 0x0) + Pad(1775 20 1775 270 16 "Ground" "34" 0x0) + Pad(1787 20 1787 220 16 "Ground" "34" 0x0) + Pad(1787 220 1775 270 16 "Ground" "34" 0x0) + Pad(1813 20 1837 20 16 "IRDY*" "35" 0x0) + Pad(1813 20 1813 220 16 "IRDY*" "35" 0x0) + Pad(1813 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1825 20 1825 270 16 "IRDY*" "35" 0x0) + Pad(1837 20 1837 220 16 "IRDY*" "35" 0x0) + Pad(1837 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1863 20 1887 20 16 "+3.3V" "36" 0x0) + Pad(1863 20 1863 220 16 "+3.3V" "36" 0x0) + Pad(1863 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1875 20 1875 270 16 "+3.3V" "36" 0x0) + Pad(1887 20 1887 220 16 "+3.3V" "36" 0x0) + Pad(1887 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1913 20 1937 20 16 "DEVSEL*" "37" 0x0) + Pad(1913 20 1913 220 16 "DEVSEL*" "37" 0x0) + Pad(1913 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1925 20 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1937 20 1937 220 16 "DEVSEL*" "37" 0x0) + Pad(1937 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1963 20 1987 20 16 "Ground" "38" 0x0) + Pad(1963 20 1963 220 16 "Ground" "38" 0x0) + Pad(1963 220 1975 270 16 "Ground" "38" 0x0) + Pad(1975 20 1975 270 16 "Ground" "38" 0x0) + Pad(1987 20 1987 220 16 "Ground" "38" 0x0) + Pad(1987 220 1975 270 16 "Ground" "38" 0x0) + Pad(2013 20 2037 20 16 "LOCK*" "39" 0x0) + Pad(2013 20 2013 220 16 "LOCK*" "39" 0x0) + Pad(2013 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2025 20 2025 270 16 "LOCK*" "39" 0x0) + Pad(2037 20 2037 220 16 "LOCK*" "39" 0x0) + Pad(2037 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2063 20 2087 20 16 "PERR*" "40" 0x0) + Pad(2063 20 2063 220 16 "PERR*" "40" 0x0) + Pad(2063 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2075 20 2075 270 16 "PERR*" "40" 0x0) + Pad(2087 20 2087 220 16 "PERR*" "40" 0x0) + Pad(2087 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2113 20 2137 20 16 "+3.3V" "41" 0x0) + Pad(2113 20 2113 220 16 "+3.3V" "41" 0x0) + Pad(2113 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2125 20 2125 270 16 "+3.3V" "41" 0x0) + Pad(2137 20 2137 220 16 "+3.3V" "41" 0x0) + Pad(2137 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2163 20 2187 20 16 "SERR*" "42" 0x0) + Pad(2163 20 2163 220 16 "SERR*" "42" 0x0) + Pad(2163 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2175 20 2175 270 16 "SERR*" "42" 0x0) + Pad(2187 20 2187 220 16 "SERR*" "42" 0x0) + Pad(2187 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2213 20 2237 20 16 "+3.3V" "43" 0x0) + Pad(2213 20 2213 220 16 "+3.3V" "43" 0x0) + Pad(2213 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2225 20 2225 270 16 "+3.3V" "43" 0x0) + Pad(2237 20 2237 220 16 "+3.3V" "43" 0x0) + Pad(2237 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2263 20 2287 20 16 "C/BE[1]*" "44" 0x0) + Pad(2263 20 2263 220 16 "C/BE[1]*" "44" 0x0) + Pad(2263 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2275 20 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2287 20 2287 220 16 "C/BE[1]*" "44" 0x0) + Pad(2287 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2313 20 2337 20 16 "AD[14]" "45" 0x0) + Pad(2313 20 2313 220 16 "AD[14]" "45" 0x0) + Pad(2313 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2325 20 2325 270 16 "AD[14]" "45" 0x0) + Pad(2337 20 2337 220 16 "AD[14]" "45" 0x0) + Pad(2337 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2363 20 2387 20 16 "Ground" "46" 0x0) + Pad(2363 20 2363 220 16 "Ground" "46" 0x0) + Pad(2363 220 2375 270 16 "Ground" "46" 0x0) + Pad(2375 20 2375 270 16 "Ground" "46" 0x0) + Pad(2387 20 2387 220 16 "Ground" "46" 0x0) + Pad(2387 220 2375 270 16 "Ground" "46" 0x0) + Pad(2413 20 2437 20 16 "AD[12]" "47" 0x0) + Pad(2413 20 2413 220 16 "AD[12]" "47" 0x0) + Pad(2413 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2425 20 2425 270 16 "AD[12]" "47" 0x0) + Pad(2437 20 2437 220 16 "AD[12]" "47" 0x0) + Pad(2437 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2463 20 2487 20 16 "AD[10]" "48" 0x0) + Pad(2463 20 2463 220 16 "AD[10]" "48" 0x0) + Pad(2463 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2475 20 2475 270 16 "AD[10]" "48" 0x0) + Pad(2487 20 2487 220 16 "AD[10]" "48" 0x0) + Pad(2487 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2513 20 2537 20 16 "Ground" "49" 0x0) + Pad(2513 20 2513 220 16 "Ground" "49" 0x0) + Pad(2513 220 2525 270 16 "Ground" "49" 0x0) + Pad(2525 20 2525 270 16 "Ground" "49" 0x0) + Pad(2537 20 2537 220 16 "Ground" "49" 0x0) + Pad(2537 220 2525 270 16 "Ground" "49" 0x0) + ElementLine(2662 282 3187 282 2) + ElementArc(2662 270 12 12 0 90 2) + ElementArc(3187 270 12 12 90 90 2) + ElementLine(2650 0 2650 270 1) + ElementLine(3199 0 3199 270 1) + ElementLine(-1525 282 -1525 -2528 1) + ElementLine(-1525 -2528 3199 -2528 1) + ElementLine(3199 -2528 3199 0 1) + ElementArc(-1250 7 125 125 0 360 1) + ElementArc(-1250 -2253 125 125 0 360 1) + Pad(2662 20 2686 20 16 "AD[08]" "50" 0x0) + Pad(2662 20 2662 220 16 "AD[08]" "50" 0x0) + Pad(2662 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2674 20 2674 270 16 "AD[08]" "50" 0x0) + Pad(2686 20 2686 220 16 "AD[08]" "50" 0x0) + Pad(2686 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2712 20 2736 20 16 "AD[07]" "51" 0x0) + Pad(2712 20 2712 220 16 "AD[07]" "51" 0x0) + Pad(2712 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2724 20 2724 270 16 "AD[07]" "51" 0x0) + Pad(2736 20 2736 220 16 "AD[07]" "51" 0x0) + Pad(2736 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2762 20 2786 20 16 "+3.3V" "52" 0x0) + Pad(2762 20 2762 220 16 "+3.3V" "52" 0x0) + Pad(2762 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2774 20 2774 270 16 "+3.3V" "52" 0x0) + Pad(2786 20 2786 220 16 "+3.3V" "52" 0x0) + Pad(2786 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2812 20 2836 20 16 "AD[05]" "53" 0x0) + Pad(2812 20 2812 220 16 "AD[05]" "53" 0x0) + Pad(2812 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2824 20 2824 270 16 "AD[05]" "53" 0x0) + Pad(2836 20 2836 220 16 "AD[05]" "53" 0x0) + Pad(2836 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2862 20 2886 20 16 "AD[03]" "54" 0x0) + Pad(2862 20 2862 220 16 "AD[03]" "54" 0x0) + Pad(2862 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2874 20 2874 270 16 "AD[03]" "54" 0x0) + Pad(2886 20 2886 220 16 "AD[03]" "54" 0x0) + Pad(2886 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2912 20 2936 20 16 "Ground" "55" 0x0) + Pad(2912 20 2912 220 16 "Ground" "55" 0x0) + Pad(2912 220 2924 270 16 "Ground" "55" 0x0) + Pad(2924 20 2924 270 16 "Ground" "55" 0x0) + Pad(2936 20 2936 220 16 "Ground" "55" 0x0) + Pad(2936 220 2924 270 16 "Ground" "55" 0x0) + Pad(2962 20 2986 20 16 "AD[01]" "56" 0x0) + Pad(2962 20 2962 220 16 "AD[01]" "56" 0x0) + Pad(2962 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(2974 20 2974 270 16 "AD[01]" "56" 0x0) + Pad(2986 20 2986 220 16 "AD[01]" "56" 0x0) + Pad(2986 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(3012 20 3036 20 16 "+5V" "57" 0x0) + Pad(3012 20 3012 220 16 "+5V" "57" 0x0) + Pad(3012 220 3024 270 16 "+5V" "57" 0x0) + Pad(3024 20 3024 270 16 "+5V" "57" 0x0) + Pad(3036 20 3036 220 16 "+5V" "57" 0x0) + Pad(3036 220 3024 270 16 "+5V" "57" 0x0) + Pad(3062 20 3086 20 16 "ACK64*" "58" 0x0) + Pad(3062 20 3062 220 16 "ACK64*" "58" 0x0) + Pad(3062 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3074 20 3074 270 16 "ACK64*" "58" 0x0) + Pad(3086 20 3086 220 16 "ACK64*" "58" 0x0) + Pad(3086 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3112 20 3136 20 16 "+5V" "59" 0x0) + Pad(3112 20 3112 220 16 "+5V" "59" 0x0) + Pad(3112 220 3124 270 16 "+5V" "59" 0x0) + Pad(3124 20 3124 270 16 "+5V" "59" 0x0) + Pad(3136 20 3136 220 16 "+5V" "59" 0x0) + Pad(3136 220 3124 270 16 "+5V" "59" 0x0) + Pad(3162 20 3186 20 16 "+5V" "60" 0x0) + Pad(3162 20 3162 220 16 "+5V" "60" 0x0) + Pad(3162 220 3174 270 16 "+5V" "60" 0x0) + Pad(3174 20 3174 270 16 "+5V" "60" 0x0) + Pad(3186 20 3186 220 16 "+5V" "60" 0x0) + Pad(3186 220 3174 270 16 "+5V" "60" 0x0) + Pad(113 20 137 20 16 "TRST*" "61" 0x80) + Pad(113 20 113 220 16 "TRST*" "61" 0x80) + Pad(113 220 125 270 16 "TRST*" "61" 0x80) + Pad(125 20 125 270 16 "TRST*" "61" 0x80) + Pad(137 20 137 220 16 "TRST*" "61" 0x80) + Pad(137 220 125 270 16 "TRST*" "61" 0x80) + Pad(163 20 187 20 16 "+12V" "62" 0x80) + Pad(163 20 163 220 16 "+12V" "62" 0x80) + Pad(163 220 175 270 16 "+12V" "62" 0x80) + Pad(175 20 175 270 16 "+12V" "62" 0x80) + Pad(187 20 187 220 16 "+12V" "62" 0x80) + Pad(187 220 175 270 16 "+12V" "62" 0x80) + Pad(213 20 237 20 16 "TMS" "63" 0x80) + Pad(213 20 213 220 16 "TMS" "63" 0x80) + Pad(213 220 225 270 16 "TMS" "63" 0x80) + Pad(225 20 225 270 16 "TMS" "63" 0x80) + Pad(237 20 237 220 16 "TMS" "63" 0x80) + Pad(237 220 225 270 16 "TMS" "63" 0x80) + Pad(263 20 287 20 16 "TDI" "64" 0x80) + Pad(263 20 263 220 16 "TDI" "64" 0x80) + Pad(263 220 275 270 16 "TDI" "64" 0x80) + Pad(275 20 275 270 16 "TDI" "64" 0x80) + Pad(287 20 287 220 16 "TDI" "64" 0x80) + Pad(287 220 275 270 16 "TDI" "64" 0x80) + Pad(313 20 337 20 16 "+5V" "65" 0x80) + Pad(313 20 313 220 16 "+5V" "65" 0x80) + Pad(313 220 325 270 16 "+5V" "65" 0x80) + Pad(325 20 325 270 16 "+5V" "65" 0x80) + Pad(337 20 337 220 16 "+5V" "65" 0x80) + Pad(337 220 325 270 16 "+5V" "65" 0x80) + Pad(363 20 387 20 16 "INTA*" "66" 0x80) + Pad(363 20 363 220 16 "INTA*" "66" 0x80) + Pad(363 220 375 270 16 "INTA*" "66" 0x80) + Pad(375 20 375 270 16 "INTA*" "66" 0x80) + Pad(387 20 387 220 16 "INTA*" "66" 0x80) + Pad(387 220 375 270 16 "INTA*" "66" 0x80) + Pad(413 20 437 20 16 "INTC*" "67" 0x80) + Pad(413 20 413 220 16 "INTC*" "67" 0x80) + Pad(413 220 425 270 16 "INTC*" "67" 0x80) + Pad(425 20 425 270 16 "INTC*" "67" 0x80) + Pad(437 20 437 220 16 "INTC*" "67" 0x80) + Pad(437 220 425 270 16 "INTC*" "67" 0x80) + Pad(463 20 487 20 16 "+5V" "68" 0x80) + Pad(463 20 463 220 16 "+5V" "68" 0x80) + Pad(463 220 475 270 16 "+5V" "68" 0x80) + Pad(475 20 475 270 16 "+5V" "68" 0x80) + Pad(487 20 487 220 16 "+5V" "68" 0x80) + Pad(487 220 475 270 16 "+5V" "68" 0x80) + Pad(513 20 537 20 16 "Reserved" "69" 0x80) + Pad(513 20 513 220 16 "Reserved" "69" 0x80) + Pad(513 220 525 270 16 "Reserved" "69" 0x80) + Pad(525 20 525 270 16 "Reserved" "69" 0x80) + Pad(537 20 537 220 16 "Reserved" "69" 0x80) + Pad(537 220 525 270 16 "Reserved" "69" 0x80) + Pad(563 20 587 20 16 "+5V" "70" 0x80) + Pad(563 20 563 220 16 "+5V" "70" 0x80) + Pad(563 220 575 270 16 "+5V" "70" 0x80) + Pad(575 20 575 270 16 "+5V" "70" 0x80) + Pad(587 20 587 220 16 "+5V" "70" 0x80) + Pad(587 220 575 270 16 "+5V" "70" 0x80) + Pad(613 20 637 20 16 "Reserved" "71" 0x80) + Pad(613 20 613 220 16 "Reserved" "71" 0x80) + Pad(613 220 625 270 16 "Reserved" "71" 0x80) + Pad(625 20 625 270 16 "Reserved" "71" 0x80) + Pad(637 20 637 220 16 "Reserved" "71" 0x80) + Pad(637 220 625 270 16 "Reserved" "71" 0x80) + Pad(663 20 687 20 16 "Ground" "72" 0x80) + Pad(663 20 663 220 16 "Ground" "72" 0x80) + Pad(663 220 675 270 16 "Ground" "72" 0x80) + Pad(675 20 675 270 16 "Ground" "72" 0x80) + Pad(687 20 687 220 16 "Ground" "72" 0x80) + Pad(687 220 675 270 16 "Ground" "72" 0x80) + Pad(713 20 737 20 16 "Ground" "73" 0x80) + Pad(713 20 713 220 16 "Ground" "73" 0x80) + Pad(713 220 725 270 16 "Ground" "73" 0x80) + Pad(725 20 725 270 16 "Ground" "73" 0x80) + Pad(737 20 737 220 16 "Ground" "73" 0x80) + Pad(737 220 725 270 16 "Ground" "73" 0x80) + Pad(763 20 787 20 16 "3.3Vaux" "74" 0x80) + Pad(763 20 763 220 16 "3.3Vaux" "74" 0x80) + Pad(763 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(775 20 775 270 16 "3.3Vaux" "74" 0x80) + Pad(787 20 787 220 16 "3.3Vaux" "74" 0x80) + Pad(787 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(813 20 837 20 16 "RST*" "75" 0x80) + Pad(813 20 813 220 16 "RST*" "75" 0x80) + Pad(813 220 825 270 16 "RST*" "75" 0x80) + Pad(825 20 825 270 16 "RST*" "75" 0x80) + Pad(837 20 837 220 16 "RST*" "75" 0x80) + Pad(837 220 825 270 16 "RST*" "75" 0x80) + Pad(863 20 887 20 16 "+5V" "76" 0x80) + Pad(863 20 863 220 16 "+5V" "76" 0x80) + Pad(863 220 875 270 16 "+5V" "76" 0x80) + Pad(875 20 875 270 16 "+5V" "76" 0x80) + Pad(887 20 887 220 16 "+5V" "76" 0x80) + Pad(887 220 875 270 16 "+5V" "76" 0x80) + Pad(913 20 937 20 16 "GNT*" "77" 0x80) + Pad(913 20 913 220 16 "GNT*" "77" 0x80) + Pad(913 220 925 270 16 "GNT*" "77" 0x80) + Pad(925 20 925 270 16 "GNT*" "77" 0x80) + Pad(937 20 937 220 16 "GNT*" "77" 0x80) + Pad(937 220 925 270 16 "GNT*" "77" 0x80) + Pad(963 20 987 20 16 "Ground" "78" 0x80) + Pad(963 20 963 220 16 "Ground" "78" 0x80) + Pad(963 220 975 270 16 "Ground" "78" 0x80) + Pad(975 20 975 270 16 "Ground" "78" 0x80) + Pad(987 20 987 220 16 "Ground" "78" 0x80) + Pad(987 220 975 270 16 "Ground" "78" 0x80) + Pad(1013 20 1037 20 16 "PME*" "79" 0x80) + Pad(1013 20 1013 220 16 "PME*" "79" 0x80) + Pad(1013 220 1025 270 16 "PME*" "79" 0x80) + Pad(1025 20 1025 270 16 "PME*" "79" 0x80) + Pad(1037 20 1037 220 16 "PME*" "79" 0x80) + Pad(1037 220 1025 270 16 "PME*" "79" 0x80) + Pad(1063 20 1087 20 16 "AD[30]" "80" 0x80) + Pad(1063 20 1063 220 16 "AD[30]" "80" 0x80) + Pad(1063 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1075 20 1075 270 16 "AD[30]" "80" 0x80) + Pad(1087 20 1087 220 16 "AD[30]" "80" 0x80) + Pad(1087 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1113 20 1137 20 16 "+3.3V" "81" 0x80) + Pad(1113 20 1113 220 16 "+3.3V" "81" 0x80) + Pad(1113 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1125 20 1125 270 16 "+3.3V" "81" 0x80) + Pad(1137 20 1137 220 16 "+3.3V" "81" 0x80) + Pad(1137 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1163 20 1187 20 16 "AD[28]" "82" 0x80) + Pad(1163 20 1163 220 16 "AD[28]" "82" 0x80) + Pad(1163 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1175 20 1175 270 16 "AD[28]" "82" 0x80) + Pad(1187 20 1187 220 16 "AD[28]" "82" 0x80) + Pad(1187 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1213 20 1237 20 16 "AD[26]" "83" 0x80) + Pad(1213 20 1213 220 16 "AD[26]" "83" 0x80) + Pad(1213 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1225 20 1225 270 16 "AD[26]" "83" 0x80) + Pad(1237 20 1237 220 16 "AD[26]" "83" 0x80) + Pad(1237 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1263 20 1287 20 16 "Ground" "84" 0x80) + Pad(1263 20 1263 220 16 "Ground" "84" 0x80) + Pad(1263 220 1275 270 16 "Ground" "84" 0x80) + Pad(1275 20 1275 270 16 "Ground" "84" 0x80) + Pad(1287 20 1287 220 16 "Ground" "84" 0x80) + Pad(1287 220 1275 270 16 "Ground" "84" 0x80) + Pad(1313 20 1337 20 16 "AD[24]" "85" 0x80) + Pad(1313 20 1313 220 16 "AD[24]" "85" 0x80) + Pad(1313 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1325 20 1325 270 16 "AD[24]" "85" 0x80) + Pad(1337 20 1337 220 16 "AD[24]" "85" 0x80) + Pad(1337 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1363 20 1387 20 16 "IDSEL" "86" 0x80) + Pad(1363 20 1363 220 16 "IDSEL" "86" 0x80) + Pad(1363 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1375 20 1375 270 16 "IDSEL" "86" 0x80) + Pad(1387 20 1387 220 16 "IDSEL" "86" 0x80) + Pad(1387 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1413 20 1437 20 16 "+3.3V" "87" 0x80) + Pad(1413 20 1413 220 16 "+3.3V" "87" 0x80) + Pad(1413 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1425 20 1425 270 16 "+3.3V" "87" 0x80) + Pad(1437 20 1437 220 16 "+3.3V" "87" 0x80) + Pad(1437 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1463 20 1487 20 16 "AD[22]" "88" 0x80) + Pad(1463 20 1463 220 16 "AD[22]" "88" 0x80) + Pad(1463 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1475 20 1475 270 16 "AD[22]" "88" 0x80) + Pad(1487 20 1487 220 16 "AD[22]" "88" 0x80) + Pad(1487 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1513 20 1537 20 16 "AD[20]" "89" 0x80) + Pad(1513 20 1513 220 16 "AD[20]" "89" 0x80) + Pad(1513 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1525 20 1525 270 16 "AD[20]" "89" 0x80) + Pad(1537 20 1537 220 16 "AD[20]" "89" 0x80) + Pad(1537 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1563 20 1587 20 16 "Ground" "90" 0x80) + Pad(1563 20 1563 220 16 "Ground" "90" 0x80) + Pad(1563 220 1575 270 16 "Ground" "90" 0x80) + Pad(1575 20 1575 270 16 "Ground" "90" 0x80) + Pad(1587 20 1587 220 16 "Ground" "90" 0x80) + Pad(1587 220 1575 270 16 "Ground" "90" 0x80) + Pad(1613 20 1637 20 16 "AD[18]" "91" 0x80) + Pad(1613 20 1613 220 16 "AD[18]" "91" 0x80) + Pad(1613 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1625 20 1625 270 16 "AD[18]" "91" 0x80) + Pad(1637 20 1637 220 16 "AD[18]" "91" 0x80) + Pad(1637 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1663 20 1687 20 16 "AD[16]" "92" 0x80) + Pad(1663 20 1663 220 16 "AD[16]" "92" 0x80) + Pad(1663 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1675 20 1675 270 16 "AD[16]" "92" 0x80) + Pad(1687 20 1687 220 16 "AD[16]" "92" 0x80) + Pad(1687 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1713 20 1737 20 16 "+3.3V" "93" 0x80) + Pad(1713 20 1713 220 16 "+3.3V" "93" 0x80) + Pad(1713 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1725 20 1725 270 16 "+3.3V" "93" 0x80) + Pad(1737 20 1737 220 16 "+3.3V" "93" 0x80) + Pad(1737 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1763 20 1787 20 16 "FRAME*" "94" 0x80) + Pad(1763 20 1763 220 16 "FRAME*" "94" 0x80) + Pad(1763 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1775 20 1775 270 16 "FRAME*" "94" 0x80) + Pad(1787 20 1787 220 16 "FRAME*" "94" 0x80) + Pad(1787 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1813 20 1837 20 16 "Ground" "95" 0x80) + Pad(1813 20 1813 220 16 "Ground" "95" 0x80) + Pad(1813 220 1825 270 16 "Ground" "95" 0x80) + Pad(1825 20 1825 270 16 "Ground" "95" 0x80) + Pad(1837 20 1837 220 16 "Ground" "95" 0x80) + Pad(1837 220 1825 270 16 "Ground" "95" 0x80) + Pad(1863 20 1887 20 16 "TRDY*" "96" 0x80) + Pad(1863 20 1863 220 16 "TRDY*" "96" 0x80) + Pad(1863 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1875 20 1875 270 16 "TRDY*" "96" 0x80) + Pad(1887 20 1887 220 16 "TRDY*" "96" 0x80) + Pad(1887 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1913 20 1937 20 16 "Ground" "97" 0x80) + Pad(1913 20 1913 220 16 "Ground" "97" 0x80) + Pad(1913 220 1925 270 16 "Ground" "97" 0x80) + Pad(1925 20 1925 270 16 "Ground" "97" 0x80) + Pad(1937 20 1937 220 16 "Ground" "97" 0x80) + Pad(1937 220 1925 270 16 "Ground" "97" 0x80) + Pad(1963 20 1987 20 16 "STOP*" "98" 0x80) + Pad(1963 20 1963 220 16 "STOP*" "98" 0x80) + Pad(1963 220 1975 270 16 "STOP*" "98" 0x80) + Pad(1975 20 1975 270 16 "STOP*" "98" 0x80) + Pad(1987 20 1987 220 16 "STOP*" "98" 0x80) + Pad(1987 220 1975 270 16 "STOP*" "98" 0x80) + Pad(2013 20 2037 20 16 "+3.3V" "99" 0x80) + Pad(2013 20 2013 220 16 "+3.3V" "99" 0x80) + Pad(2013 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2025 20 2025 270 16 "+3.3V" "99" 0x80) + Pad(2037 20 2037 220 16 "+3.3V" "99" 0x80) + Pad(2037 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2063 20 2087 20 16 "Reserved" "100" 0x80) + Pad(2063 20 2063 220 16 "Reserved" "100" 0x80) + Pad(2063 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2075 20 2075 270 16 "Reserved" "100" 0x80) + Pad(2087 20 2087 220 16 "Reserved" "100" 0x80) + Pad(2087 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2113 20 2137 20 16 "Reserved" "101" 0x80) + Pad(2113 20 2113 220 16 "Reserved" "101" 0x80) + Pad(2113 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2125 20 2125 270 16 "Reserved" "101" 0x80) + Pad(2137 20 2137 220 16 "Reserved" "101" 0x80) + Pad(2137 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2163 20 2187 20 16 "Ground" "102" 0x80) + Pad(2163 20 2163 220 16 "Ground" "102" 0x80) + Pad(2163 220 2175 270 16 "Ground" "102" 0x80) + Pad(2175 20 2175 270 16 "Ground" "102" 0x80) + Pad(2187 20 2187 220 16 "Ground" "102" 0x80) + Pad(2187 220 2175 270 16 "Ground" "102" 0x80) + Pad(2213 20 2237 20 16 "PAR" "103" 0x80) + Pad(2213 20 2213 220 16 "PAR" "103" 0x80) + Pad(2213 220 2225 270 16 "PAR" "103" 0x80) + Pad(2225 20 2225 270 16 "PAR" "103" 0x80) + Pad(2237 20 2237 220 16 "PAR" "103" 0x80) + Pad(2237 220 2225 270 16 "PAR" "103" 0x80) + Pad(2263 20 2287 20 16 "AD[15]" "104" 0x80) + Pad(2263 20 2263 220 16 "AD[15]" "104" 0x80) + Pad(2263 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2275 20 2275 270 16 "AD[15]" "104" 0x80) + Pad(2287 20 2287 220 16 "AD[15]" "104" 0x80) + Pad(2287 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2313 20 2337 20 16 "+3.3V" "105" 0x80) + Pad(2313 20 2313 220 16 "+3.3V" "105" 0x80) + Pad(2313 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2325 20 2325 270 16 "+3.3V" "105" 0x80) + Pad(2337 20 2337 220 16 "+3.3V" "105" 0x80) + Pad(2337 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2363 20 2387 20 16 "AD[13]" "106" 0x80) + Pad(2363 20 2363 220 16 "AD[13]" "106" 0x80) + Pad(2363 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2375 20 2375 270 16 "AD[13]" "106" 0x80) + Pad(2387 20 2387 220 16 "AD[13]" "106" 0x80) + Pad(2387 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2413 20 2437 20 16 "AD[11]" "107" 0x80) + Pad(2413 20 2413 220 16 "AD[11]" "107" 0x80) + Pad(2413 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2425 20 2425 270 16 "AD[11]" "107" 0x80) + Pad(2437 20 2437 220 16 "AD[11]" "107" 0x80) + Pad(2437 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2463 20 2487 20 16 "Ground" "108" 0x80) + Pad(2463 20 2463 220 16 "Ground" "108" 0x80) + Pad(2463 220 2475 270 16 "Ground" "108" 0x80) + Pad(2475 20 2475 270 16 "Ground" "108" 0x80) + Pad(2487 20 2487 220 16 "Ground" "108" 0x80) + Pad(2487 220 2475 270 16 "Ground" "108" 0x80) + Pad(2513 20 2537 20 16 "AD[09]" "109" 0x80) + Pad(2513 20 2513 220 16 "AD[09]" "109" 0x80) + Pad(2513 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2525 20 2525 270 16 "AD[09]" "109" 0x80) + Pad(2537 20 2537 220 16 "AD[09]" "109" 0x80) + Pad(2537 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2662 20 2686 20 16 "C/BE[0]*" "110" 0x80) + Pad(2662 20 2662 220 16 "C/BE[0]*" "110" 0x80) + Pad(2662 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2674 20 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2686 20 2686 220 16 "C/BE[0]*" "110" 0x80) + Pad(2686 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2712 20 2736 20 16 "+3.3V" "111" 0x80) + Pad(2712 20 2712 220 16 "+3.3V" "111" 0x80) + Pad(2712 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2724 20 2724 270 16 "+3.3V" "111" 0x80) + Pad(2736 20 2736 220 16 "+3.3V" "111" 0x80) + Pad(2736 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2762 20 2786 20 16 "AD[06]" "112" 0x80) + Pad(2762 20 2762 220 16 "AD[06]" "112" 0x80) + Pad(2762 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2774 20 2774 270 16 "AD[06]" "112" 0x80) + Pad(2786 20 2786 220 16 "AD[06]" "112" 0x80) + Pad(2786 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2812 20 2836 20 16 "AD[04]" "113" 0x80) + Pad(2812 20 2812 220 16 "AD[04]" "113" 0x80) + Pad(2812 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2824 20 2824 270 16 "AD[04]" "113" 0x80) + Pad(2836 20 2836 220 16 "AD[04]" "113" 0x80) + Pad(2836 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2862 20 2886 20 16 "Ground" "114" 0x80) + Pad(2862 20 2862 220 16 "Ground" "114" 0x80) + Pad(2862 220 2874 270 16 "Ground" "114" 0x80) + Pad(2874 20 2874 270 16 "Ground" "114" 0x80) + Pad(2886 20 2886 220 16 "Ground" "114" 0x80) + Pad(2886 220 2874 270 16 "Ground" "114" 0x80) + Pad(2912 20 2936 20 16 "AD[02]" "115" 0x80) + Pad(2912 20 2912 220 16 "AD[02]" "115" 0x80) + Pad(2912 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2924 20 2924 270 16 "AD[02]" "115" 0x80) + Pad(2936 20 2936 220 16 "AD[02]" "115" 0x80) + Pad(2936 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2962 20 2986 20 16 "AD[00]" "116" 0x80) + Pad(2962 20 2962 220 16 "AD[00]" "116" 0x80) + Pad(2962 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(2974 20 2974 270 16 "AD[00]" "116" 0x80) + Pad(2986 20 2986 220 16 "AD[00]" "116" 0x80) + Pad(2986 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(3012 20 3036 20 16 "+5V" "117" 0x80) + Pad(3012 20 3012 220 16 "+5V" "117" 0x80) + Pad(3012 220 3024 270 16 "+5V" "117" 0x80) + Pad(3024 20 3024 270 16 "+5V" "117" 0x80) + Pad(3036 20 3036 220 16 "+5V" "117" 0x80) + Pad(3036 220 3024 270 16 "+5V" "117" 0x80) + Pad(3062 20 3086 20 16 "REQ64*" "118" 0x80) + Pad(3062 20 3062 220 16 "REQ64*" "118" 0x80) + Pad(3062 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3074 20 3074 270 16 "REQ64*" "118" 0x80) + Pad(3086 20 3086 220 16 "REQ64*" "118" 0x80) + Pad(3086 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3112 20 3136 20 16 "+5V" "119" 0x80) + Pad(3112 20 3112 220 16 "+5V" "119" 0x80) + Pad(3112 220 3124 270 16 "+5V" "119" 0x80) + Pad(3124 20 3124 270 16 "+5V" "119" 0x80) + Pad(3136 20 3136 220 16 "+5V" "119" 0x80) + Pad(3136 220 3124 270 16 "+5V" "119" 0x80) + Pad(3162 20 3186 20 16 "+5V" "120" 0x80) + Pad(3162 20 3162 220 16 "+5V" "120" 0x80) + Pad(3162 220 3174 270 16 "+5V" "120" 0x80) + Pad(3174 20 3174 270 16 "+5V" "120" 0x80) + Pad(3186 20 3186 220 16 "+5V" "120" 0x80) + Pad(3186 220 3174 270 16 "+5V" "120" 0x80) +) Index: oldlib/lib/pcblib-newlib/pci/PCI5V_MAX_HEIGHT.fp =================================================================== --- oldlib/lib/pcblib-newlib/pci/PCI5V_MAX_HEIGHT.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/pci/PCI5V_MAX_HEIGHT.fp (revision 1033) @@ -0,0 +1,746 @@ +Element(0x00 "PCI 5V Array Max Height" "" "PCI5V_MAX_HEIGHT" 1600 10 1 30 0x00) +( + Mark(1600 135) + ElementArc(1 0 100 100 180 90 1) + ElementLine(1 -100 -799 -100 1) + ElementArc(-799 0 100 100 270 90 1) + ElementLine(-899 0 -899 270 1) + ElementArc(-911 270 12 12 90 90 1) + ElementLine(-911 282 -1525 282 1) + ElementLine(113 282 2538 282 2) + ElementArc(113 270 12 12 0 90 2) + ElementArc(2538 270 12 12 90 90 2) + ElementLine(101 0 101 270 1) + ElementLine(2550 0 2550 270 1) + ElementArc(2600 0 50 50 180 180 1) + Pad(113 20 137 20 16 "-12V" "1" 0x0) + Pad(113 20 113 220 16 "-12V" "1" 0x0) + Pad(113 220 125 270 16 "-12V" "1" 0x0) + Pad(125 20 125 270 16 "-12V" "1" 0x0) + Pad(137 20 137 220 16 "-12V" "1" 0x0) + Pad(137 220 125 270 16 "-12V" "1" 0x0) + Pad(163 20 187 20 16 "TCK" "2" 0x0) + Pad(163 20 163 220 16 "TCK" "2" 0x0) + Pad(163 220 175 270 16 "TCK" "2" 0x0) + Pad(175 20 175 270 16 "TCK" "2" 0x0) + Pad(187 20 187 220 16 "TCK" "2" 0x0) + Pad(187 220 175 270 16 "TCK" "2" 0x0) + Pad(213 20 237 20 16 "Ground" "3" 0x0) + Pad(213 20 213 220 16 "Ground" "3" 0x0) + Pad(213 220 225 270 16 "Ground" "3" 0x0) + Pad(225 20 225 270 16 "Ground" "3" 0x0) + Pad(237 20 237 220 16 "Ground" "3" 0x0) + Pad(237 220 225 270 16 "Ground" "3" 0x0) + Pad(263 20 287 20 16 "TD0" "4" 0x0) + Pad(263 20 263 220 16 "TD0" "4" 0x0) + Pad(263 220 275 270 16 "TD0" "4" 0x0) + Pad(275 20 275 270 16 "TD0" "4" 0x0) + Pad(287 20 287 220 16 "TD0" "4" 0x0) + Pad(287 220 275 270 16 "TD0" "4" 0x0) + Pad(313 20 337 20 16 "+5V" "5" 0x0) + Pad(313 20 313 220 16 "+5V" "5" 0x0) + Pad(313 220 325 270 16 "+5V" "5" 0x0) + Pad(325 20 325 270 16 "+5V" "5" 0x0) + Pad(337 20 337 220 16 "+5V" "5" 0x0) + Pad(337 220 325 270 16 "+5V" "5" 0x0) + Pad(363 20 387 20 16 "+5V" "6" 0x0) + Pad(363 20 363 220 16 "+5V" "6" 0x0) + Pad(363 220 375 270 16 "+5V" "6" 0x0) + Pad(375 20 375 270 16 "+5V" "6" 0x0) + Pad(387 20 387 220 16 "+5V" "6" 0x0) + Pad(387 220 375 270 16 "+5V" "6" 0x0) + Pad(413 20 437 20 16 "INTB*" "7" 0x0) + Pad(413 20 413 220 16 "INTB*" "7" 0x0) + Pad(413 220 425 270 16 "INTB*" "7" 0x0) + Pad(425 20 425 270 16 "INTB*" "7" 0x0) + Pad(437 20 437 220 16 "INTB*" "7" 0x0) + Pad(437 220 425 270 16 "INTB*" "7" 0x0) + Pad(463 20 487 20 16 "INTD*" "8" 0x0) + Pad(463 20 463 220 16 "INTD*" "8" 0x0) + Pad(463 220 475 270 16 "INTD*" "8" 0x0) + Pad(475 20 475 270 16 "INTD*" "8" 0x0) + Pad(487 20 487 220 16 "INTD*" "8" 0x0) + Pad(487 220 475 270 16 "INTD*" "8" 0x0) + Pad(513 20 537 20 16 "PRSNT1*" "9" 0x0) + Pad(513 20 513 220 16 "PRSNT1*" "9" 0x0) + Pad(513 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(525 20 525 270 16 "PRSNT1*" "9" 0x0) + Pad(537 20 537 220 16 "PRSNT1*" "9" 0x0) + Pad(537 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(563 20 587 20 16 "Reserved" "10" 0x0) + Pad(563 20 563 220 16 "Reserved" "10" 0x0) + Pad(563 220 575 270 16 "Reserved" "10" 0x0) + Pad(575 20 575 270 16 "Reserved" "10" 0x0) + Pad(587 20 587 220 16 "Reserved" "10" 0x0) + Pad(587 220 575 270 16 "Reserved" "10" 0x0) + Pad(613 20 637 20 16 "PRSNT2*" "11" 0x0) + Pad(613 20 613 220 16 "PRSNT2*" "11" 0x0) + Pad(613 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(625 20 625 270 16 "PRSNT2*" "11" 0x0) + Pad(637 20 637 220 16 "PRSNT2*" "11" 0x0) + Pad(637 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(663 20 687 20 16 "Ground" "12" 0x0) + Pad(663 20 663 220 16 "Ground" "12" 0x0) + Pad(663 220 675 270 16 "Ground" "12" 0x0) + Pad(675 20 675 270 16 "Ground" "12" 0x0) + Pad(687 20 687 220 16 "Ground" "12" 0x0) + Pad(687 220 675 270 16 "Ground" "12" 0x0) + Pad(713 20 737 20 16 "Ground" "13" 0x0) + Pad(713 20 713 220 16 "Ground" "13" 0x0) + Pad(713 220 725 270 16 "Ground" "13" 0x0) + Pad(725 20 725 270 16 "Ground" "13" 0x0) + Pad(737 20 737 220 16 "Ground" "13" 0x0) + Pad(737 220 725 270 16 "Ground" "13" 0x0) + Pad(763 20 787 20 16 "Reserved" "14" 0x0) + Pad(763 20 763 220 16 "Reserved" "14" 0x0) + Pad(763 220 775 270 16 "Reserved" "14" 0x0) + Pad(775 20 775 270 16 "Reserved" "14" 0x0) + Pad(787 20 787 220 16 "Reserved" "14" 0x0) + Pad(787 220 775 270 16 "Reserved" "14" 0x0) + Pad(813 20 837 20 16 "Ground" "15" 0x0) + Pad(813 20 813 220 16 "Ground" "15" 0x0) + Pad(813 220 825 270 16 "Ground" "15" 0x0) + Pad(825 20 825 270 16 "Ground" "15" 0x0) + Pad(837 20 837 220 16 "Ground" "15" 0x0) + Pad(837 220 825 270 16 "Ground" "15" 0x0) + Pad(863 20 887 20 16 "CLK" "16" 0x0) + Pad(863 20 863 220 16 "CLK" "16" 0x0) + Pad(863 220 875 270 16 "CLK" "16" 0x0) + Pad(875 20 875 270 16 "CLK" "16" 0x0) + Pad(887 20 887 220 16 "CLK" "16" 0x0) + Pad(887 220 875 270 16 "CLK" "16" 0x0) + Pad(913 20 937 20 16 "Ground" "17" 0x0) + Pad(913 20 913 220 16 "Ground" "17" 0x0) + Pad(913 220 925 270 16 "Ground" "17" 0x0) + Pad(925 20 925 270 16 "Ground" "17" 0x0) + Pad(937 20 937 220 16 "Ground" "17" 0x0) + Pad(937 220 925 270 16 "Ground" "17" 0x0) + Pad(963 20 987 20 16 "REQ*" "18" 0x0) + Pad(963 20 963 220 16 "REQ*" "18" 0x0) + Pad(963 220 975 270 16 "REQ*" "18" 0x0) + Pad(975 20 975 270 16 "REQ*" "18" 0x0) + Pad(987 20 987 220 16 "REQ*" "18" 0x0) + Pad(987 220 975 270 16 "REQ*" "18" 0x0) + Pad(1013 20 1037 20 16 "+5V" "19" 0x0) + Pad(1013 20 1013 220 16 "+5V" "19" 0x0) + Pad(1013 220 1025 270 16 "+5V" "19" 0x0) + Pad(1025 20 1025 270 16 "+5V" "19" 0x0) + Pad(1037 20 1037 220 16 "+5V" "19" 0x0) + Pad(1037 220 1025 270 16 "+5V" "19" 0x0) + Pad(1063 20 1087 20 16 "AD[31]" "20" 0x0) + Pad(1063 20 1063 220 16 "AD[31]" "20" 0x0) + Pad(1063 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1075 20 1075 270 16 "AD[31]" "20" 0x0) + Pad(1087 20 1087 220 16 "AD[31]" "20" 0x0) + Pad(1087 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1113 20 1137 20 16 "AD[29]" "21" 0x0) + Pad(1113 20 1113 220 16 "AD[29]" "21" 0x0) + Pad(1113 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1125 20 1125 270 16 "AD[29]" "21" 0x0) + Pad(1137 20 1137 220 16 "AD[29]" "21" 0x0) + Pad(1137 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1163 20 1187 20 16 "Ground" "22" 0x0) + Pad(1163 20 1163 220 16 "Ground" "22" 0x0) + Pad(1163 220 1175 270 16 "Ground" "22" 0x0) + Pad(1175 20 1175 270 16 "Ground" "22" 0x0) + Pad(1187 20 1187 220 16 "Ground" "22" 0x0) + Pad(1187 220 1175 270 16 "Ground" "22" 0x0) + Pad(1213 20 1237 20 16 "AD[27]" "23" 0x0) + Pad(1213 20 1213 220 16 "AD[27]" "23" 0x0) + Pad(1213 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1225 20 1225 270 16 "AD[27]" "23" 0x0) + Pad(1237 20 1237 220 16 "AD[27]" "23" 0x0) + Pad(1237 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1263 20 1287 20 16 "AD[25]" "24" 0x0) + Pad(1263 20 1263 220 16 "AD[25]" "24" 0x0) + Pad(1263 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1275 20 1275 270 16 "AD[25]" "24" 0x0) + Pad(1287 20 1287 220 16 "AD[25]" "24" 0x0) + Pad(1287 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1313 20 1337 20 16 "+3.3V" "25" 0x0) + Pad(1313 20 1313 220 16 "+3.3V" "25" 0x0) + Pad(1313 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1325 20 1325 270 16 "+3.3V" "25" 0x0) + Pad(1337 20 1337 220 16 "+3.3V" "25" 0x0) + Pad(1337 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1363 20 1387 20 16 "C/BE[3]*" "26" 0x0) + Pad(1363 20 1363 220 16 "C/BE[3]*" "26" 0x0) + Pad(1363 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1375 20 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1387 20 1387 220 16 "C/BE[3]*" "26" 0x0) + Pad(1387 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1413 20 1437 20 16 "AD[23]" "27" 0x0) + Pad(1413 20 1413 220 16 "AD[23]" "27" 0x0) + Pad(1413 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1425 20 1425 270 16 "AD[23]" "27" 0x0) + Pad(1437 20 1437 220 16 "AD[23]" "27" 0x0) + Pad(1437 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1463 20 1487 20 16 "Ground" "28" 0x0) + Pad(1463 20 1463 220 16 "Ground" "28" 0x0) + Pad(1463 220 1475 270 16 "Ground" "28" 0x0) + Pad(1475 20 1475 270 16 "Ground" "28" 0x0) + Pad(1487 20 1487 220 16 "Ground" "28" 0x0) + Pad(1487 220 1475 270 16 "Ground" "28" 0x0) + Pad(1513 20 1537 20 16 "AD[21]" "29" 0x0) + Pad(1513 20 1513 220 16 "AD[21]" "29" 0x0) + Pad(1513 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1525 20 1525 270 16 "AD[21]" "29" 0x0) + Pad(1537 20 1537 220 16 "AD[21]" "29" 0x0) + Pad(1537 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1563 20 1587 20 16 "AD[19]" "30" 0x0) + Pad(1563 20 1563 220 16 "AD[19]" "30" 0x0) + Pad(1563 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1575 20 1575 270 16 "AD[19]" "30" 0x0) + Pad(1587 20 1587 220 16 "AD[19]" "30" 0x0) + Pad(1587 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1613 20 1637 20 16 "+3.3V" "31" 0x0) + Pad(1613 20 1613 220 16 "+3.3V" "31" 0x0) + Pad(1613 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1625 20 1625 270 16 "+3.3V" "31" 0x0) + Pad(1637 20 1637 220 16 "+3.3V" "31" 0x0) + Pad(1637 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1663 20 1687 20 16 "AD[17]" "32" 0x0) + Pad(1663 20 1663 220 16 "AD[17]" "32" 0x0) + Pad(1663 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1675 20 1675 270 16 "AD[17]" "32" 0x0) + Pad(1687 20 1687 220 16 "AD[17]" "32" 0x0) + Pad(1687 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1713 20 1737 20 16 "C/BE[2]*" "33" 0x0) + Pad(1713 20 1713 220 16 "C/BE[2]*" "33" 0x0) + Pad(1713 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1725 20 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1737 20 1737 220 16 "C/BE[2]*" "33" 0x0) + Pad(1737 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1763 20 1787 20 16 "Ground" "34" 0x0) + Pad(1763 20 1763 220 16 "Ground" "34" 0x0) + Pad(1763 220 1775 270 16 "Ground" "34" 0x0) + Pad(1775 20 1775 270 16 "Ground" "34" 0x0) + Pad(1787 20 1787 220 16 "Ground" "34" 0x0) + Pad(1787 220 1775 270 16 "Ground" "34" 0x0) + Pad(1813 20 1837 20 16 "IRDY*" "35" 0x0) + Pad(1813 20 1813 220 16 "IRDY*" "35" 0x0) + Pad(1813 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1825 20 1825 270 16 "IRDY*" "35" 0x0) + Pad(1837 20 1837 220 16 "IRDY*" "35" 0x0) + Pad(1837 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1863 20 1887 20 16 "+3.3V" "36" 0x0) + Pad(1863 20 1863 220 16 "+3.3V" "36" 0x0) + Pad(1863 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1875 20 1875 270 16 "+3.3V" "36" 0x0) + Pad(1887 20 1887 220 16 "+3.3V" "36" 0x0) + Pad(1887 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1913 20 1937 20 16 "DEVSEL*" "37" 0x0) + Pad(1913 20 1913 220 16 "DEVSEL*" "37" 0x0) + Pad(1913 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1925 20 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1937 20 1937 220 16 "DEVSEL*" "37" 0x0) + Pad(1937 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1963 20 1987 20 16 "Ground" "38" 0x0) + Pad(1963 20 1963 220 16 "Ground" "38" 0x0) + Pad(1963 220 1975 270 16 "Ground" "38" 0x0) + Pad(1975 20 1975 270 16 "Ground" "38" 0x0) + Pad(1987 20 1987 220 16 "Ground" "38" 0x0) + Pad(1987 220 1975 270 16 "Ground" "38" 0x0) + Pad(2013 20 2037 20 16 "LOCK*" "39" 0x0) + Pad(2013 20 2013 220 16 "LOCK*" "39" 0x0) + Pad(2013 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2025 20 2025 270 16 "LOCK*" "39" 0x0) + Pad(2037 20 2037 220 16 "LOCK*" "39" 0x0) + Pad(2037 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2063 20 2087 20 16 "PERR*" "40" 0x0) + Pad(2063 20 2063 220 16 "PERR*" "40" 0x0) + Pad(2063 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2075 20 2075 270 16 "PERR*" "40" 0x0) + Pad(2087 20 2087 220 16 "PERR*" "40" 0x0) + Pad(2087 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2113 20 2137 20 16 "+3.3V" "41" 0x0) + Pad(2113 20 2113 220 16 "+3.3V" "41" 0x0) + Pad(2113 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2125 20 2125 270 16 "+3.3V" "41" 0x0) + Pad(2137 20 2137 220 16 "+3.3V" "41" 0x0) + Pad(2137 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2163 20 2187 20 16 "SERR*" "42" 0x0) + Pad(2163 20 2163 220 16 "SERR*" "42" 0x0) + Pad(2163 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2175 20 2175 270 16 "SERR*" "42" 0x0) + Pad(2187 20 2187 220 16 "SERR*" "42" 0x0) + Pad(2187 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2213 20 2237 20 16 "+3.3V" "43" 0x0) + Pad(2213 20 2213 220 16 "+3.3V" "43" 0x0) + Pad(2213 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2225 20 2225 270 16 "+3.3V" "43" 0x0) + Pad(2237 20 2237 220 16 "+3.3V" "43" 0x0) + Pad(2237 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2263 20 2287 20 16 "C/BE[1]*" "44" 0x0) + Pad(2263 20 2263 220 16 "C/BE[1]*" "44" 0x0) + Pad(2263 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2275 20 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2287 20 2287 220 16 "C/BE[1]*" "44" 0x0) + Pad(2287 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2313 20 2337 20 16 "AD[14]" "45" 0x0) + Pad(2313 20 2313 220 16 "AD[14]" "45" 0x0) + Pad(2313 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2325 20 2325 270 16 "AD[14]" "45" 0x0) + Pad(2337 20 2337 220 16 "AD[14]" "45" 0x0) + Pad(2337 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2363 20 2387 20 16 "Ground" "46" 0x0) + Pad(2363 20 2363 220 16 "Ground" "46" 0x0) + Pad(2363 220 2375 270 16 "Ground" "46" 0x0) + Pad(2375 20 2375 270 16 "Ground" "46" 0x0) + Pad(2387 20 2387 220 16 "Ground" "46" 0x0) + Pad(2387 220 2375 270 16 "Ground" "46" 0x0) + Pad(2413 20 2437 20 16 "AD[12]" "47" 0x0) + Pad(2413 20 2413 220 16 "AD[12]" "47" 0x0) + Pad(2413 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2425 20 2425 270 16 "AD[12]" "47" 0x0) + Pad(2437 20 2437 220 16 "AD[12]" "47" 0x0) + Pad(2437 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2463 20 2487 20 16 "AD[10]" "48" 0x0) + Pad(2463 20 2463 220 16 "AD[10]" "48" 0x0) + Pad(2463 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2475 20 2475 270 16 "AD[10]" "48" 0x0) + Pad(2487 20 2487 220 16 "AD[10]" "48" 0x0) + Pad(2487 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2513 20 2537 20 16 "Ground" "49" 0x0) + Pad(2513 20 2513 220 16 "Ground" "49" 0x0) + Pad(2513 220 2525 270 16 "Ground" "49" 0x0) + Pad(2525 20 2525 270 16 "Ground" "49" 0x0) + Pad(2537 20 2537 220 16 "Ground" "49" 0x0) + Pad(2537 220 2525 270 16 "Ground" "49" 0x0) + ElementLine(2662 282 3187 282 2) + ElementArc(2662 270 12 12 0 90 2) + ElementArc(3187 270 12 12 90 90 2) + ElementLine(2650 0 2650 270 1) + ElementLine(3199 0 3199 270 1) + ElementLine(-1525 282 -1525 -3918 1) + ElementLine(-1525 -3918 3199 -3918 1) + ElementLine(3199 -3918 3199 0 1) + ElementArc(-1250 7 125 125 0 360 1) + ElementArc(-1250 -3643 125 125 0 360 1) + Pad(2662 20 2686 20 16 "AD[08]" "50" 0x0) + Pad(2662 20 2662 220 16 "AD[08]" "50" 0x0) + Pad(2662 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2674 20 2674 270 16 "AD[08]" "50" 0x0) + Pad(2686 20 2686 220 16 "AD[08]" "50" 0x0) + Pad(2686 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2712 20 2736 20 16 "AD[07]" "51" 0x0) + Pad(2712 20 2712 220 16 "AD[07]" "51" 0x0) + Pad(2712 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2724 20 2724 270 16 "AD[07]" "51" 0x0) + Pad(2736 20 2736 220 16 "AD[07]" "51" 0x0) + Pad(2736 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2762 20 2786 20 16 "+3.3V" "52" 0x0) + Pad(2762 20 2762 220 16 "+3.3V" "52" 0x0) + Pad(2762 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2774 20 2774 270 16 "+3.3V" "52" 0x0) + Pad(2786 20 2786 220 16 "+3.3V" "52" 0x0) + Pad(2786 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2812 20 2836 20 16 "AD[05]" "53" 0x0) + Pad(2812 20 2812 220 16 "AD[05]" "53" 0x0) + Pad(2812 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2824 20 2824 270 16 "AD[05]" "53" 0x0) + Pad(2836 20 2836 220 16 "AD[05]" "53" 0x0) + Pad(2836 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2862 20 2886 20 16 "AD[03]" "54" 0x0) + Pad(2862 20 2862 220 16 "AD[03]" "54" 0x0) + Pad(2862 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2874 20 2874 270 16 "AD[03]" "54" 0x0) + Pad(2886 20 2886 220 16 "AD[03]" "54" 0x0) + Pad(2886 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2912 20 2936 20 16 "Ground" "55" 0x0) + Pad(2912 20 2912 220 16 "Ground" "55" 0x0) + Pad(2912 220 2924 270 16 "Ground" "55" 0x0) + Pad(2924 20 2924 270 16 "Ground" "55" 0x0) + Pad(2936 20 2936 220 16 "Ground" "55" 0x0) + Pad(2936 220 2924 270 16 "Ground" "55" 0x0) + Pad(2962 20 2986 20 16 "AD[01]" "56" 0x0) + Pad(2962 20 2962 220 16 "AD[01]" "56" 0x0) + Pad(2962 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(2974 20 2974 270 16 "AD[01]" "56" 0x0) + Pad(2986 20 2986 220 16 "AD[01]" "56" 0x0) + Pad(2986 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(3012 20 3036 20 16 "+5V" "57" 0x0) + Pad(3012 20 3012 220 16 "+5V" "57" 0x0) + Pad(3012 220 3024 270 16 "+5V" "57" 0x0) + Pad(3024 20 3024 270 16 "+5V" "57" 0x0) + Pad(3036 20 3036 220 16 "+5V" "57" 0x0) + Pad(3036 220 3024 270 16 "+5V" "57" 0x0) + Pad(3062 20 3086 20 16 "ACK64*" "58" 0x0) + Pad(3062 20 3062 220 16 "ACK64*" "58" 0x0) + Pad(3062 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3074 20 3074 270 16 "ACK64*" "58" 0x0) + Pad(3086 20 3086 220 16 "ACK64*" "58" 0x0) + Pad(3086 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3112 20 3136 20 16 "+5V" "59" 0x0) + Pad(3112 20 3112 220 16 "+5V" "59" 0x0) + Pad(3112 220 3124 270 16 "+5V" "59" 0x0) + Pad(3124 20 3124 270 16 "+5V" "59" 0x0) + Pad(3136 20 3136 220 16 "+5V" "59" 0x0) + Pad(3136 220 3124 270 16 "+5V" "59" 0x0) + Pad(3162 20 3186 20 16 "+5V" "60" 0x0) + Pad(3162 20 3162 220 16 "+5V" "60" 0x0) + Pad(3162 220 3174 270 16 "+5V" "60" 0x0) + Pad(3174 20 3174 270 16 "+5V" "60" 0x0) + Pad(3186 20 3186 220 16 "+5V" "60" 0x0) + Pad(3186 220 3174 270 16 "+5V" "60" 0x0) + Pad(113 20 137 20 16 "TRST*" "61" 0x80) + Pad(113 20 113 220 16 "TRST*" "61" 0x80) + Pad(113 220 125 270 16 "TRST*" "61" 0x80) + Pad(125 20 125 270 16 "TRST*" "61" 0x80) + Pad(137 20 137 220 16 "TRST*" "61" 0x80) + Pad(137 220 125 270 16 "TRST*" "61" 0x80) + Pad(163 20 187 20 16 "+12V" "62" 0x80) + Pad(163 20 163 220 16 "+12V" "62" 0x80) + Pad(163 220 175 270 16 "+12V" "62" 0x80) + Pad(175 20 175 270 16 "+12V" "62" 0x80) + Pad(187 20 187 220 16 "+12V" "62" 0x80) + Pad(187 220 175 270 16 "+12V" "62" 0x80) + Pad(213 20 237 20 16 "TMS" "63" 0x80) + Pad(213 20 213 220 16 "TMS" "63" 0x80) + Pad(213 220 225 270 16 "TMS" "63" 0x80) + Pad(225 20 225 270 16 "TMS" "63" 0x80) + Pad(237 20 237 220 16 "TMS" "63" 0x80) + Pad(237 220 225 270 16 "TMS" "63" 0x80) + Pad(263 20 287 20 16 "TDI" "64" 0x80) + Pad(263 20 263 220 16 "TDI" "64" 0x80) + Pad(263 220 275 270 16 "TDI" "64" 0x80) + Pad(275 20 275 270 16 "TDI" "64" 0x80) + Pad(287 20 287 220 16 "TDI" "64" 0x80) + Pad(287 220 275 270 16 "TDI" "64" 0x80) + Pad(313 20 337 20 16 "+5V" "65" 0x80) + Pad(313 20 313 220 16 "+5V" "65" 0x80) + Pad(313 220 325 270 16 "+5V" "65" 0x80) + Pad(325 20 325 270 16 "+5V" "65" 0x80) + Pad(337 20 337 220 16 "+5V" "65" 0x80) + Pad(337 220 325 270 16 "+5V" "65" 0x80) + Pad(363 20 387 20 16 "INTA*" "66" 0x80) + Pad(363 20 363 220 16 "INTA*" "66" 0x80) + Pad(363 220 375 270 16 "INTA*" "66" 0x80) + Pad(375 20 375 270 16 "INTA*" "66" 0x80) + Pad(387 20 387 220 16 "INTA*" "66" 0x80) + Pad(387 220 375 270 16 "INTA*" "66" 0x80) + Pad(413 20 437 20 16 "INTC*" "67" 0x80) + Pad(413 20 413 220 16 "INTC*" "67" 0x80) + Pad(413 220 425 270 16 "INTC*" "67" 0x80) + Pad(425 20 425 270 16 "INTC*" "67" 0x80) + Pad(437 20 437 220 16 "INTC*" "67" 0x80) + Pad(437 220 425 270 16 "INTC*" "67" 0x80) + Pad(463 20 487 20 16 "+5V" "68" 0x80) + Pad(463 20 463 220 16 "+5V" "68" 0x80) + Pad(463 220 475 270 16 "+5V" "68" 0x80) + Pad(475 20 475 270 16 "+5V" "68" 0x80) + Pad(487 20 487 220 16 "+5V" "68" 0x80) + Pad(487 220 475 270 16 "+5V" "68" 0x80) + Pad(513 20 537 20 16 "Reserved" "69" 0x80) + Pad(513 20 513 220 16 "Reserved" "69" 0x80) + Pad(513 220 525 270 16 "Reserved" "69" 0x80) + Pad(525 20 525 270 16 "Reserved" "69" 0x80) + Pad(537 20 537 220 16 "Reserved" "69" 0x80) + Pad(537 220 525 270 16 "Reserved" "69" 0x80) + Pad(563 20 587 20 16 "+5V" "70" 0x80) + Pad(563 20 563 220 16 "+5V" "70" 0x80) + Pad(563 220 575 270 16 "+5V" "70" 0x80) + Pad(575 20 575 270 16 "+5V" "70" 0x80) + Pad(587 20 587 220 16 "+5V" "70" 0x80) + Pad(587 220 575 270 16 "+5V" "70" 0x80) + Pad(613 20 637 20 16 "Reserved" "71" 0x80) + Pad(613 20 613 220 16 "Reserved" "71" 0x80) + Pad(613 220 625 270 16 "Reserved" "71" 0x80) + Pad(625 20 625 270 16 "Reserved" "71" 0x80) + Pad(637 20 637 220 16 "Reserved" "71" 0x80) + Pad(637 220 625 270 16 "Reserved" "71" 0x80) + Pad(663 20 687 20 16 "Ground" "72" 0x80) + Pad(663 20 663 220 16 "Ground" "72" 0x80) + Pad(663 220 675 270 16 "Ground" "72" 0x80) + Pad(675 20 675 270 16 "Ground" "72" 0x80) + Pad(687 20 687 220 16 "Ground" "72" 0x80) + Pad(687 220 675 270 16 "Ground" "72" 0x80) + Pad(713 20 737 20 16 "Ground" "73" 0x80) + Pad(713 20 713 220 16 "Ground" "73" 0x80) + Pad(713 220 725 270 16 "Ground" "73" 0x80) + Pad(725 20 725 270 16 "Ground" "73" 0x80) + Pad(737 20 737 220 16 "Ground" "73" 0x80) + Pad(737 220 725 270 16 "Ground" "73" 0x80) + Pad(763 20 787 20 16 "3.3Vaux" "74" 0x80) + Pad(763 20 763 220 16 "3.3Vaux" "74" 0x80) + Pad(763 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(775 20 775 270 16 "3.3Vaux" "74" 0x80) + Pad(787 20 787 220 16 "3.3Vaux" "74" 0x80) + Pad(787 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(813 20 837 20 16 "RST*" "75" 0x80) + Pad(813 20 813 220 16 "RST*" "75" 0x80) + Pad(813 220 825 270 16 "RST*" "75" 0x80) + Pad(825 20 825 270 16 "RST*" "75" 0x80) + Pad(837 20 837 220 16 "RST*" "75" 0x80) + Pad(837 220 825 270 16 "RST*" "75" 0x80) + Pad(863 20 887 20 16 "+5V" "76" 0x80) + Pad(863 20 863 220 16 "+5V" "76" 0x80) + Pad(863 220 875 270 16 "+5V" "76" 0x80) + Pad(875 20 875 270 16 "+5V" "76" 0x80) + Pad(887 20 887 220 16 "+5V" "76" 0x80) + Pad(887 220 875 270 16 "+5V" "76" 0x80) + Pad(913 20 937 20 16 "GNT*" "77" 0x80) + Pad(913 20 913 220 16 "GNT*" "77" 0x80) + Pad(913 220 925 270 16 "GNT*" "77" 0x80) + Pad(925 20 925 270 16 "GNT*" "77" 0x80) + Pad(937 20 937 220 16 "GNT*" "77" 0x80) + Pad(937 220 925 270 16 "GNT*" "77" 0x80) + Pad(963 20 987 20 16 "Ground" "78" 0x80) + Pad(963 20 963 220 16 "Ground" "78" 0x80) + Pad(963 220 975 270 16 "Ground" "78" 0x80) + Pad(975 20 975 270 16 "Ground" "78" 0x80) + Pad(987 20 987 220 16 "Ground" "78" 0x80) + Pad(987 220 975 270 16 "Ground" "78" 0x80) + Pad(1013 20 1037 20 16 "PME*" "79" 0x80) + Pad(1013 20 1013 220 16 "PME*" "79" 0x80) + Pad(1013 220 1025 270 16 "PME*" "79" 0x80) + Pad(1025 20 1025 270 16 "PME*" "79" 0x80) + Pad(1037 20 1037 220 16 "PME*" "79" 0x80) + Pad(1037 220 1025 270 16 "PME*" "79" 0x80) + Pad(1063 20 1087 20 16 "AD[30]" "80" 0x80) + Pad(1063 20 1063 220 16 "AD[30]" "80" 0x80) + Pad(1063 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1075 20 1075 270 16 "AD[30]" "80" 0x80) + Pad(1087 20 1087 220 16 "AD[30]" "80" 0x80) + Pad(1087 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1113 20 1137 20 16 "+3.3V" "81" 0x80) + Pad(1113 20 1113 220 16 "+3.3V" "81" 0x80) + Pad(1113 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1125 20 1125 270 16 "+3.3V" "81" 0x80) + Pad(1137 20 1137 220 16 "+3.3V" "81" 0x80) + Pad(1137 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1163 20 1187 20 16 "AD[28]" "82" 0x80) + Pad(1163 20 1163 220 16 "AD[28]" "82" 0x80) + Pad(1163 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1175 20 1175 270 16 "AD[28]" "82" 0x80) + Pad(1187 20 1187 220 16 "AD[28]" "82" 0x80) + Pad(1187 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1213 20 1237 20 16 "AD[26]" "83" 0x80) + Pad(1213 20 1213 220 16 "AD[26]" "83" 0x80) + Pad(1213 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1225 20 1225 270 16 "AD[26]" "83" 0x80) + Pad(1237 20 1237 220 16 "AD[26]" "83" 0x80) + Pad(1237 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1263 20 1287 20 16 "Ground" "84" 0x80) + Pad(1263 20 1263 220 16 "Ground" "84" 0x80) + Pad(1263 220 1275 270 16 "Ground" "84" 0x80) + Pad(1275 20 1275 270 16 "Ground" "84" 0x80) + Pad(1287 20 1287 220 16 "Ground" "84" 0x80) + Pad(1287 220 1275 270 16 "Ground" "84" 0x80) + Pad(1313 20 1337 20 16 "AD[24]" "85" 0x80) + Pad(1313 20 1313 220 16 "AD[24]" "85" 0x80) + Pad(1313 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1325 20 1325 270 16 "AD[24]" "85" 0x80) + Pad(1337 20 1337 220 16 "AD[24]" "85" 0x80) + Pad(1337 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1363 20 1387 20 16 "IDSEL" "86" 0x80) + Pad(1363 20 1363 220 16 "IDSEL" "86" 0x80) + Pad(1363 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1375 20 1375 270 16 "IDSEL" "86" 0x80) + Pad(1387 20 1387 220 16 "IDSEL" "86" 0x80) + Pad(1387 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1413 20 1437 20 16 "+3.3V" "87" 0x80) + Pad(1413 20 1413 220 16 "+3.3V" "87" 0x80) + Pad(1413 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1425 20 1425 270 16 "+3.3V" "87" 0x80) + Pad(1437 20 1437 220 16 "+3.3V" "87" 0x80) + Pad(1437 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1463 20 1487 20 16 "AD[22]" "88" 0x80) + Pad(1463 20 1463 220 16 "AD[22]" "88" 0x80) + Pad(1463 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1475 20 1475 270 16 "AD[22]" "88" 0x80) + Pad(1487 20 1487 220 16 "AD[22]" "88" 0x80) + Pad(1487 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1513 20 1537 20 16 "AD[20]" "89" 0x80) + Pad(1513 20 1513 220 16 "AD[20]" "89" 0x80) + Pad(1513 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1525 20 1525 270 16 "AD[20]" "89" 0x80) + Pad(1537 20 1537 220 16 "AD[20]" "89" 0x80) + Pad(1537 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1563 20 1587 20 16 "Ground" "90" 0x80) + Pad(1563 20 1563 220 16 "Ground" "90" 0x80) + Pad(1563 220 1575 270 16 "Ground" "90" 0x80) + Pad(1575 20 1575 270 16 "Ground" "90" 0x80) + Pad(1587 20 1587 220 16 "Ground" "90" 0x80) + Pad(1587 220 1575 270 16 "Ground" "90" 0x80) + Pad(1613 20 1637 20 16 "AD[18]" "91" 0x80) + Pad(1613 20 1613 220 16 "AD[18]" "91" 0x80) + Pad(1613 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1625 20 1625 270 16 "AD[18]" "91" 0x80) + Pad(1637 20 1637 220 16 "AD[18]" "91" 0x80) + Pad(1637 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1663 20 1687 20 16 "AD[16]" "92" 0x80) + Pad(1663 20 1663 220 16 "AD[16]" "92" 0x80) + Pad(1663 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1675 20 1675 270 16 "AD[16]" "92" 0x80) + Pad(1687 20 1687 220 16 "AD[16]" "92" 0x80) + Pad(1687 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1713 20 1737 20 16 "+3.3V" "93" 0x80) + Pad(1713 20 1713 220 16 "+3.3V" "93" 0x80) + Pad(1713 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1725 20 1725 270 16 "+3.3V" "93" 0x80) + Pad(1737 20 1737 220 16 "+3.3V" "93" 0x80) + Pad(1737 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1763 20 1787 20 16 "FRAME*" "94" 0x80) + Pad(1763 20 1763 220 16 "FRAME*" "94" 0x80) + Pad(1763 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1775 20 1775 270 16 "FRAME*" "94" 0x80) + Pad(1787 20 1787 220 16 "FRAME*" "94" 0x80) + Pad(1787 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1813 20 1837 20 16 "Ground" "95" 0x80) + Pad(1813 20 1813 220 16 "Ground" "95" 0x80) + Pad(1813 220 1825 270 16 "Ground" "95" 0x80) + Pad(1825 20 1825 270 16 "Ground" "95" 0x80) + Pad(1837 20 1837 220 16 "Ground" "95" 0x80) + Pad(1837 220 1825 270 16 "Ground" "95" 0x80) + Pad(1863 20 1887 20 16 "TRDY*" "96" 0x80) + Pad(1863 20 1863 220 16 "TRDY*" "96" 0x80) + Pad(1863 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1875 20 1875 270 16 "TRDY*" "96" 0x80) + Pad(1887 20 1887 220 16 "TRDY*" "96" 0x80) + Pad(1887 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1913 20 1937 20 16 "Ground" "97" 0x80) + Pad(1913 20 1913 220 16 "Ground" "97" 0x80) + Pad(1913 220 1925 270 16 "Ground" "97" 0x80) + Pad(1925 20 1925 270 16 "Ground" "97" 0x80) + Pad(1937 20 1937 220 16 "Ground" "97" 0x80) + Pad(1937 220 1925 270 16 "Ground" "97" 0x80) + Pad(1963 20 1987 20 16 "STOP*" "98" 0x80) + Pad(1963 20 1963 220 16 "STOP*" "98" 0x80) + Pad(1963 220 1975 270 16 "STOP*" "98" 0x80) + Pad(1975 20 1975 270 16 "STOP*" "98" 0x80) + Pad(1987 20 1987 220 16 "STOP*" "98" 0x80) + Pad(1987 220 1975 270 16 "STOP*" "98" 0x80) + Pad(2013 20 2037 20 16 "+3.3V" "99" 0x80) + Pad(2013 20 2013 220 16 "+3.3V" "99" 0x80) + Pad(2013 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2025 20 2025 270 16 "+3.3V" "99" 0x80) + Pad(2037 20 2037 220 16 "+3.3V" "99" 0x80) + Pad(2037 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2063 20 2087 20 16 "Reserved" "100" 0x80) + Pad(2063 20 2063 220 16 "Reserved" "100" 0x80) + Pad(2063 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2075 20 2075 270 16 "Reserved" "100" 0x80) + Pad(2087 20 2087 220 16 "Reserved" "100" 0x80) + Pad(2087 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2113 20 2137 20 16 "Reserved" "101" 0x80) + Pad(2113 20 2113 220 16 "Reserved" "101" 0x80) + Pad(2113 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2125 20 2125 270 16 "Reserved" "101" 0x80) + Pad(2137 20 2137 220 16 "Reserved" "101" 0x80) + Pad(2137 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2163 20 2187 20 16 "Ground" "102" 0x80) + Pad(2163 20 2163 220 16 "Ground" "102" 0x80) + Pad(2163 220 2175 270 16 "Ground" "102" 0x80) + Pad(2175 20 2175 270 16 "Ground" "102" 0x80) + Pad(2187 20 2187 220 16 "Ground" "102" 0x80) + Pad(2187 220 2175 270 16 "Ground" "102" 0x80) + Pad(2213 20 2237 20 16 "PAR" "103" 0x80) + Pad(2213 20 2213 220 16 "PAR" "103" 0x80) + Pad(2213 220 2225 270 16 "PAR" "103" 0x80) + Pad(2225 20 2225 270 16 "PAR" "103" 0x80) + Pad(2237 20 2237 220 16 "PAR" "103" 0x80) + Pad(2237 220 2225 270 16 "PAR" "103" 0x80) + Pad(2263 20 2287 20 16 "AD[15]" "104" 0x80) + Pad(2263 20 2263 220 16 "AD[15]" "104" 0x80) + Pad(2263 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2275 20 2275 270 16 "AD[15]" "104" 0x80) + Pad(2287 20 2287 220 16 "AD[15]" "104" 0x80) + Pad(2287 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2313 20 2337 20 16 "+3.3V" "105" 0x80) + Pad(2313 20 2313 220 16 "+3.3V" "105" 0x80) + Pad(2313 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2325 20 2325 270 16 "+3.3V" "105" 0x80) + Pad(2337 20 2337 220 16 "+3.3V" "105" 0x80) + Pad(2337 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2363 20 2387 20 16 "AD[13]" "106" 0x80) + Pad(2363 20 2363 220 16 "AD[13]" "106" 0x80) + Pad(2363 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2375 20 2375 270 16 "AD[13]" "106" 0x80) + Pad(2387 20 2387 220 16 "AD[13]" "106" 0x80) + Pad(2387 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2413 20 2437 20 16 "AD[11]" "107" 0x80) + Pad(2413 20 2413 220 16 "AD[11]" "107" 0x80) + Pad(2413 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2425 20 2425 270 16 "AD[11]" "107" 0x80) + Pad(2437 20 2437 220 16 "AD[11]" "107" 0x80) + Pad(2437 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2463 20 2487 20 16 "Ground" "108" 0x80) + Pad(2463 20 2463 220 16 "Ground" "108" 0x80) + Pad(2463 220 2475 270 16 "Ground" "108" 0x80) + Pad(2475 20 2475 270 16 "Ground" "108" 0x80) + Pad(2487 20 2487 220 16 "Ground" "108" 0x80) + Pad(2487 220 2475 270 16 "Ground" "108" 0x80) + Pad(2513 20 2537 20 16 "AD[09]" "109" 0x80) + Pad(2513 20 2513 220 16 "AD[09]" "109" 0x80) + Pad(2513 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2525 20 2525 270 16 "AD[09]" "109" 0x80) + Pad(2537 20 2537 220 16 "AD[09]" "109" 0x80) + Pad(2537 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2662 20 2686 20 16 "C/BE[0]*" "110" 0x80) + Pad(2662 20 2662 220 16 "C/BE[0]*" "110" 0x80) + Pad(2662 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2674 20 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2686 20 2686 220 16 "C/BE[0]*" "110" 0x80) + Pad(2686 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2712 20 2736 20 16 "+3.3V" "111" 0x80) + Pad(2712 20 2712 220 16 "+3.3V" "111" 0x80) + Pad(2712 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2724 20 2724 270 16 "+3.3V" "111" 0x80) + Pad(2736 20 2736 220 16 "+3.3V" "111" 0x80) + Pad(2736 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2762 20 2786 20 16 "AD[06]" "112" 0x80) + Pad(2762 20 2762 220 16 "AD[06]" "112" 0x80) + Pad(2762 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2774 20 2774 270 16 "AD[06]" "112" 0x80) + Pad(2786 20 2786 220 16 "AD[06]" "112" 0x80) + Pad(2786 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2812 20 2836 20 16 "AD[04]" "113" 0x80) + Pad(2812 20 2812 220 16 "AD[04]" "113" 0x80) + Pad(2812 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2824 20 2824 270 16 "AD[04]" "113" 0x80) + Pad(2836 20 2836 220 16 "AD[04]" "113" 0x80) + Pad(2836 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2862 20 2886 20 16 "Ground" "114" 0x80) + Pad(2862 20 2862 220 16 "Ground" "114" 0x80) + Pad(2862 220 2874 270 16 "Ground" "114" 0x80) + Pad(2874 20 2874 270 16 "Ground" "114" 0x80) + Pad(2886 20 2886 220 16 "Ground" "114" 0x80) + Pad(2886 220 2874 270 16 "Ground" "114" 0x80) + Pad(2912 20 2936 20 16 "AD[02]" "115" 0x80) + Pad(2912 20 2912 220 16 "AD[02]" "115" 0x80) + Pad(2912 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2924 20 2924 270 16 "AD[02]" "115" 0x80) + Pad(2936 20 2936 220 16 "AD[02]" "115" 0x80) + Pad(2936 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2962 20 2986 20 16 "AD[00]" "116" 0x80) + Pad(2962 20 2962 220 16 "AD[00]" "116" 0x80) + Pad(2962 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(2974 20 2974 270 16 "AD[00]" "116" 0x80) + Pad(2986 20 2986 220 16 "AD[00]" "116" 0x80) + Pad(2986 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(3012 20 3036 20 16 "+5V" "117" 0x80) + Pad(3012 20 3012 220 16 "+5V" "117" 0x80) + Pad(3012 220 3024 270 16 "+5V" "117" 0x80) + Pad(3024 20 3024 270 16 "+5V" "117" 0x80) + Pad(3036 20 3036 220 16 "+5V" "117" 0x80) + Pad(3036 220 3024 270 16 "+5V" "117" 0x80) + Pad(3062 20 3086 20 16 "REQ64*" "118" 0x80) + Pad(3062 20 3062 220 16 "REQ64*" "118" 0x80) + Pad(3062 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3074 20 3074 270 16 "REQ64*" "118" 0x80) + Pad(3086 20 3086 220 16 "REQ64*" "118" 0x80) + Pad(3086 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3112 20 3136 20 16 "+5V" "119" 0x80) + Pad(3112 20 3112 220 16 "+5V" "119" 0x80) + Pad(3112 220 3124 270 16 "+5V" "119" 0x80) + Pad(3124 20 3124 270 16 "+5V" "119" 0x80) + Pad(3136 20 3136 220 16 "+5V" "119" 0x80) + Pad(3136 220 3124 270 16 "+5V" "119" 0x80) + Pad(3162 20 3186 20 16 "+5V" "120" 0x80) + Pad(3162 20 3162 220 16 "+5V" "120" 0x80) + Pad(3162 220 3174 270 16 "+5V" "120" 0x80) + Pad(3174 20 3174 270 16 "+5V" "120" 0x80) + Pad(3186 20 3186 220 16 "+5V" "120" 0x80) + Pad(3186 220 3174 270 16 "+5V" "120" 0x80) +) Index: oldlib/lib/pcblib-newlib/pci/PCI5V_MIN_HEIGHT.fp =================================================================== --- oldlib/lib/pcblib-newlib/pci/PCI5V_MIN_HEIGHT.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/pci/PCI5V_MIN_HEIGHT.fp (revision 1033) @@ -0,0 +1,746 @@ +Element(0x00 "PCI 5V Array Min Height" "" "PCI5V_MIN_HEIGHT" 1600 10 1 30 0x00) +( + Mark(1600 135) + ElementArc(1 0 100 100 180 90 1) + ElementLine(1 -100 -799 -100 1) + ElementArc(-799 0 100 100 270 90 1) + ElementLine(-899 0 -899 270 1) + ElementArc(-911 270 12 12 90 90 1) + ElementLine(-911 282 -1525 282 1) + ElementLine(113 282 2538 282 2) + ElementArc(113 270 12 12 0 90 2) + ElementArc(2538 270 12 12 90 90 2) + ElementLine(101 0 101 270 1) + ElementLine(2550 0 2550 270 1) + ElementArc(2600 0 50 50 180 180 1) + Pad(113 20 137 20 16 "-12V" "1" 0x0) + Pad(113 20 113 220 16 "-12V" "1" 0x0) + Pad(113 220 125 270 16 "-12V" "1" 0x0) + Pad(125 20 125 270 16 "-12V" "1" 0x0) + Pad(137 20 137 220 16 "-12V" "1" 0x0) + Pad(137 220 125 270 16 "-12V" "1" 0x0) + Pad(163 20 187 20 16 "TCK" "2" 0x0) + Pad(163 20 163 220 16 "TCK" "2" 0x0) + Pad(163 220 175 270 16 "TCK" "2" 0x0) + Pad(175 20 175 270 16 "TCK" "2" 0x0) + Pad(187 20 187 220 16 "TCK" "2" 0x0) + Pad(187 220 175 270 16 "TCK" "2" 0x0) + Pad(213 20 237 20 16 "Ground" "3" 0x0) + Pad(213 20 213 220 16 "Ground" "3" 0x0) + Pad(213 220 225 270 16 "Ground" "3" 0x0) + Pad(225 20 225 270 16 "Ground" "3" 0x0) + Pad(237 20 237 220 16 "Ground" "3" 0x0) + Pad(237 220 225 270 16 "Ground" "3" 0x0) + Pad(263 20 287 20 16 "TD0" "4" 0x0) + Pad(263 20 263 220 16 "TD0" "4" 0x0) + Pad(263 220 275 270 16 "TD0" "4" 0x0) + Pad(275 20 275 270 16 "TD0" "4" 0x0) + Pad(287 20 287 220 16 "TD0" "4" 0x0) + Pad(287 220 275 270 16 "TD0" "4" 0x0) + Pad(313 20 337 20 16 "+5V" "5" 0x0) + Pad(313 20 313 220 16 "+5V" "5" 0x0) + Pad(313 220 325 270 16 "+5V" "5" 0x0) + Pad(325 20 325 270 16 "+5V" "5" 0x0) + Pad(337 20 337 220 16 "+5V" "5" 0x0) + Pad(337 220 325 270 16 "+5V" "5" 0x0) + Pad(363 20 387 20 16 "+5V" "6" 0x0) + Pad(363 20 363 220 16 "+5V" "6" 0x0) + Pad(363 220 375 270 16 "+5V" "6" 0x0) + Pad(375 20 375 270 16 "+5V" "6" 0x0) + Pad(387 20 387 220 16 "+5V" "6" 0x0) + Pad(387 220 375 270 16 "+5V" "6" 0x0) + Pad(413 20 437 20 16 "INTB*" "7" 0x0) + Pad(413 20 413 220 16 "INTB*" "7" 0x0) + Pad(413 220 425 270 16 "INTB*" "7" 0x0) + Pad(425 20 425 270 16 "INTB*" "7" 0x0) + Pad(437 20 437 220 16 "INTB*" "7" 0x0) + Pad(437 220 425 270 16 "INTB*" "7" 0x0) + Pad(463 20 487 20 16 "INTD*" "8" 0x0) + Pad(463 20 463 220 16 "INTD*" "8" 0x0) + Pad(463 220 475 270 16 "INTD*" "8" 0x0) + Pad(475 20 475 270 16 "INTD*" "8" 0x0) + Pad(487 20 487 220 16 "INTD*" "8" 0x0) + Pad(487 220 475 270 16 "INTD*" "8" 0x0) + Pad(513 20 537 20 16 "PRSNT1*" "9" 0x0) + Pad(513 20 513 220 16 "PRSNT1*" "9" 0x0) + Pad(513 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(525 20 525 270 16 "PRSNT1*" "9" 0x0) + Pad(537 20 537 220 16 "PRSNT1*" "9" 0x0) + Pad(537 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(563 20 587 20 16 "Reserved" "10" 0x0) + Pad(563 20 563 220 16 "Reserved" "10" 0x0) + Pad(563 220 575 270 16 "Reserved" "10" 0x0) + Pad(575 20 575 270 16 "Reserved" "10" 0x0) + Pad(587 20 587 220 16 "Reserved" "10" 0x0) + Pad(587 220 575 270 16 "Reserved" "10" 0x0) + Pad(613 20 637 20 16 "PRSNT2*" "11" 0x0) + Pad(613 20 613 220 16 "PRSNT2*" "11" 0x0) + Pad(613 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(625 20 625 270 16 "PRSNT2*" "11" 0x0) + Pad(637 20 637 220 16 "PRSNT2*" "11" 0x0) + Pad(637 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(663 20 687 20 16 "Ground" "12" 0x0) + Pad(663 20 663 220 16 "Ground" "12" 0x0) + Pad(663 220 675 270 16 "Ground" "12" 0x0) + Pad(675 20 675 270 16 "Ground" "12" 0x0) + Pad(687 20 687 220 16 "Ground" "12" 0x0) + Pad(687 220 675 270 16 "Ground" "12" 0x0) + Pad(713 20 737 20 16 "Ground" "13" 0x0) + Pad(713 20 713 220 16 "Ground" "13" 0x0) + Pad(713 220 725 270 16 "Ground" "13" 0x0) + Pad(725 20 725 270 16 "Ground" "13" 0x0) + Pad(737 20 737 220 16 "Ground" "13" 0x0) + Pad(737 220 725 270 16 "Ground" "13" 0x0) + Pad(763 20 787 20 16 "Reserved" "14" 0x0) + Pad(763 20 763 220 16 "Reserved" "14" 0x0) + Pad(763 220 775 270 16 "Reserved" "14" 0x0) + Pad(775 20 775 270 16 "Reserved" "14" 0x0) + Pad(787 20 787 220 16 "Reserved" "14" 0x0) + Pad(787 220 775 270 16 "Reserved" "14" 0x0) + Pad(813 20 837 20 16 "Ground" "15" 0x0) + Pad(813 20 813 220 16 "Ground" "15" 0x0) + Pad(813 220 825 270 16 "Ground" "15" 0x0) + Pad(825 20 825 270 16 "Ground" "15" 0x0) + Pad(837 20 837 220 16 "Ground" "15" 0x0) + Pad(837 220 825 270 16 "Ground" "15" 0x0) + Pad(863 20 887 20 16 "CLK" "16" 0x0) + Pad(863 20 863 220 16 "CLK" "16" 0x0) + Pad(863 220 875 270 16 "CLK" "16" 0x0) + Pad(875 20 875 270 16 "CLK" "16" 0x0) + Pad(887 20 887 220 16 "CLK" "16" 0x0) + Pad(887 220 875 270 16 "CLK" "16" 0x0) + Pad(913 20 937 20 16 "Ground" "17" 0x0) + Pad(913 20 913 220 16 "Ground" "17" 0x0) + Pad(913 220 925 270 16 "Ground" "17" 0x0) + Pad(925 20 925 270 16 "Ground" "17" 0x0) + Pad(937 20 937 220 16 "Ground" "17" 0x0) + Pad(937 220 925 270 16 "Ground" "17" 0x0) + Pad(963 20 987 20 16 "REQ*" "18" 0x0) + Pad(963 20 963 220 16 "REQ*" "18" 0x0) + Pad(963 220 975 270 16 "REQ*" "18" 0x0) + Pad(975 20 975 270 16 "REQ*" "18" 0x0) + Pad(987 20 987 220 16 "REQ*" "18" 0x0) + Pad(987 220 975 270 16 "REQ*" "18" 0x0) + Pad(1013 20 1037 20 16 "+5V" "19" 0x0) + Pad(1013 20 1013 220 16 "+5V" "19" 0x0) + Pad(1013 220 1025 270 16 "+5V" "19" 0x0) + Pad(1025 20 1025 270 16 "+5V" "19" 0x0) + Pad(1037 20 1037 220 16 "+5V" "19" 0x0) + Pad(1037 220 1025 270 16 "+5V" "19" 0x0) + Pad(1063 20 1087 20 16 "AD[31]" "20" 0x0) + Pad(1063 20 1063 220 16 "AD[31]" "20" 0x0) + Pad(1063 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1075 20 1075 270 16 "AD[31]" "20" 0x0) + Pad(1087 20 1087 220 16 "AD[31]" "20" 0x0) + Pad(1087 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1113 20 1137 20 16 "AD[29]" "21" 0x0) + Pad(1113 20 1113 220 16 "AD[29]" "21" 0x0) + Pad(1113 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1125 20 1125 270 16 "AD[29]" "21" 0x0) + Pad(1137 20 1137 220 16 "AD[29]" "21" 0x0) + Pad(1137 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1163 20 1187 20 16 "Ground" "22" 0x0) + Pad(1163 20 1163 220 16 "Ground" "22" 0x0) + Pad(1163 220 1175 270 16 "Ground" "22" 0x0) + Pad(1175 20 1175 270 16 "Ground" "22" 0x0) + Pad(1187 20 1187 220 16 "Ground" "22" 0x0) + Pad(1187 220 1175 270 16 "Ground" "22" 0x0) + Pad(1213 20 1237 20 16 "AD[27]" "23" 0x0) + Pad(1213 20 1213 220 16 "AD[27]" "23" 0x0) + Pad(1213 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1225 20 1225 270 16 "AD[27]" "23" 0x0) + Pad(1237 20 1237 220 16 "AD[27]" "23" 0x0) + Pad(1237 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1263 20 1287 20 16 "AD[25]" "24" 0x0) + Pad(1263 20 1263 220 16 "AD[25]" "24" 0x0) + Pad(1263 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1275 20 1275 270 16 "AD[25]" "24" 0x0) + Pad(1287 20 1287 220 16 "AD[25]" "24" 0x0) + Pad(1287 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1313 20 1337 20 16 "+3.3V" "25" 0x0) + Pad(1313 20 1313 220 16 "+3.3V" "25" 0x0) + Pad(1313 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1325 20 1325 270 16 "+3.3V" "25" 0x0) + Pad(1337 20 1337 220 16 "+3.3V" "25" 0x0) + Pad(1337 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1363 20 1387 20 16 "C/BE[3]*" "26" 0x0) + Pad(1363 20 1363 220 16 "C/BE[3]*" "26" 0x0) + Pad(1363 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1375 20 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1387 20 1387 220 16 "C/BE[3]*" "26" 0x0) + Pad(1387 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1413 20 1437 20 16 "AD[23]" "27" 0x0) + Pad(1413 20 1413 220 16 "AD[23]" "27" 0x0) + Pad(1413 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1425 20 1425 270 16 "AD[23]" "27" 0x0) + Pad(1437 20 1437 220 16 "AD[23]" "27" 0x0) + Pad(1437 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1463 20 1487 20 16 "Ground" "28" 0x0) + Pad(1463 20 1463 220 16 "Ground" "28" 0x0) + Pad(1463 220 1475 270 16 "Ground" "28" 0x0) + Pad(1475 20 1475 270 16 "Ground" "28" 0x0) + Pad(1487 20 1487 220 16 "Ground" "28" 0x0) + Pad(1487 220 1475 270 16 "Ground" "28" 0x0) + Pad(1513 20 1537 20 16 "AD[21]" "29" 0x0) + Pad(1513 20 1513 220 16 "AD[21]" "29" 0x0) + Pad(1513 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1525 20 1525 270 16 "AD[21]" "29" 0x0) + Pad(1537 20 1537 220 16 "AD[21]" "29" 0x0) + Pad(1537 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1563 20 1587 20 16 "AD[19]" "30" 0x0) + Pad(1563 20 1563 220 16 "AD[19]" "30" 0x0) + Pad(1563 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1575 20 1575 270 16 "AD[19]" "30" 0x0) + Pad(1587 20 1587 220 16 "AD[19]" "30" 0x0) + Pad(1587 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1613 20 1637 20 16 "+3.3V" "31" 0x0) + Pad(1613 20 1613 220 16 "+3.3V" "31" 0x0) + Pad(1613 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1625 20 1625 270 16 "+3.3V" "31" 0x0) + Pad(1637 20 1637 220 16 "+3.3V" "31" 0x0) + Pad(1637 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1663 20 1687 20 16 "AD[17]" "32" 0x0) + Pad(1663 20 1663 220 16 "AD[17]" "32" 0x0) + Pad(1663 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1675 20 1675 270 16 "AD[17]" "32" 0x0) + Pad(1687 20 1687 220 16 "AD[17]" "32" 0x0) + Pad(1687 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1713 20 1737 20 16 "C/BE[2]*" "33" 0x0) + Pad(1713 20 1713 220 16 "C/BE[2]*" "33" 0x0) + Pad(1713 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1725 20 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1737 20 1737 220 16 "C/BE[2]*" "33" 0x0) + Pad(1737 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1763 20 1787 20 16 "Ground" "34" 0x0) + Pad(1763 20 1763 220 16 "Ground" "34" 0x0) + Pad(1763 220 1775 270 16 "Ground" "34" 0x0) + Pad(1775 20 1775 270 16 "Ground" "34" 0x0) + Pad(1787 20 1787 220 16 "Ground" "34" 0x0) + Pad(1787 220 1775 270 16 "Ground" "34" 0x0) + Pad(1813 20 1837 20 16 "IRDY*" "35" 0x0) + Pad(1813 20 1813 220 16 "IRDY*" "35" 0x0) + Pad(1813 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1825 20 1825 270 16 "IRDY*" "35" 0x0) + Pad(1837 20 1837 220 16 "IRDY*" "35" 0x0) + Pad(1837 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1863 20 1887 20 16 "+3.3V" "36" 0x0) + Pad(1863 20 1863 220 16 "+3.3V" "36" 0x0) + Pad(1863 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1875 20 1875 270 16 "+3.3V" "36" 0x0) + Pad(1887 20 1887 220 16 "+3.3V" "36" 0x0) + Pad(1887 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1913 20 1937 20 16 "DEVSEL*" "37" 0x0) + Pad(1913 20 1913 220 16 "DEVSEL*" "37" 0x0) + Pad(1913 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1925 20 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1937 20 1937 220 16 "DEVSEL*" "37" 0x0) + Pad(1937 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1963 20 1987 20 16 "Ground" "38" 0x0) + Pad(1963 20 1963 220 16 "Ground" "38" 0x0) + Pad(1963 220 1975 270 16 "Ground" "38" 0x0) + Pad(1975 20 1975 270 16 "Ground" "38" 0x0) + Pad(1987 20 1987 220 16 "Ground" "38" 0x0) + Pad(1987 220 1975 270 16 "Ground" "38" 0x0) + Pad(2013 20 2037 20 16 "LOCK*" "39" 0x0) + Pad(2013 20 2013 220 16 "LOCK*" "39" 0x0) + Pad(2013 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2025 20 2025 270 16 "LOCK*" "39" 0x0) + Pad(2037 20 2037 220 16 "LOCK*" "39" 0x0) + Pad(2037 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2063 20 2087 20 16 "PERR*" "40" 0x0) + Pad(2063 20 2063 220 16 "PERR*" "40" 0x0) + Pad(2063 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2075 20 2075 270 16 "PERR*" "40" 0x0) + Pad(2087 20 2087 220 16 "PERR*" "40" 0x0) + Pad(2087 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2113 20 2137 20 16 "+3.3V" "41" 0x0) + Pad(2113 20 2113 220 16 "+3.3V" "41" 0x0) + Pad(2113 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2125 20 2125 270 16 "+3.3V" "41" 0x0) + Pad(2137 20 2137 220 16 "+3.3V" "41" 0x0) + Pad(2137 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2163 20 2187 20 16 "SERR*" "42" 0x0) + Pad(2163 20 2163 220 16 "SERR*" "42" 0x0) + Pad(2163 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2175 20 2175 270 16 "SERR*" "42" 0x0) + Pad(2187 20 2187 220 16 "SERR*" "42" 0x0) + Pad(2187 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2213 20 2237 20 16 "+3.3V" "43" 0x0) + Pad(2213 20 2213 220 16 "+3.3V" "43" 0x0) + Pad(2213 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2225 20 2225 270 16 "+3.3V" "43" 0x0) + Pad(2237 20 2237 220 16 "+3.3V" "43" 0x0) + Pad(2237 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2263 20 2287 20 16 "C/BE[1]*" "44" 0x0) + Pad(2263 20 2263 220 16 "C/BE[1]*" "44" 0x0) + Pad(2263 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2275 20 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2287 20 2287 220 16 "C/BE[1]*" "44" 0x0) + Pad(2287 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2313 20 2337 20 16 "AD[14]" "45" 0x0) + Pad(2313 20 2313 220 16 "AD[14]" "45" 0x0) + Pad(2313 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2325 20 2325 270 16 "AD[14]" "45" 0x0) + Pad(2337 20 2337 220 16 "AD[14]" "45" 0x0) + Pad(2337 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2363 20 2387 20 16 "Ground" "46" 0x0) + Pad(2363 20 2363 220 16 "Ground" "46" 0x0) + Pad(2363 220 2375 270 16 "Ground" "46" 0x0) + Pad(2375 20 2375 270 16 "Ground" "46" 0x0) + Pad(2387 20 2387 220 16 "Ground" "46" 0x0) + Pad(2387 220 2375 270 16 "Ground" "46" 0x0) + Pad(2413 20 2437 20 16 "AD[12]" "47" 0x0) + Pad(2413 20 2413 220 16 "AD[12]" "47" 0x0) + Pad(2413 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2425 20 2425 270 16 "AD[12]" "47" 0x0) + Pad(2437 20 2437 220 16 "AD[12]" "47" 0x0) + Pad(2437 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2463 20 2487 20 16 "AD[10]" "48" 0x0) + Pad(2463 20 2463 220 16 "AD[10]" "48" 0x0) + Pad(2463 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2475 20 2475 270 16 "AD[10]" "48" 0x0) + Pad(2487 20 2487 220 16 "AD[10]" "48" 0x0) + Pad(2487 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2513 20 2537 20 16 "Ground" "49" 0x0) + Pad(2513 20 2513 220 16 "Ground" "49" 0x0) + Pad(2513 220 2525 270 16 "Ground" "49" 0x0) + Pad(2525 20 2525 270 16 "Ground" "49" 0x0) + Pad(2537 20 2537 220 16 "Ground" "49" 0x0) + Pad(2537 220 2525 270 16 "Ground" "49" 0x0) + ElementLine(2662 282 3187 282 2) + ElementArc(2662 270 12 12 0 90 2) + ElementArc(3187 270 12 12 90 90 2) + ElementLine(2650 0 2650 270 1) + ElementLine(3199 0 3199 270 1) + ElementLine(-1525 282 -1525 -1138 1) + ElementLine(-1525 -1138 3199 -1138 1) + ElementLine(3199 -1138 3199 0 1) + ElementArc(-1250 7 125 125 0 360 1) + ElementArc(-1250 -863 125 125 0 360 1) + Pad(2662 20 2686 20 16 "AD[08]" "50" 0x0) + Pad(2662 20 2662 220 16 "AD[08]" "50" 0x0) + Pad(2662 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2674 20 2674 270 16 "AD[08]" "50" 0x0) + Pad(2686 20 2686 220 16 "AD[08]" "50" 0x0) + Pad(2686 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2712 20 2736 20 16 "AD[07]" "51" 0x0) + Pad(2712 20 2712 220 16 "AD[07]" "51" 0x0) + Pad(2712 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2724 20 2724 270 16 "AD[07]" "51" 0x0) + Pad(2736 20 2736 220 16 "AD[07]" "51" 0x0) + Pad(2736 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2762 20 2786 20 16 "+3.3V" "52" 0x0) + Pad(2762 20 2762 220 16 "+3.3V" "52" 0x0) + Pad(2762 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2774 20 2774 270 16 "+3.3V" "52" 0x0) + Pad(2786 20 2786 220 16 "+3.3V" "52" 0x0) + Pad(2786 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2812 20 2836 20 16 "AD[05]" "53" 0x0) + Pad(2812 20 2812 220 16 "AD[05]" "53" 0x0) + Pad(2812 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2824 20 2824 270 16 "AD[05]" "53" 0x0) + Pad(2836 20 2836 220 16 "AD[05]" "53" 0x0) + Pad(2836 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2862 20 2886 20 16 "AD[03]" "54" 0x0) + Pad(2862 20 2862 220 16 "AD[03]" "54" 0x0) + Pad(2862 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2874 20 2874 270 16 "AD[03]" "54" 0x0) + Pad(2886 20 2886 220 16 "AD[03]" "54" 0x0) + Pad(2886 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2912 20 2936 20 16 "Ground" "55" 0x0) + Pad(2912 20 2912 220 16 "Ground" "55" 0x0) + Pad(2912 220 2924 270 16 "Ground" "55" 0x0) + Pad(2924 20 2924 270 16 "Ground" "55" 0x0) + Pad(2936 20 2936 220 16 "Ground" "55" 0x0) + Pad(2936 220 2924 270 16 "Ground" "55" 0x0) + Pad(2962 20 2986 20 16 "AD[01]" "56" 0x0) + Pad(2962 20 2962 220 16 "AD[01]" "56" 0x0) + Pad(2962 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(2974 20 2974 270 16 "AD[01]" "56" 0x0) + Pad(2986 20 2986 220 16 "AD[01]" "56" 0x0) + Pad(2986 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(3012 20 3036 20 16 "+5V" "57" 0x0) + Pad(3012 20 3012 220 16 "+5V" "57" 0x0) + Pad(3012 220 3024 270 16 "+5V" "57" 0x0) + Pad(3024 20 3024 270 16 "+5V" "57" 0x0) + Pad(3036 20 3036 220 16 "+5V" "57" 0x0) + Pad(3036 220 3024 270 16 "+5V" "57" 0x0) + Pad(3062 20 3086 20 16 "ACK64*" "58" 0x0) + Pad(3062 20 3062 220 16 "ACK64*" "58" 0x0) + Pad(3062 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3074 20 3074 270 16 "ACK64*" "58" 0x0) + Pad(3086 20 3086 220 16 "ACK64*" "58" 0x0) + Pad(3086 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3112 20 3136 20 16 "+5V" "59" 0x0) + Pad(3112 20 3112 220 16 "+5V" "59" 0x0) + Pad(3112 220 3124 270 16 "+5V" "59" 0x0) + Pad(3124 20 3124 270 16 "+5V" "59" 0x0) + Pad(3136 20 3136 220 16 "+5V" "59" 0x0) + Pad(3136 220 3124 270 16 "+5V" "59" 0x0) + Pad(3162 20 3186 20 16 "+5V" "60" 0x0) + Pad(3162 20 3162 220 16 "+5V" "60" 0x0) + Pad(3162 220 3174 270 16 "+5V" "60" 0x0) + Pad(3174 20 3174 270 16 "+5V" "60" 0x0) + Pad(3186 20 3186 220 16 "+5V" "60" 0x0) + Pad(3186 220 3174 270 16 "+5V" "60" 0x0) + Pad(113 20 137 20 16 "TRST*" "61" 0x80) + Pad(113 20 113 220 16 "TRST*" "61" 0x80) + Pad(113 220 125 270 16 "TRST*" "61" 0x80) + Pad(125 20 125 270 16 "TRST*" "61" 0x80) + Pad(137 20 137 220 16 "TRST*" "61" 0x80) + Pad(137 220 125 270 16 "TRST*" "61" 0x80) + Pad(163 20 187 20 16 "+12V" "62" 0x80) + Pad(163 20 163 220 16 "+12V" "62" 0x80) + Pad(163 220 175 270 16 "+12V" "62" 0x80) + Pad(175 20 175 270 16 "+12V" "62" 0x80) + Pad(187 20 187 220 16 "+12V" "62" 0x80) + Pad(187 220 175 270 16 "+12V" "62" 0x80) + Pad(213 20 237 20 16 "TMS" "63" 0x80) + Pad(213 20 213 220 16 "TMS" "63" 0x80) + Pad(213 220 225 270 16 "TMS" "63" 0x80) + Pad(225 20 225 270 16 "TMS" "63" 0x80) + Pad(237 20 237 220 16 "TMS" "63" 0x80) + Pad(237 220 225 270 16 "TMS" "63" 0x80) + Pad(263 20 287 20 16 "TDI" "64" 0x80) + Pad(263 20 263 220 16 "TDI" "64" 0x80) + Pad(263 220 275 270 16 "TDI" "64" 0x80) + Pad(275 20 275 270 16 "TDI" "64" 0x80) + Pad(287 20 287 220 16 "TDI" "64" 0x80) + Pad(287 220 275 270 16 "TDI" "64" 0x80) + Pad(313 20 337 20 16 "+5V" "65" 0x80) + Pad(313 20 313 220 16 "+5V" "65" 0x80) + Pad(313 220 325 270 16 "+5V" "65" 0x80) + Pad(325 20 325 270 16 "+5V" "65" 0x80) + Pad(337 20 337 220 16 "+5V" "65" 0x80) + Pad(337 220 325 270 16 "+5V" "65" 0x80) + Pad(363 20 387 20 16 "INTA*" "66" 0x80) + Pad(363 20 363 220 16 "INTA*" "66" 0x80) + Pad(363 220 375 270 16 "INTA*" "66" 0x80) + Pad(375 20 375 270 16 "INTA*" "66" 0x80) + Pad(387 20 387 220 16 "INTA*" "66" 0x80) + Pad(387 220 375 270 16 "INTA*" "66" 0x80) + Pad(413 20 437 20 16 "INTC*" "67" 0x80) + Pad(413 20 413 220 16 "INTC*" "67" 0x80) + Pad(413 220 425 270 16 "INTC*" "67" 0x80) + Pad(425 20 425 270 16 "INTC*" "67" 0x80) + Pad(437 20 437 220 16 "INTC*" "67" 0x80) + Pad(437 220 425 270 16 "INTC*" "67" 0x80) + Pad(463 20 487 20 16 "+5V" "68" 0x80) + Pad(463 20 463 220 16 "+5V" "68" 0x80) + Pad(463 220 475 270 16 "+5V" "68" 0x80) + Pad(475 20 475 270 16 "+5V" "68" 0x80) + Pad(487 20 487 220 16 "+5V" "68" 0x80) + Pad(487 220 475 270 16 "+5V" "68" 0x80) + Pad(513 20 537 20 16 "Reserved" "69" 0x80) + Pad(513 20 513 220 16 "Reserved" "69" 0x80) + Pad(513 220 525 270 16 "Reserved" "69" 0x80) + Pad(525 20 525 270 16 "Reserved" "69" 0x80) + Pad(537 20 537 220 16 "Reserved" "69" 0x80) + Pad(537 220 525 270 16 "Reserved" "69" 0x80) + Pad(563 20 587 20 16 "+5V" "70" 0x80) + Pad(563 20 563 220 16 "+5V" "70" 0x80) + Pad(563 220 575 270 16 "+5V" "70" 0x80) + Pad(575 20 575 270 16 "+5V" "70" 0x80) + Pad(587 20 587 220 16 "+5V" "70" 0x80) + Pad(587 220 575 270 16 "+5V" "70" 0x80) + Pad(613 20 637 20 16 "Reserved" "71" 0x80) + Pad(613 20 613 220 16 "Reserved" "71" 0x80) + Pad(613 220 625 270 16 "Reserved" "71" 0x80) + Pad(625 20 625 270 16 "Reserved" "71" 0x80) + Pad(637 20 637 220 16 "Reserved" "71" 0x80) + Pad(637 220 625 270 16 "Reserved" "71" 0x80) + Pad(663 20 687 20 16 "Ground" "72" 0x80) + Pad(663 20 663 220 16 "Ground" "72" 0x80) + Pad(663 220 675 270 16 "Ground" "72" 0x80) + Pad(675 20 675 270 16 "Ground" "72" 0x80) + Pad(687 20 687 220 16 "Ground" "72" 0x80) + Pad(687 220 675 270 16 "Ground" "72" 0x80) + Pad(713 20 737 20 16 "Ground" "73" 0x80) + Pad(713 20 713 220 16 "Ground" "73" 0x80) + Pad(713 220 725 270 16 "Ground" "73" 0x80) + Pad(725 20 725 270 16 "Ground" "73" 0x80) + Pad(737 20 737 220 16 "Ground" "73" 0x80) + Pad(737 220 725 270 16 "Ground" "73" 0x80) + Pad(763 20 787 20 16 "3.3Vaux" "74" 0x80) + Pad(763 20 763 220 16 "3.3Vaux" "74" 0x80) + Pad(763 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(775 20 775 270 16 "3.3Vaux" "74" 0x80) + Pad(787 20 787 220 16 "3.3Vaux" "74" 0x80) + Pad(787 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(813 20 837 20 16 "RST*" "75" 0x80) + Pad(813 20 813 220 16 "RST*" "75" 0x80) + Pad(813 220 825 270 16 "RST*" "75" 0x80) + Pad(825 20 825 270 16 "RST*" "75" 0x80) + Pad(837 20 837 220 16 "RST*" "75" 0x80) + Pad(837 220 825 270 16 "RST*" "75" 0x80) + Pad(863 20 887 20 16 "+5V" "76" 0x80) + Pad(863 20 863 220 16 "+5V" "76" 0x80) + Pad(863 220 875 270 16 "+5V" "76" 0x80) + Pad(875 20 875 270 16 "+5V" "76" 0x80) + Pad(887 20 887 220 16 "+5V" "76" 0x80) + Pad(887 220 875 270 16 "+5V" "76" 0x80) + Pad(913 20 937 20 16 "GNT*" "77" 0x80) + Pad(913 20 913 220 16 "GNT*" "77" 0x80) + Pad(913 220 925 270 16 "GNT*" "77" 0x80) + Pad(925 20 925 270 16 "GNT*" "77" 0x80) + Pad(937 20 937 220 16 "GNT*" "77" 0x80) + Pad(937 220 925 270 16 "GNT*" "77" 0x80) + Pad(963 20 987 20 16 "Ground" "78" 0x80) + Pad(963 20 963 220 16 "Ground" "78" 0x80) + Pad(963 220 975 270 16 "Ground" "78" 0x80) + Pad(975 20 975 270 16 "Ground" "78" 0x80) + Pad(987 20 987 220 16 "Ground" "78" 0x80) + Pad(987 220 975 270 16 "Ground" "78" 0x80) + Pad(1013 20 1037 20 16 "PME*" "79" 0x80) + Pad(1013 20 1013 220 16 "PME*" "79" 0x80) + Pad(1013 220 1025 270 16 "PME*" "79" 0x80) + Pad(1025 20 1025 270 16 "PME*" "79" 0x80) + Pad(1037 20 1037 220 16 "PME*" "79" 0x80) + Pad(1037 220 1025 270 16 "PME*" "79" 0x80) + Pad(1063 20 1087 20 16 "AD[30]" "80" 0x80) + Pad(1063 20 1063 220 16 "AD[30]" "80" 0x80) + Pad(1063 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1075 20 1075 270 16 "AD[30]" "80" 0x80) + Pad(1087 20 1087 220 16 "AD[30]" "80" 0x80) + Pad(1087 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1113 20 1137 20 16 "+3.3V" "81" 0x80) + Pad(1113 20 1113 220 16 "+3.3V" "81" 0x80) + Pad(1113 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1125 20 1125 270 16 "+3.3V" "81" 0x80) + Pad(1137 20 1137 220 16 "+3.3V" "81" 0x80) + Pad(1137 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1163 20 1187 20 16 "AD[28]" "82" 0x80) + Pad(1163 20 1163 220 16 "AD[28]" "82" 0x80) + Pad(1163 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1175 20 1175 270 16 "AD[28]" "82" 0x80) + Pad(1187 20 1187 220 16 "AD[28]" "82" 0x80) + Pad(1187 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1213 20 1237 20 16 "AD[26]" "83" 0x80) + Pad(1213 20 1213 220 16 "AD[26]" "83" 0x80) + Pad(1213 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1225 20 1225 270 16 "AD[26]" "83" 0x80) + Pad(1237 20 1237 220 16 "AD[26]" "83" 0x80) + Pad(1237 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1263 20 1287 20 16 "Ground" "84" 0x80) + Pad(1263 20 1263 220 16 "Ground" "84" 0x80) + Pad(1263 220 1275 270 16 "Ground" "84" 0x80) + Pad(1275 20 1275 270 16 "Ground" "84" 0x80) + Pad(1287 20 1287 220 16 "Ground" "84" 0x80) + Pad(1287 220 1275 270 16 "Ground" "84" 0x80) + Pad(1313 20 1337 20 16 "AD[24]" "85" 0x80) + Pad(1313 20 1313 220 16 "AD[24]" "85" 0x80) + Pad(1313 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1325 20 1325 270 16 "AD[24]" "85" 0x80) + Pad(1337 20 1337 220 16 "AD[24]" "85" 0x80) + Pad(1337 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1363 20 1387 20 16 "IDSEL" "86" 0x80) + Pad(1363 20 1363 220 16 "IDSEL" "86" 0x80) + Pad(1363 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1375 20 1375 270 16 "IDSEL" "86" 0x80) + Pad(1387 20 1387 220 16 "IDSEL" "86" 0x80) + Pad(1387 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1413 20 1437 20 16 "+3.3V" "87" 0x80) + Pad(1413 20 1413 220 16 "+3.3V" "87" 0x80) + Pad(1413 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1425 20 1425 270 16 "+3.3V" "87" 0x80) + Pad(1437 20 1437 220 16 "+3.3V" "87" 0x80) + Pad(1437 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1463 20 1487 20 16 "AD[22]" "88" 0x80) + Pad(1463 20 1463 220 16 "AD[22]" "88" 0x80) + Pad(1463 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1475 20 1475 270 16 "AD[22]" "88" 0x80) + Pad(1487 20 1487 220 16 "AD[22]" "88" 0x80) + Pad(1487 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1513 20 1537 20 16 "AD[20]" "89" 0x80) + Pad(1513 20 1513 220 16 "AD[20]" "89" 0x80) + Pad(1513 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1525 20 1525 270 16 "AD[20]" "89" 0x80) + Pad(1537 20 1537 220 16 "AD[20]" "89" 0x80) + Pad(1537 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1563 20 1587 20 16 "Ground" "90" 0x80) + Pad(1563 20 1563 220 16 "Ground" "90" 0x80) + Pad(1563 220 1575 270 16 "Ground" "90" 0x80) + Pad(1575 20 1575 270 16 "Ground" "90" 0x80) + Pad(1587 20 1587 220 16 "Ground" "90" 0x80) + Pad(1587 220 1575 270 16 "Ground" "90" 0x80) + Pad(1613 20 1637 20 16 "AD[18]" "91" 0x80) + Pad(1613 20 1613 220 16 "AD[18]" "91" 0x80) + Pad(1613 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1625 20 1625 270 16 "AD[18]" "91" 0x80) + Pad(1637 20 1637 220 16 "AD[18]" "91" 0x80) + Pad(1637 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1663 20 1687 20 16 "AD[16]" "92" 0x80) + Pad(1663 20 1663 220 16 "AD[16]" "92" 0x80) + Pad(1663 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1675 20 1675 270 16 "AD[16]" "92" 0x80) + Pad(1687 20 1687 220 16 "AD[16]" "92" 0x80) + Pad(1687 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1713 20 1737 20 16 "+3.3V" "93" 0x80) + Pad(1713 20 1713 220 16 "+3.3V" "93" 0x80) + Pad(1713 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1725 20 1725 270 16 "+3.3V" "93" 0x80) + Pad(1737 20 1737 220 16 "+3.3V" "93" 0x80) + Pad(1737 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1763 20 1787 20 16 "FRAME*" "94" 0x80) + Pad(1763 20 1763 220 16 "FRAME*" "94" 0x80) + Pad(1763 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1775 20 1775 270 16 "FRAME*" "94" 0x80) + Pad(1787 20 1787 220 16 "FRAME*" "94" 0x80) + Pad(1787 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1813 20 1837 20 16 "Ground" "95" 0x80) + Pad(1813 20 1813 220 16 "Ground" "95" 0x80) + Pad(1813 220 1825 270 16 "Ground" "95" 0x80) + Pad(1825 20 1825 270 16 "Ground" "95" 0x80) + Pad(1837 20 1837 220 16 "Ground" "95" 0x80) + Pad(1837 220 1825 270 16 "Ground" "95" 0x80) + Pad(1863 20 1887 20 16 "TRDY*" "96" 0x80) + Pad(1863 20 1863 220 16 "TRDY*" "96" 0x80) + Pad(1863 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1875 20 1875 270 16 "TRDY*" "96" 0x80) + Pad(1887 20 1887 220 16 "TRDY*" "96" 0x80) + Pad(1887 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1913 20 1937 20 16 "Ground" "97" 0x80) + Pad(1913 20 1913 220 16 "Ground" "97" 0x80) + Pad(1913 220 1925 270 16 "Ground" "97" 0x80) + Pad(1925 20 1925 270 16 "Ground" "97" 0x80) + Pad(1937 20 1937 220 16 "Ground" "97" 0x80) + Pad(1937 220 1925 270 16 "Ground" "97" 0x80) + Pad(1963 20 1987 20 16 "STOP*" "98" 0x80) + Pad(1963 20 1963 220 16 "STOP*" "98" 0x80) + Pad(1963 220 1975 270 16 "STOP*" "98" 0x80) + Pad(1975 20 1975 270 16 "STOP*" "98" 0x80) + Pad(1987 20 1987 220 16 "STOP*" "98" 0x80) + Pad(1987 220 1975 270 16 "STOP*" "98" 0x80) + Pad(2013 20 2037 20 16 "+3.3V" "99" 0x80) + Pad(2013 20 2013 220 16 "+3.3V" "99" 0x80) + Pad(2013 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2025 20 2025 270 16 "+3.3V" "99" 0x80) + Pad(2037 20 2037 220 16 "+3.3V" "99" 0x80) + Pad(2037 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2063 20 2087 20 16 "Reserved" "100" 0x80) + Pad(2063 20 2063 220 16 "Reserved" "100" 0x80) + Pad(2063 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2075 20 2075 270 16 "Reserved" "100" 0x80) + Pad(2087 20 2087 220 16 "Reserved" "100" 0x80) + Pad(2087 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2113 20 2137 20 16 "Reserved" "101" 0x80) + Pad(2113 20 2113 220 16 "Reserved" "101" 0x80) + Pad(2113 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2125 20 2125 270 16 "Reserved" "101" 0x80) + Pad(2137 20 2137 220 16 "Reserved" "101" 0x80) + Pad(2137 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2163 20 2187 20 16 "Ground" "102" 0x80) + Pad(2163 20 2163 220 16 "Ground" "102" 0x80) + Pad(2163 220 2175 270 16 "Ground" "102" 0x80) + Pad(2175 20 2175 270 16 "Ground" "102" 0x80) + Pad(2187 20 2187 220 16 "Ground" "102" 0x80) + Pad(2187 220 2175 270 16 "Ground" "102" 0x80) + Pad(2213 20 2237 20 16 "PAR" "103" 0x80) + Pad(2213 20 2213 220 16 "PAR" "103" 0x80) + Pad(2213 220 2225 270 16 "PAR" "103" 0x80) + Pad(2225 20 2225 270 16 "PAR" "103" 0x80) + Pad(2237 20 2237 220 16 "PAR" "103" 0x80) + Pad(2237 220 2225 270 16 "PAR" "103" 0x80) + Pad(2263 20 2287 20 16 "AD[15]" "104" 0x80) + Pad(2263 20 2263 220 16 "AD[15]" "104" 0x80) + Pad(2263 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2275 20 2275 270 16 "AD[15]" "104" 0x80) + Pad(2287 20 2287 220 16 "AD[15]" "104" 0x80) + Pad(2287 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2313 20 2337 20 16 "+3.3V" "105" 0x80) + Pad(2313 20 2313 220 16 "+3.3V" "105" 0x80) + Pad(2313 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2325 20 2325 270 16 "+3.3V" "105" 0x80) + Pad(2337 20 2337 220 16 "+3.3V" "105" 0x80) + Pad(2337 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2363 20 2387 20 16 "AD[13]" "106" 0x80) + Pad(2363 20 2363 220 16 "AD[13]" "106" 0x80) + Pad(2363 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2375 20 2375 270 16 "AD[13]" "106" 0x80) + Pad(2387 20 2387 220 16 "AD[13]" "106" 0x80) + Pad(2387 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2413 20 2437 20 16 "AD[11]" "107" 0x80) + Pad(2413 20 2413 220 16 "AD[11]" "107" 0x80) + Pad(2413 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2425 20 2425 270 16 "AD[11]" "107" 0x80) + Pad(2437 20 2437 220 16 "AD[11]" "107" 0x80) + Pad(2437 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2463 20 2487 20 16 "Ground" "108" 0x80) + Pad(2463 20 2463 220 16 "Ground" "108" 0x80) + Pad(2463 220 2475 270 16 "Ground" "108" 0x80) + Pad(2475 20 2475 270 16 "Ground" "108" 0x80) + Pad(2487 20 2487 220 16 "Ground" "108" 0x80) + Pad(2487 220 2475 270 16 "Ground" "108" 0x80) + Pad(2513 20 2537 20 16 "AD[09]" "109" 0x80) + Pad(2513 20 2513 220 16 "AD[09]" "109" 0x80) + Pad(2513 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2525 20 2525 270 16 "AD[09]" "109" 0x80) + Pad(2537 20 2537 220 16 "AD[09]" "109" 0x80) + Pad(2537 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2662 20 2686 20 16 "C/BE[0]*" "110" 0x80) + Pad(2662 20 2662 220 16 "C/BE[0]*" "110" 0x80) + Pad(2662 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2674 20 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2686 20 2686 220 16 "C/BE[0]*" "110" 0x80) + Pad(2686 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2712 20 2736 20 16 "+3.3V" "111" 0x80) + Pad(2712 20 2712 220 16 "+3.3V" "111" 0x80) + Pad(2712 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2724 20 2724 270 16 "+3.3V" "111" 0x80) + Pad(2736 20 2736 220 16 "+3.3V" "111" 0x80) + Pad(2736 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2762 20 2786 20 16 "AD[06]" "112" 0x80) + Pad(2762 20 2762 220 16 "AD[06]" "112" 0x80) + Pad(2762 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2774 20 2774 270 16 "AD[06]" "112" 0x80) + Pad(2786 20 2786 220 16 "AD[06]" "112" 0x80) + Pad(2786 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2812 20 2836 20 16 "AD[04]" "113" 0x80) + Pad(2812 20 2812 220 16 "AD[04]" "113" 0x80) + Pad(2812 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2824 20 2824 270 16 "AD[04]" "113" 0x80) + Pad(2836 20 2836 220 16 "AD[04]" "113" 0x80) + Pad(2836 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2862 20 2886 20 16 "Ground" "114" 0x80) + Pad(2862 20 2862 220 16 "Ground" "114" 0x80) + Pad(2862 220 2874 270 16 "Ground" "114" 0x80) + Pad(2874 20 2874 270 16 "Ground" "114" 0x80) + Pad(2886 20 2886 220 16 "Ground" "114" 0x80) + Pad(2886 220 2874 270 16 "Ground" "114" 0x80) + Pad(2912 20 2936 20 16 "AD[02]" "115" 0x80) + Pad(2912 20 2912 220 16 "AD[02]" "115" 0x80) + Pad(2912 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2924 20 2924 270 16 "AD[02]" "115" 0x80) + Pad(2936 20 2936 220 16 "AD[02]" "115" 0x80) + Pad(2936 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2962 20 2986 20 16 "AD[00]" "116" 0x80) + Pad(2962 20 2962 220 16 "AD[00]" "116" 0x80) + Pad(2962 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(2974 20 2974 270 16 "AD[00]" "116" 0x80) + Pad(2986 20 2986 220 16 "AD[00]" "116" 0x80) + Pad(2986 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(3012 20 3036 20 16 "+5V" "117" 0x80) + Pad(3012 20 3012 220 16 "+5V" "117" 0x80) + Pad(3012 220 3024 270 16 "+5V" "117" 0x80) + Pad(3024 20 3024 270 16 "+5V" "117" 0x80) + Pad(3036 20 3036 220 16 "+5V" "117" 0x80) + Pad(3036 220 3024 270 16 "+5V" "117" 0x80) + Pad(3062 20 3086 20 16 "REQ64*" "118" 0x80) + Pad(3062 20 3062 220 16 "REQ64*" "118" 0x80) + Pad(3062 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3074 20 3074 270 16 "REQ64*" "118" 0x80) + Pad(3086 20 3086 220 16 "REQ64*" "118" 0x80) + Pad(3086 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3112 20 3136 20 16 "+5V" "119" 0x80) + Pad(3112 20 3112 220 16 "+5V" "119" 0x80) + Pad(3112 220 3124 270 16 "+5V" "119" 0x80) + Pad(3124 20 3124 270 16 "+5V" "119" 0x80) + Pad(3136 20 3136 220 16 "+5V" "119" 0x80) + Pad(3136 220 3124 270 16 "+5V" "119" 0x80) + Pad(3162 20 3186 20 16 "+5V" "120" 0x80) + Pad(3162 20 3162 220 16 "+5V" "120" 0x80) + Pad(3162 220 3174 270 16 "+5V" "120" 0x80) + Pad(3174 20 3174 270 16 "+5V" "120" 0x80) + Pad(3186 20 3186 220 16 "+5V" "120" 0x80) + Pad(3186 220 3174 270 16 "+5V" "120" 0x80) +) Index: oldlib/lib/pcblib-newlib/pci/PCI5V_SMALL_HEIGHT.fp =================================================================== --- oldlib/lib/pcblib-newlib/pci/PCI5V_SMALL_HEIGHT.fp (nonexistent) +++ oldlib/lib/pcblib-newlib/pci/PCI5V_SMALL_HEIGHT.fp (revision 1033) @@ -0,0 +1,746 @@ +Element(0x00 "PCI 5V Array Small Height" "" "PCI5V_SMALL_HEIGHT" 1600 10 1 30 0x00) +( + Mark(1600 135) + ElementArc(1 0 100 100 180 90 1) + ElementLine(1 -100 -799 -100 1) + ElementArc(-799 0 100 100 270 90 1) + ElementLine(-899 0 -899 270 1) + ElementArc(-911 270 12 12 90 90 1) + ElementLine(-911 282 -1525 282 1) + ElementLine(113 282 2538 282 2) + ElementArc(113 270 12 12 0 90 2) + ElementArc(2538 270 12 12 90 90 2) + ElementLine(101 0 101 270 1) + ElementLine(2550 0 2550 270 1) + ElementArc(2600 0 50 50 180 180 1) + Pad(113 20 137 20 16 "-12V" "1" 0x0) + Pad(113 20 113 220 16 "-12V" "1" 0x0) + Pad(113 220 125 270 16 "-12V" "1" 0x0) + Pad(125 20 125 270 16 "-12V" "1" 0x0) + Pad(137 20 137 220 16 "-12V" "1" 0x0) + Pad(137 220 125 270 16 "-12V" "1" 0x0) + Pad(163 20 187 20 16 "TCK" "2" 0x0) + Pad(163 20 163 220 16 "TCK" "2" 0x0) + Pad(163 220 175 270 16 "TCK" "2" 0x0) + Pad(175 20 175 270 16 "TCK" "2" 0x0) + Pad(187 20 187 220 16 "TCK" "2" 0x0) + Pad(187 220 175 270 16 "TCK" "2" 0x0) + Pad(213 20 237 20 16 "Ground" "3" 0x0) + Pad(213 20 213 220 16 "Ground" "3" 0x0) + Pad(213 220 225 270 16 "Ground" "3" 0x0) + Pad(225 20 225 270 16 "Ground" "3" 0x0) + Pad(237 20 237 220 16 "Ground" "3" 0x0) + Pad(237 220 225 270 16 "Ground" "3" 0x0) + Pad(263 20 287 20 16 "TD0" "4" 0x0) + Pad(263 20 263 220 16 "TD0" "4" 0x0) + Pad(263 220 275 270 16 "TD0" "4" 0x0) + Pad(275 20 275 270 16 "TD0" "4" 0x0) + Pad(287 20 287 220 16 "TD0" "4" 0x0) + Pad(287 220 275 270 16 "TD0" "4" 0x0) + Pad(313 20 337 20 16 "+5V" "5" 0x0) + Pad(313 20 313 220 16 "+5V" "5" 0x0) + Pad(313 220 325 270 16 "+5V" "5" 0x0) + Pad(325 20 325 270 16 "+5V" "5" 0x0) + Pad(337 20 337 220 16 "+5V" "5" 0x0) + Pad(337 220 325 270 16 "+5V" "5" 0x0) + Pad(363 20 387 20 16 "+5V" "6" 0x0) + Pad(363 20 363 220 16 "+5V" "6" 0x0) + Pad(363 220 375 270 16 "+5V" "6" 0x0) + Pad(375 20 375 270 16 "+5V" "6" 0x0) + Pad(387 20 387 220 16 "+5V" "6" 0x0) + Pad(387 220 375 270 16 "+5V" "6" 0x0) + Pad(413 20 437 20 16 "INTB*" "7" 0x0) + Pad(413 20 413 220 16 "INTB*" "7" 0x0) + Pad(413 220 425 270 16 "INTB*" "7" 0x0) + Pad(425 20 425 270 16 "INTB*" "7" 0x0) + Pad(437 20 437 220 16 "INTB*" "7" 0x0) + Pad(437 220 425 270 16 "INTB*" "7" 0x0) + Pad(463 20 487 20 16 "INTD*" "8" 0x0) + Pad(463 20 463 220 16 "INTD*" "8" 0x0) + Pad(463 220 475 270 16 "INTD*" "8" 0x0) + Pad(475 20 475 270 16 "INTD*" "8" 0x0) + Pad(487 20 487 220 16 "INTD*" "8" 0x0) + Pad(487 220 475 270 16 "INTD*" "8" 0x0) + Pad(513 20 537 20 16 "PRSNT1*" "9" 0x0) + Pad(513 20 513 220 16 "PRSNT1*" "9" 0x0) + Pad(513 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(525 20 525 270 16 "PRSNT1*" "9" 0x0) + Pad(537 20 537 220 16 "PRSNT1*" "9" 0x0) + Pad(537 220 525 270 16 "PRSNT1*" "9" 0x0) + Pad(563 20 587 20 16 "Reserved" "10" 0x0) + Pad(563 20 563 220 16 "Reserved" "10" 0x0) + Pad(563 220 575 270 16 "Reserved" "10" 0x0) + Pad(575 20 575 270 16 "Reserved" "10" 0x0) + Pad(587 20 587 220 16 "Reserved" "10" 0x0) + Pad(587 220 575 270 16 "Reserved" "10" 0x0) + Pad(613 20 637 20 16 "PRSNT2*" "11" 0x0) + Pad(613 20 613 220 16 "PRSNT2*" "11" 0x0) + Pad(613 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(625 20 625 270 16 "PRSNT2*" "11" 0x0) + Pad(637 20 637 220 16 "PRSNT2*" "11" 0x0) + Pad(637 220 625 270 16 "PRSNT2*" "11" 0x0) + Pad(663 20 687 20 16 "Ground" "12" 0x0) + Pad(663 20 663 220 16 "Ground" "12" 0x0) + Pad(663 220 675 270 16 "Ground" "12" 0x0) + Pad(675 20 675 270 16 "Ground" "12" 0x0) + Pad(687 20 687 220 16 "Ground" "12" 0x0) + Pad(687 220 675 270 16 "Ground" "12" 0x0) + Pad(713 20 737 20 16 "Ground" "13" 0x0) + Pad(713 20 713 220 16 "Ground" "13" 0x0) + Pad(713 220 725 270 16 "Ground" "13" 0x0) + Pad(725 20 725 270 16 "Ground" "13" 0x0) + Pad(737 20 737 220 16 "Ground" "13" 0x0) + Pad(737 220 725 270 16 "Ground" "13" 0x0) + Pad(763 20 787 20 16 "Reserved" "14" 0x0) + Pad(763 20 763 220 16 "Reserved" "14" 0x0) + Pad(763 220 775 270 16 "Reserved" "14" 0x0) + Pad(775 20 775 270 16 "Reserved" "14" 0x0) + Pad(787 20 787 220 16 "Reserved" "14" 0x0) + Pad(787 220 775 270 16 "Reserved" "14" 0x0) + Pad(813 20 837 20 16 "Ground" "15" 0x0) + Pad(813 20 813 220 16 "Ground" "15" 0x0) + Pad(813 220 825 270 16 "Ground" "15" 0x0) + Pad(825 20 825 270 16 "Ground" "15" 0x0) + Pad(837 20 837 220 16 "Ground" "15" 0x0) + Pad(837 220 825 270 16 "Ground" "15" 0x0) + Pad(863 20 887 20 16 "CLK" "16" 0x0) + Pad(863 20 863 220 16 "CLK" "16" 0x0) + Pad(863 220 875 270 16 "CLK" "16" 0x0) + Pad(875 20 875 270 16 "CLK" "16" 0x0) + Pad(887 20 887 220 16 "CLK" "16" 0x0) + Pad(887 220 875 270 16 "CLK" "16" 0x0) + Pad(913 20 937 20 16 "Ground" "17" 0x0) + Pad(913 20 913 220 16 "Ground" "17" 0x0) + Pad(913 220 925 270 16 "Ground" "17" 0x0) + Pad(925 20 925 270 16 "Ground" "17" 0x0) + Pad(937 20 937 220 16 "Ground" "17" 0x0) + Pad(937 220 925 270 16 "Ground" "17" 0x0) + Pad(963 20 987 20 16 "REQ*" "18" 0x0) + Pad(963 20 963 220 16 "REQ*" "18" 0x0) + Pad(963 220 975 270 16 "REQ*" "18" 0x0) + Pad(975 20 975 270 16 "REQ*" "18" 0x0) + Pad(987 20 987 220 16 "REQ*" "18" 0x0) + Pad(987 220 975 270 16 "REQ*" "18" 0x0) + Pad(1013 20 1037 20 16 "+5V" "19" 0x0) + Pad(1013 20 1013 220 16 "+5V" "19" 0x0) + Pad(1013 220 1025 270 16 "+5V" "19" 0x0) + Pad(1025 20 1025 270 16 "+5V" "19" 0x0) + Pad(1037 20 1037 220 16 "+5V" "19" 0x0) + Pad(1037 220 1025 270 16 "+5V" "19" 0x0) + Pad(1063 20 1087 20 16 "AD[31]" "20" 0x0) + Pad(1063 20 1063 220 16 "AD[31]" "20" 0x0) + Pad(1063 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1075 20 1075 270 16 "AD[31]" "20" 0x0) + Pad(1087 20 1087 220 16 "AD[31]" "20" 0x0) + Pad(1087 220 1075 270 16 "AD[31]" "20" 0x0) + Pad(1113 20 1137 20 16 "AD[29]" "21" 0x0) + Pad(1113 20 1113 220 16 "AD[29]" "21" 0x0) + Pad(1113 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1125 20 1125 270 16 "AD[29]" "21" 0x0) + Pad(1137 20 1137 220 16 "AD[29]" "21" 0x0) + Pad(1137 220 1125 270 16 "AD[29]" "21" 0x0) + Pad(1163 20 1187 20 16 "Ground" "22" 0x0) + Pad(1163 20 1163 220 16 "Ground" "22" 0x0) + Pad(1163 220 1175 270 16 "Ground" "22" 0x0) + Pad(1175 20 1175 270 16 "Ground" "22" 0x0) + Pad(1187 20 1187 220 16 "Ground" "22" 0x0) + Pad(1187 220 1175 270 16 "Ground" "22" 0x0) + Pad(1213 20 1237 20 16 "AD[27]" "23" 0x0) + Pad(1213 20 1213 220 16 "AD[27]" "23" 0x0) + Pad(1213 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1225 20 1225 270 16 "AD[27]" "23" 0x0) + Pad(1237 20 1237 220 16 "AD[27]" "23" 0x0) + Pad(1237 220 1225 270 16 "AD[27]" "23" 0x0) + Pad(1263 20 1287 20 16 "AD[25]" "24" 0x0) + Pad(1263 20 1263 220 16 "AD[25]" "24" 0x0) + Pad(1263 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1275 20 1275 270 16 "AD[25]" "24" 0x0) + Pad(1287 20 1287 220 16 "AD[25]" "24" 0x0) + Pad(1287 220 1275 270 16 "AD[25]" "24" 0x0) + Pad(1313 20 1337 20 16 "+3.3V" "25" 0x0) + Pad(1313 20 1313 220 16 "+3.3V" "25" 0x0) + Pad(1313 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1325 20 1325 270 16 "+3.3V" "25" 0x0) + Pad(1337 20 1337 220 16 "+3.3V" "25" 0x0) + Pad(1337 220 1325 270 16 "+3.3V" "25" 0x0) + Pad(1363 20 1387 20 16 "C/BE[3]*" "26" 0x0) + Pad(1363 20 1363 220 16 "C/BE[3]*" "26" 0x0) + Pad(1363 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1375 20 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1387 20 1387 220 16 "C/BE[3]*" "26" 0x0) + Pad(1387 220 1375 270 16 "C/BE[3]*" "26" 0x0) + Pad(1413 20 1437 20 16 "AD[23]" "27" 0x0) + Pad(1413 20 1413 220 16 "AD[23]" "27" 0x0) + Pad(1413 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1425 20 1425 270 16 "AD[23]" "27" 0x0) + Pad(1437 20 1437 220 16 "AD[23]" "27" 0x0) + Pad(1437 220 1425 270 16 "AD[23]" "27" 0x0) + Pad(1463 20 1487 20 16 "Ground" "28" 0x0) + Pad(1463 20 1463 220 16 "Ground" "28" 0x0) + Pad(1463 220 1475 270 16 "Ground" "28" 0x0) + Pad(1475 20 1475 270 16 "Ground" "28" 0x0) + Pad(1487 20 1487 220 16 "Ground" "28" 0x0) + Pad(1487 220 1475 270 16 "Ground" "28" 0x0) + Pad(1513 20 1537 20 16 "AD[21]" "29" 0x0) + Pad(1513 20 1513 220 16 "AD[21]" "29" 0x0) + Pad(1513 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1525 20 1525 270 16 "AD[21]" "29" 0x0) + Pad(1537 20 1537 220 16 "AD[21]" "29" 0x0) + Pad(1537 220 1525 270 16 "AD[21]" "29" 0x0) + Pad(1563 20 1587 20 16 "AD[19]" "30" 0x0) + Pad(1563 20 1563 220 16 "AD[19]" "30" 0x0) + Pad(1563 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1575 20 1575 270 16 "AD[19]" "30" 0x0) + Pad(1587 20 1587 220 16 "AD[19]" "30" 0x0) + Pad(1587 220 1575 270 16 "AD[19]" "30" 0x0) + Pad(1613 20 1637 20 16 "+3.3V" "31" 0x0) + Pad(1613 20 1613 220 16 "+3.3V" "31" 0x0) + Pad(1613 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1625 20 1625 270 16 "+3.3V" "31" 0x0) + Pad(1637 20 1637 220 16 "+3.3V" "31" 0x0) + Pad(1637 220 1625 270 16 "+3.3V" "31" 0x0) + Pad(1663 20 1687 20 16 "AD[17]" "32" 0x0) + Pad(1663 20 1663 220 16 "AD[17]" "32" 0x0) + Pad(1663 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1675 20 1675 270 16 "AD[17]" "32" 0x0) + Pad(1687 20 1687 220 16 "AD[17]" "32" 0x0) + Pad(1687 220 1675 270 16 "AD[17]" "32" 0x0) + Pad(1713 20 1737 20 16 "C/BE[2]*" "33" 0x0) + Pad(1713 20 1713 220 16 "C/BE[2]*" "33" 0x0) + Pad(1713 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1725 20 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1737 20 1737 220 16 "C/BE[2]*" "33" 0x0) + Pad(1737 220 1725 270 16 "C/BE[2]*" "33" 0x0) + Pad(1763 20 1787 20 16 "Ground" "34" 0x0) + Pad(1763 20 1763 220 16 "Ground" "34" 0x0) + Pad(1763 220 1775 270 16 "Ground" "34" 0x0) + Pad(1775 20 1775 270 16 "Ground" "34" 0x0) + Pad(1787 20 1787 220 16 "Ground" "34" 0x0) + Pad(1787 220 1775 270 16 "Ground" "34" 0x0) + Pad(1813 20 1837 20 16 "IRDY*" "35" 0x0) + Pad(1813 20 1813 220 16 "IRDY*" "35" 0x0) + Pad(1813 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1825 20 1825 270 16 "IRDY*" "35" 0x0) + Pad(1837 20 1837 220 16 "IRDY*" "35" 0x0) + Pad(1837 220 1825 270 16 "IRDY*" "35" 0x0) + Pad(1863 20 1887 20 16 "+3.3V" "36" 0x0) + Pad(1863 20 1863 220 16 "+3.3V" "36" 0x0) + Pad(1863 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1875 20 1875 270 16 "+3.3V" "36" 0x0) + Pad(1887 20 1887 220 16 "+3.3V" "36" 0x0) + Pad(1887 220 1875 270 16 "+3.3V" "36" 0x0) + Pad(1913 20 1937 20 16 "DEVSEL*" "37" 0x0) + Pad(1913 20 1913 220 16 "DEVSEL*" "37" 0x0) + Pad(1913 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1925 20 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1937 20 1937 220 16 "DEVSEL*" "37" 0x0) + Pad(1937 220 1925 270 16 "DEVSEL*" "37" 0x0) + Pad(1963 20 1987 20 16 "Ground" "38" 0x0) + Pad(1963 20 1963 220 16 "Ground" "38" 0x0) + Pad(1963 220 1975 270 16 "Ground" "38" 0x0) + Pad(1975 20 1975 270 16 "Ground" "38" 0x0) + Pad(1987 20 1987 220 16 "Ground" "38" 0x0) + Pad(1987 220 1975 270 16 "Ground" "38" 0x0) + Pad(2013 20 2037 20 16 "LOCK*" "39" 0x0) + Pad(2013 20 2013 220 16 "LOCK*" "39" 0x0) + Pad(2013 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2025 20 2025 270 16 "LOCK*" "39" 0x0) + Pad(2037 20 2037 220 16 "LOCK*" "39" 0x0) + Pad(2037 220 2025 270 16 "LOCK*" "39" 0x0) + Pad(2063 20 2087 20 16 "PERR*" "40" 0x0) + Pad(2063 20 2063 220 16 "PERR*" "40" 0x0) + Pad(2063 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2075 20 2075 270 16 "PERR*" "40" 0x0) + Pad(2087 20 2087 220 16 "PERR*" "40" 0x0) + Pad(2087 220 2075 270 16 "PERR*" "40" 0x0) + Pad(2113 20 2137 20 16 "+3.3V" "41" 0x0) + Pad(2113 20 2113 220 16 "+3.3V" "41" 0x0) + Pad(2113 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2125 20 2125 270 16 "+3.3V" "41" 0x0) + Pad(2137 20 2137 220 16 "+3.3V" "41" 0x0) + Pad(2137 220 2125 270 16 "+3.3V" "41" 0x0) + Pad(2163 20 2187 20 16 "SERR*" "42" 0x0) + Pad(2163 20 2163 220 16 "SERR*" "42" 0x0) + Pad(2163 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2175 20 2175 270 16 "SERR*" "42" 0x0) + Pad(2187 20 2187 220 16 "SERR*" "42" 0x0) + Pad(2187 220 2175 270 16 "SERR*" "42" 0x0) + Pad(2213 20 2237 20 16 "+3.3V" "43" 0x0) + Pad(2213 20 2213 220 16 "+3.3V" "43" 0x0) + Pad(2213 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2225 20 2225 270 16 "+3.3V" "43" 0x0) + Pad(2237 20 2237 220 16 "+3.3V" "43" 0x0) + Pad(2237 220 2225 270 16 "+3.3V" "43" 0x0) + Pad(2263 20 2287 20 16 "C/BE[1]*" "44" 0x0) + Pad(2263 20 2263 220 16 "C/BE[1]*" "44" 0x0) + Pad(2263 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2275 20 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2287 20 2287 220 16 "C/BE[1]*" "44" 0x0) + Pad(2287 220 2275 270 16 "C/BE[1]*" "44" 0x0) + Pad(2313 20 2337 20 16 "AD[14]" "45" 0x0) + Pad(2313 20 2313 220 16 "AD[14]" "45" 0x0) + Pad(2313 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2325 20 2325 270 16 "AD[14]" "45" 0x0) + Pad(2337 20 2337 220 16 "AD[14]" "45" 0x0) + Pad(2337 220 2325 270 16 "AD[14]" "45" 0x0) + Pad(2363 20 2387 20 16 "Ground" "46" 0x0) + Pad(2363 20 2363 220 16 "Ground" "46" 0x0) + Pad(2363 220 2375 270 16 "Ground" "46" 0x0) + Pad(2375 20 2375 270 16 "Ground" "46" 0x0) + Pad(2387 20 2387 220 16 "Ground" "46" 0x0) + Pad(2387 220 2375 270 16 "Ground" "46" 0x0) + Pad(2413 20 2437 20 16 "AD[12]" "47" 0x0) + Pad(2413 20 2413 220 16 "AD[12]" "47" 0x0) + Pad(2413 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2425 20 2425 270 16 "AD[12]" "47" 0x0) + Pad(2437 20 2437 220 16 "AD[12]" "47" 0x0) + Pad(2437 220 2425 270 16 "AD[12]" "47" 0x0) + Pad(2463 20 2487 20 16 "AD[10]" "48" 0x0) + Pad(2463 20 2463 220 16 "AD[10]" "48" 0x0) + Pad(2463 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2475 20 2475 270 16 "AD[10]" "48" 0x0) + Pad(2487 20 2487 220 16 "AD[10]" "48" 0x0) + Pad(2487 220 2475 270 16 "AD[10]" "48" 0x0) + Pad(2513 20 2537 20 16 "Ground" "49" 0x0) + Pad(2513 20 2513 220 16 "Ground" "49" 0x0) + Pad(2513 220 2525 270 16 "Ground" "49" 0x0) + Pad(2525 20 2525 270 16 "Ground" "49" 0x0) + Pad(2537 20 2537 220 16 "Ground" "49" 0x0) + Pad(2537 220 2525 270 16 "Ground" "49" 0x0) + ElementLine(2662 282 3187 282 2) + ElementArc(2662 270 12 12 0 90 2) + ElementArc(3187 270 12 12 90 90 2) + ElementLine(2650 0 2650 270 1) + ElementLine(3199 0 3199 270 1) + ElementLine(-1525 282 -1525 -1781 1) + ElementLine(-1525 -1781 3199 -1781 1) + ElementLine(3199 -1781 3199 0 1) + ElementArc(-1250 7 125 125 0 360 1) + ElementArc(-1250 -1506 125 125 0 360 1) + Pad(2662 20 2686 20 16 "AD[08]" "50" 0x0) + Pad(2662 20 2662 220 16 "AD[08]" "50" 0x0) + Pad(2662 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2674 20 2674 270 16 "AD[08]" "50" 0x0) + Pad(2686 20 2686 220 16 "AD[08]" "50" 0x0) + Pad(2686 220 2674 270 16 "AD[08]" "50" 0x0) + Pad(2712 20 2736 20 16 "AD[07]" "51" 0x0) + Pad(2712 20 2712 220 16 "AD[07]" "51" 0x0) + Pad(2712 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2724 20 2724 270 16 "AD[07]" "51" 0x0) + Pad(2736 20 2736 220 16 "AD[07]" "51" 0x0) + Pad(2736 220 2724 270 16 "AD[07]" "51" 0x0) + Pad(2762 20 2786 20 16 "+3.3V" "52" 0x0) + Pad(2762 20 2762 220 16 "+3.3V" "52" 0x0) + Pad(2762 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2774 20 2774 270 16 "+3.3V" "52" 0x0) + Pad(2786 20 2786 220 16 "+3.3V" "52" 0x0) + Pad(2786 220 2774 270 16 "+3.3V" "52" 0x0) + Pad(2812 20 2836 20 16 "AD[05]" "53" 0x0) + Pad(2812 20 2812 220 16 "AD[05]" "53" 0x0) + Pad(2812 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2824 20 2824 270 16 "AD[05]" "53" 0x0) + Pad(2836 20 2836 220 16 "AD[05]" "53" 0x0) + Pad(2836 220 2824 270 16 "AD[05]" "53" 0x0) + Pad(2862 20 2886 20 16 "AD[03]" "54" 0x0) + Pad(2862 20 2862 220 16 "AD[03]" "54" 0x0) + Pad(2862 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2874 20 2874 270 16 "AD[03]" "54" 0x0) + Pad(2886 20 2886 220 16 "AD[03]" "54" 0x0) + Pad(2886 220 2874 270 16 "AD[03]" "54" 0x0) + Pad(2912 20 2936 20 16 "Ground" "55" 0x0) + Pad(2912 20 2912 220 16 "Ground" "55" 0x0) + Pad(2912 220 2924 270 16 "Ground" "55" 0x0) + Pad(2924 20 2924 270 16 "Ground" "55" 0x0) + Pad(2936 20 2936 220 16 "Ground" "55" 0x0) + Pad(2936 220 2924 270 16 "Ground" "55" 0x0) + Pad(2962 20 2986 20 16 "AD[01]" "56" 0x0) + Pad(2962 20 2962 220 16 "AD[01]" "56" 0x0) + Pad(2962 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(2974 20 2974 270 16 "AD[01]" "56" 0x0) + Pad(2986 20 2986 220 16 "AD[01]" "56" 0x0) + Pad(2986 220 2974 270 16 "AD[01]" "56" 0x0) + Pad(3012 20 3036 20 16 "+5V" "57" 0x0) + Pad(3012 20 3012 220 16 "+5V" "57" 0x0) + Pad(3012 220 3024 270 16 "+5V" "57" 0x0) + Pad(3024 20 3024 270 16 "+5V" "57" 0x0) + Pad(3036 20 3036 220 16 "+5V" "57" 0x0) + Pad(3036 220 3024 270 16 "+5V" "57" 0x0) + Pad(3062 20 3086 20 16 "ACK64*" "58" 0x0) + Pad(3062 20 3062 220 16 "ACK64*" "58" 0x0) + Pad(3062 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3074 20 3074 270 16 "ACK64*" "58" 0x0) + Pad(3086 20 3086 220 16 "ACK64*" "58" 0x0) + Pad(3086 220 3074 270 16 "ACK64*" "58" 0x0) + Pad(3112 20 3136 20 16 "+5V" "59" 0x0) + Pad(3112 20 3112 220 16 "+5V" "59" 0x0) + Pad(3112 220 3124 270 16 "+5V" "59" 0x0) + Pad(3124 20 3124 270 16 "+5V" "59" 0x0) + Pad(3136 20 3136 220 16 "+5V" "59" 0x0) + Pad(3136 220 3124 270 16 "+5V" "59" 0x0) + Pad(3162 20 3186 20 16 "+5V" "60" 0x0) + Pad(3162 20 3162 220 16 "+5V" "60" 0x0) + Pad(3162 220 3174 270 16 "+5V" "60" 0x0) + Pad(3174 20 3174 270 16 "+5V" "60" 0x0) + Pad(3186 20 3186 220 16 "+5V" "60" 0x0) + Pad(3186 220 3174 270 16 "+5V" "60" 0x0) + Pad(113 20 137 20 16 "TRST*" "61" 0x80) + Pad(113 20 113 220 16 "TRST*" "61" 0x80) + Pad(113 220 125 270 16 "TRST*" "61" 0x80) + Pad(125 20 125 270 16 "TRST*" "61" 0x80) + Pad(137 20 137 220 16 "TRST*" "61" 0x80) + Pad(137 220 125 270 16 "TRST*" "61" 0x80) + Pad(163 20 187 20 16 "+12V" "62" 0x80) + Pad(163 20 163 220 16 "+12V" "62" 0x80) + Pad(163 220 175 270 16 "+12V" "62" 0x80) + Pad(175 20 175 270 16 "+12V" "62" 0x80) + Pad(187 20 187 220 16 "+12V" "62" 0x80) + Pad(187 220 175 270 16 "+12V" "62" 0x80) + Pad(213 20 237 20 16 "TMS" "63" 0x80) + Pad(213 20 213 220 16 "TMS" "63" 0x80) + Pad(213 220 225 270 16 "TMS" "63" 0x80) + Pad(225 20 225 270 16 "TMS" "63" 0x80) + Pad(237 20 237 220 16 "TMS" "63" 0x80) + Pad(237 220 225 270 16 "TMS" "63" 0x80) + Pad(263 20 287 20 16 "TDI" "64" 0x80) + Pad(263 20 263 220 16 "TDI" "64" 0x80) + Pad(263 220 275 270 16 "TDI" "64" 0x80) + Pad(275 20 275 270 16 "TDI" "64" 0x80) + Pad(287 20 287 220 16 "TDI" "64" 0x80) + Pad(287 220 275 270 16 "TDI" "64" 0x80) + Pad(313 20 337 20 16 "+5V" "65" 0x80) + Pad(313 20 313 220 16 "+5V" "65" 0x80) + Pad(313 220 325 270 16 "+5V" "65" 0x80) + Pad(325 20 325 270 16 "+5V" "65" 0x80) + Pad(337 20 337 220 16 "+5V" "65" 0x80) + Pad(337 220 325 270 16 "+5V" "65" 0x80) + Pad(363 20 387 20 16 "INTA*" "66" 0x80) + Pad(363 20 363 220 16 "INTA*" "66" 0x80) + Pad(363 220 375 270 16 "INTA*" "66" 0x80) + Pad(375 20 375 270 16 "INTA*" "66" 0x80) + Pad(387 20 387 220 16 "INTA*" "66" 0x80) + Pad(387 220 375 270 16 "INTA*" "66" 0x80) + Pad(413 20 437 20 16 "INTC*" "67" 0x80) + Pad(413 20 413 220 16 "INTC*" "67" 0x80) + Pad(413 220 425 270 16 "INTC*" "67" 0x80) + Pad(425 20 425 270 16 "INTC*" "67" 0x80) + Pad(437 20 437 220 16 "INTC*" "67" 0x80) + Pad(437 220 425 270 16 "INTC*" "67" 0x80) + Pad(463 20 487 20 16 "+5V" "68" 0x80) + Pad(463 20 463 220 16 "+5V" "68" 0x80) + Pad(463 220 475 270 16 "+5V" "68" 0x80) + Pad(475 20 475 270 16 "+5V" "68" 0x80) + Pad(487 20 487 220 16 "+5V" "68" 0x80) + Pad(487 220 475 270 16 "+5V" "68" 0x80) + Pad(513 20 537 20 16 "Reserved" "69" 0x80) + Pad(513 20 513 220 16 "Reserved" "69" 0x80) + Pad(513 220 525 270 16 "Reserved" "69" 0x80) + Pad(525 20 525 270 16 "Reserved" "69" 0x80) + Pad(537 20 537 220 16 "Reserved" "69" 0x80) + Pad(537 220 525 270 16 "Reserved" "69" 0x80) + Pad(563 20 587 20 16 "+5V" "70" 0x80) + Pad(563 20 563 220 16 "+5V" "70" 0x80) + Pad(563 220 575 270 16 "+5V" "70" 0x80) + Pad(575 20 575 270 16 "+5V" "70" 0x80) + Pad(587 20 587 220 16 "+5V" "70" 0x80) + Pad(587 220 575 270 16 "+5V" "70" 0x80) + Pad(613 20 637 20 16 "Reserved" "71" 0x80) + Pad(613 20 613 220 16 "Reserved" "71" 0x80) + Pad(613 220 625 270 16 "Reserved" "71" 0x80) + Pad(625 20 625 270 16 "Reserved" "71" 0x80) + Pad(637 20 637 220 16 "Reserved" "71" 0x80) + Pad(637 220 625 270 16 "Reserved" "71" 0x80) + Pad(663 20 687 20 16 "Ground" "72" 0x80) + Pad(663 20 663 220 16 "Ground" "72" 0x80) + Pad(663 220 675 270 16 "Ground" "72" 0x80) + Pad(675 20 675 270 16 "Ground" "72" 0x80) + Pad(687 20 687 220 16 "Ground" "72" 0x80) + Pad(687 220 675 270 16 "Ground" "72" 0x80) + Pad(713 20 737 20 16 "Ground" "73" 0x80) + Pad(713 20 713 220 16 "Ground" "73" 0x80) + Pad(713 220 725 270 16 "Ground" "73" 0x80) + Pad(725 20 725 270 16 "Ground" "73" 0x80) + Pad(737 20 737 220 16 "Ground" "73" 0x80) + Pad(737 220 725 270 16 "Ground" "73" 0x80) + Pad(763 20 787 20 16 "3.3Vaux" "74" 0x80) + Pad(763 20 763 220 16 "3.3Vaux" "74" 0x80) + Pad(763 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(775 20 775 270 16 "3.3Vaux" "74" 0x80) + Pad(787 20 787 220 16 "3.3Vaux" "74" 0x80) + Pad(787 220 775 270 16 "3.3Vaux" "74" 0x80) + Pad(813 20 837 20 16 "RST*" "75" 0x80) + Pad(813 20 813 220 16 "RST*" "75" 0x80) + Pad(813 220 825 270 16 "RST*" "75" 0x80) + Pad(825 20 825 270 16 "RST*" "75" 0x80) + Pad(837 20 837 220 16 "RST*" "75" 0x80) + Pad(837 220 825 270 16 "RST*" "75" 0x80) + Pad(863 20 887 20 16 "+5V" "76" 0x80) + Pad(863 20 863 220 16 "+5V" "76" 0x80) + Pad(863 220 875 270 16 "+5V" "76" 0x80) + Pad(875 20 875 270 16 "+5V" "76" 0x80) + Pad(887 20 887 220 16 "+5V" "76" 0x80) + Pad(887 220 875 270 16 "+5V" "76" 0x80) + Pad(913 20 937 20 16 "GNT*" "77" 0x80) + Pad(913 20 913 220 16 "GNT*" "77" 0x80) + Pad(913 220 925 270 16 "GNT*" "77" 0x80) + Pad(925 20 925 270 16 "GNT*" "77" 0x80) + Pad(937 20 937 220 16 "GNT*" "77" 0x80) + Pad(937 220 925 270 16 "GNT*" "77" 0x80) + Pad(963 20 987 20 16 "Ground" "78" 0x80) + Pad(963 20 963 220 16 "Ground" "78" 0x80) + Pad(963 220 975 270 16 "Ground" "78" 0x80) + Pad(975 20 975 270 16 "Ground" "78" 0x80) + Pad(987 20 987 220 16 "Ground" "78" 0x80) + Pad(987 220 975 270 16 "Ground" "78" 0x80) + Pad(1013 20 1037 20 16 "PME*" "79" 0x80) + Pad(1013 20 1013 220 16 "PME*" "79" 0x80) + Pad(1013 220 1025 270 16 "PME*" "79" 0x80) + Pad(1025 20 1025 270 16 "PME*" "79" 0x80) + Pad(1037 20 1037 220 16 "PME*" "79" 0x80) + Pad(1037 220 1025 270 16 "PME*" "79" 0x80) + Pad(1063 20 1087 20 16 "AD[30]" "80" 0x80) + Pad(1063 20 1063 220 16 "AD[30]" "80" 0x80) + Pad(1063 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1075 20 1075 270 16 "AD[30]" "80" 0x80) + Pad(1087 20 1087 220 16 "AD[30]" "80" 0x80) + Pad(1087 220 1075 270 16 "AD[30]" "80" 0x80) + Pad(1113 20 1137 20 16 "+3.3V" "81" 0x80) + Pad(1113 20 1113 220 16 "+3.3V" "81" 0x80) + Pad(1113 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1125 20 1125 270 16 "+3.3V" "81" 0x80) + Pad(1137 20 1137 220 16 "+3.3V" "81" 0x80) + Pad(1137 220 1125 270 16 "+3.3V" "81" 0x80) + Pad(1163 20 1187 20 16 "AD[28]" "82" 0x80) + Pad(1163 20 1163 220 16 "AD[28]" "82" 0x80) + Pad(1163 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1175 20 1175 270 16 "AD[28]" "82" 0x80) + Pad(1187 20 1187 220 16 "AD[28]" "82" 0x80) + Pad(1187 220 1175 270 16 "AD[28]" "82" 0x80) + Pad(1213 20 1237 20 16 "AD[26]" "83" 0x80) + Pad(1213 20 1213 220 16 "AD[26]" "83" 0x80) + Pad(1213 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1225 20 1225 270 16 "AD[26]" "83" 0x80) + Pad(1237 20 1237 220 16 "AD[26]" "83" 0x80) + Pad(1237 220 1225 270 16 "AD[26]" "83" 0x80) + Pad(1263 20 1287 20 16 "Ground" "84" 0x80) + Pad(1263 20 1263 220 16 "Ground" "84" 0x80) + Pad(1263 220 1275 270 16 "Ground" "84" 0x80) + Pad(1275 20 1275 270 16 "Ground" "84" 0x80) + Pad(1287 20 1287 220 16 "Ground" "84" 0x80) + Pad(1287 220 1275 270 16 "Ground" "84" 0x80) + Pad(1313 20 1337 20 16 "AD[24]" "85" 0x80) + Pad(1313 20 1313 220 16 "AD[24]" "85" 0x80) + Pad(1313 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1325 20 1325 270 16 "AD[24]" "85" 0x80) + Pad(1337 20 1337 220 16 "AD[24]" "85" 0x80) + Pad(1337 220 1325 270 16 "AD[24]" "85" 0x80) + Pad(1363 20 1387 20 16 "IDSEL" "86" 0x80) + Pad(1363 20 1363 220 16 "IDSEL" "86" 0x80) + Pad(1363 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1375 20 1375 270 16 "IDSEL" "86" 0x80) + Pad(1387 20 1387 220 16 "IDSEL" "86" 0x80) + Pad(1387 220 1375 270 16 "IDSEL" "86" 0x80) + Pad(1413 20 1437 20 16 "+3.3V" "87" 0x80) + Pad(1413 20 1413 220 16 "+3.3V" "87" 0x80) + Pad(1413 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1425 20 1425 270 16 "+3.3V" "87" 0x80) + Pad(1437 20 1437 220 16 "+3.3V" "87" 0x80) + Pad(1437 220 1425 270 16 "+3.3V" "87" 0x80) + Pad(1463 20 1487 20 16 "AD[22]" "88" 0x80) + Pad(1463 20 1463 220 16 "AD[22]" "88" 0x80) + Pad(1463 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1475 20 1475 270 16 "AD[22]" "88" 0x80) + Pad(1487 20 1487 220 16 "AD[22]" "88" 0x80) + Pad(1487 220 1475 270 16 "AD[22]" "88" 0x80) + Pad(1513 20 1537 20 16 "AD[20]" "89" 0x80) + Pad(1513 20 1513 220 16 "AD[20]" "89" 0x80) + Pad(1513 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1525 20 1525 270 16 "AD[20]" "89" 0x80) + Pad(1537 20 1537 220 16 "AD[20]" "89" 0x80) + Pad(1537 220 1525 270 16 "AD[20]" "89" 0x80) + Pad(1563 20 1587 20 16 "Ground" "90" 0x80) + Pad(1563 20 1563 220 16 "Ground" "90" 0x80) + Pad(1563 220 1575 270 16 "Ground" "90" 0x80) + Pad(1575 20 1575 270 16 "Ground" "90" 0x80) + Pad(1587 20 1587 220 16 "Ground" "90" 0x80) + Pad(1587 220 1575 270 16 "Ground" "90" 0x80) + Pad(1613 20 1637 20 16 "AD[18]" "91" 0x80) + Pad(1613 20 1613 220 16 "AD[18]" "91" 0x80) + Pad(1613 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1625 20 1625 270 16 "AD[18]" "91" 0x80) + Pad(1637 20 1637 220 16 "AD[18]" "91" 0x80) + Pad(1637 220 1625 270 16 "AD[18]" "91" 0x80) + Pad(1663 20 1687 20 16 "AD[16]" "92" 0x80) + Pad(1663 20 1663 220 16 "AD[16]" "92" 0x80) + Pad(1663 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1675 20 1675 270 16 "AD[16]" "92" 0x80) + Pad(1687 20 1687 220 16 "AD[16]" "92" 0x80) + Pad(1687 220 1675 270 16 "AD[16]" "92" 0x80) + Pad(1713 20 1737 20 16 "+3.3V" "93" 0x80) + Pad(1713 20 1713 220 16 "+3.3V" "93" 0x80) + Pad(1713 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1725 20 1725 270 16 "+3.3V" "93" 0x80) + Pad(1737 20 1737 220 16 "+3.3V" "93" 0x80) + Pad(1737 220 1725 270 16 "+3.3V" "93" 0x80) + Pad(1763 20 1787 20 16 "FRAME*" "94" 0x80) + Pad(1763 20 1763 220 16 "FRAME*" "94" 0x80) + Pad(1763 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1775 20 1775 270 16 "FRAME*" "94" 0x80) + Pad(1787 20 1787 220 16 "FRAME*" "94" 0x80) + Pad(1787 220 1775 270 16 "FRAME*" "94" 0x80) + Pad(1813 20 1837 20 16 "Ground" "95" 0x80) + Pad(1813 20 1813 220 16 "Ground" "95" 0x80) + Pad(1813 220 1825 270 16 "Ground" "95" 0x80) + Pad(1825 20 1825 270 16 "Ground" "95" 0x80) + Pad(1837 20 1837 220 16 "Ground" "95" 0x80) + Pad(1837 220 1825 270 16 "Ground" "95" 0x80) + Pad(1863 20 1887 20 16 "TRDY*" "96" 0x80) + Pad(1863 20 1863 220 16 "TRDY*" "96" 0x80) + Pad(1863 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1875 20 1875 270 16 "TRDY*" "96" 0x80) + Pad(1887 20 1887 220 16 "TRDY*" "96" 0x80) + Pad(1887 220 1875 270 16 "TRDY*" "96" 0x80) + Pad(1913 20 1937 20 16 "Ground" "97" 0x80) + Pad(1913 20 1913 220 16 "Ground" "97" 0x80) + Pad(1913 220 1925 270 16 "Ground" "97" 0x80) + Pad(1925 20 1925 270 16 "Ground" "97" 0x80) + Pad(1937 20 1937 220 16 "Ground" "97" 0x80) + Pad(1937 220 1925 270 16 "Ground" "97" 0x80) + Pad(1963 20 1987 20 16 "STOP*" "98" 0x80) + Pad(1963 20 1963 220 16 "STOP*" "98" 0x80) + Pad(1963 220 1975 270 16 "STOP*" "98" 0x80) + Pad(1975 20 1975 270 16 "STOP*" "98" 0x80) + Pad(1987 20 1987 220 16 "STOP*" "98" 0x80) + Pad(1987 220 1975 270 16 "STOP*" "98" 0x80) + Pad(2013 20 2037 20 16 "+3.3V" "99" 0x80) + Pad(2013 20 2013 220 16 "+3.3V" "99" 0x80) + Pad(2013 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2025 20 2025 270 16 "+3.3V" "99" 0x80) + Pad(2037 20 2037 220 16 "+3.3V" "99" 0x80) + Pad(2037 220 2025 270 16 "+3.3V" "99" 0x80) + Pad(2063 20 2087 20 16 "Reserved" "100" 0x80) + Pad(2063 20 2063 220 16 "Reserved" "100" 0x80) + Pad(2063 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2075 20 2075 270 16 "Reserved" "100" 0x80) + Pad(2087 20 2087 220 16 "Reserved" "100" 0x80) + Pad(2087 220 2075 270 16 "Reserved" "100" 0x80) + Pad(2113 20 2137 20 16 "Reserved" "101" 0x80) + Pad(2113 20 2113 220 16 "Reserved" "101" 0x80) + Pad(2113 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2125 20 2125 270 16 "Reserved" "101" 0x80) + Pad(2137 20 2137 220 16 "Reserved" "101" 0x80) + Pad(2137 220 2125 270 16 "Reserved" "101" 0x80) + Pad(2163 20 2187 20 16 "Ground" "102" 0x80) + Pad(2163 20 2163 220 16 "Ground" "102" 0x80) + Pad(2163 220 2175 270 16 "Ground" "102" 0x80) + Pad(2175 20 2175 270 16 "Ground" "102" 0x80) + Pad(2187 20 2187 220 16 "Ground" "102" 0x80) + Pad(2187 220 2175 270 16 "Ground" "102" 0x80) + Pad(2213 20 2237 20 16 "PAR" "103" 0x80) + Pad(2213 20 2213 220 16 "PAR" "103" 0x80) + Pad(2213 220 2225 270 16 "PAR" "103" 0x80) + Pad(2225 20 2225 270 16 "PAR" "103" 0x80) + Pad(2237 20 2237 220 16 "PAR" "103" 0x80) + Pad(2237 220 2225 270 16 "PAR" "103" 0x80) + Pad(2263 20 2287 20 16 "AD[15]" "104" 0x80) + Pad(2263 20 2263 220 16 "AD[15]" "104" 0x80) + Pad(2263 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2275 20 2275 270 16 "AD[15]" "104" 0x80) + Pad(2287 20 2287 220 16 "AD[15]" "104" 0x80) + Pad(2287 220 2275 270 16 "AD[15]" "104" 0x80) + Pad(2313 20 2337 20 16 "+3.3V" "105" 0x80) + Pad(2313 20 2313 220 16 "+3.3V" "105" 0x80) + Pad(2313 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2325 20 2325 270 16 "+3.3V" "105" 0x80) + Pad(2337 20 2337 220 16 "+3.3V" "105" 0x80) + Pad(2337 220 2325 270 16 "+3.3V" "105" 0x80) + Pad(2363 20 2387 20 16 "AD[13]" "106" 0x80) + Pad(2363 20 2363 220 16 "AD[13]" "106" 0x80) + Pad(2363 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2375 20 2375 270 16 "AD[13]" "106" 0x80) + Pad(2387 20 2387 220 16 "AD[13]" "106" 0x80) + Pad(2387 220 2375 270 16 "AD[13]" "106" 0x80) + Pad(2413 20 2437 20 16 "AD[11]" "107" 0x80) + Pad(2413 20 2413 220 16 "AD[11]" "107" 0x80) + Pad(2413 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2425 20 2425 270 16 "AD[11]" "107" 0x80) + Pad(2437 20 2437 220 16 "AD[11]" "107" 0x80) + Pad(2437 220 2425 270 16 "AD[11]" "107" 0x80) + Pad(2463 20 2487 20 16 "Ground" "108" 0x80) + Pad(2463 20 2463 220 16 "Ground" "108" 0x80) + Pad(2463 220 2475 270 16 "Ground" "108" 0x80) + Pad(2475 20 2475 270 16 "Ground" "108" 0x80) + Pad(2487 20 2487 220 16 "Ground" "108" 0x80) + Pad(2487 220 2475 270 16 "Ground" "108" 0x80) + Pad(2513 20 2537 20 16 "AD[09]" "109" 0x80) + Pad(2513 20 2513 220 16 "AD[09]" "109" 0x80) + Pad(2513 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2525 20 2525 270 16 "AD[09]" "109" 0x80) + Pad(2537 20 2537 220 16 "AD[09]" "109" 0x80) + Pad(2537 220 2525 270 16 "AD[09]" "109" 0x80) + Pad(2662 20 2686 20 16 "C/BE[0]*" "110" 0x80) + Pad(2662 20 2662 220 16 "C/BE[0]*" "110" 0x80) + Pad(2662 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2674 20 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2686 20 2686 220 16 "C/BE[0]*" "110" 0x80) + Pad(2686 220 2674 270 16 "C/BE[0]*" "110" 0x80) + Pad(2712 20 2736 20 16 "+3.3V" "111" 0x80) + Pad(2712 20 2712 220 16 "+3.3V" "111" 0x80) + Pad(2712 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2724 20 2724 270 16 "+3.3V" "111" 0x80) + Pad(2736 20 2736 220 16 "+3.3V" "111" 0x80) + Pad(2736 220 2724 270 16 "+3.3V" "111" 0x80) + Pad(2762 20 2786 20 16 "AD[06]" "112" 0x80) + Pad(2762 20 2762 220 16 "AD[06]" "112" 0x80) + Pad(2762 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2774 20 2774 270 16 "AD[06]" "112" 0x80) + Pad(2786 20 2786 220 16 "AD[06]" "112" 0x80) + Pad(2786 220 2774 270 16 "AD[06]" "112" 0x80) + Pad(2812 20 2836 20 16 "AD[04]" "113" 0x80) + Pad(2812 20 2812 220 16 "AD[04]" "113" 0x80) + Pad(2812 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2824 20 2824 270 16 "AD[04]" "113" 0x80) + Pad(2836 20 2836 220 16 "AD[04]" "113" 0x80) + Pad(2836 220 2824 270 16 "AD[04]" "113" 0x80) + Pad(2862 20 2886 20 16 "Ground" "114" 0x80) + Pad(2862 20 2862 220 16 "Ground" "114" 0x80) + Pad(2862 220 2874 270 16 "Ground" "114" 0x80) + Pad(2874 20 2874 270 16 "Ground" "114" 0x80) + Pad(2886 20 2886 220 16 "Ground" "114" 0x80) + Pad(2886 220 2874 270 16 "Ground" "114" 0x80) + Pad(2912 20 2936 20 16 "AD[02]" "115" 0x80) + Pad(2912 20 2912 220 16 "AD[02]" "115" 0x80) + Pad(2912 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2924 20 2924 270 16 "AD[02]" "115" 0x80) + Pad(2936 20 2936 220 16 "AD[02]" "115" 0x80) + Pad(2936 220 2924 270 16 "AD[02]" "115" 0x80) + Pad(2962 20 2986 20 16 "AD[00]" "116" 0x80) + Pad(2962 20 2962 220 16 "AD[00]" "116" 0x80) + Pad(2962 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(2974 20 2974 270 16 "AD[00]" "116" 0x80) + Pad(2986 20 2986 220 16 "AD[00]" "116" 0x80) + Pad(2986 220 2974 270 16 "AD[00]" "116" 0x80) + Pad(3012 20 3036 20 16 "+5V" "117" 0x80) + Pad(3012 20 3012 220 16 "+5V" "117" 0x80) + Pad(3012 220 3024 270 16 "+5V" "117" 0x80) + Pad(3024 20 3024 270 16 "+5V" "117" 0x80) + Pad(3036 20 3036 220 16 "+5V" "117" 0x80) + Pad(3036 220 3024 270 16 "+5V" "117" 0x80) + Pad(3062 20 3086 20 16 "REQ64*" "118" 0x80) + Pad(3062 20 3062 220 16 "REQ64*" "118" 0x80) + Pad(3062 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3074 20 3074 270 16 "REQ64*" "118" 0x80) + Pad(3086 20 3086 220 16 "REQ64*" "118" 0x80) + Pad(3086 220 3074 270 16 "REQ64*" "118" 0x80) + Pad(3112 20 3136 20 16 "+5V" "119" 0x80) + Pad(3112 20 3112 220 16 "+5V" "119" 0x80) + Pad(3112 220 3124 270 16 "+5V" "119" 0x80) + Pad(3124 20 3124 270 16 "+5V" "119" 0x80) + Pad(3136 20 3136 220 16 "+5V" "119" 0x80) + Pad(3136 220 3124 270 16 "+5V" "119" 0x80) + Pad(3162 20 3186 20 16 "+5V" "120" 0x80) + Pad(3162 20 3162 220 16 "+5V" "120" 0x80) + Pad(3162 220 3174 270 16 "+5V" "120" 0x80) + Pad(3174 20 3174 270 16 "+5V" "120" 0x80) + Pad(3186 20 3186 220 16 "+5V" "120" 0x80) + Pad(3186 220 3174 270 16 "+5V" "120" 0x80) +) Index: oldlib/lib/pcblib-newlib/pci/index.html =================================================================== --- oldlib/lib/pcblib-newlib/pci/index.html (nonexistent) +++ oldlib/lib/pcblib-newlib/pci/index.html (revision 1033) @@ -0,0 +1,30 @@ + +PCB pci Footprint Library + +

    PCB pci Footprint Library

    + + + + + + + + + + + + + + + + + + + + + + + +
    CommentFootprint Name
    PCI5V_AVE_HEIGHT, PCI 5V Array Average Height PCI5V_AVE_HEIGHT.fp
    PCI5V_MIN_HEIGHT, PCI 5V Array Min Height PCI5V_MIN_HEIGHT.fp
    PCI5V_MAX_HEIGHT, PCI 5V Array Max Height PCI5V_MAX_HEIGHT.fp
    PCI5V_SMALL_HEIGHT, PCI 5V Array Small Height PCI5V_SMALL_HEIGHT.fp
    + + Index: oldlib/lib/pcblib-newlib.stamp =================================================================== --- oldlib/lib/pcblib-newlib.stamp (nonexistent) +++ oldlib/lib/pcblib-newlib.stamp (revision 1033) @@ -0,0 +1 @@ +png-preview=no Index: oldlib/lib/pcblib.contents =================================================================== --- oldlib/lib/pcblib.contents (nonexistent) +++ oldlib/lib/pcblib.contents (revision 1033) @@ -0,0 +1,998 @@ +TYPE=~amphenol +amphenol_ARFX1229:AMPHENOL_ARFX1229:AMPHENOL_ARFX1229:Amphenol ARFX1229 Vertical SMA Connector (M) [AMPHENOL_ARFX1229] +amphenol_ARFX1230:AMPHENOL_ARFX1230:AMPHENOL_ARFX1230:Amphenol ARFX1230 Right Angle SMA Connector (M) [AMPHENOL_ARFX1230] +amphenol_ARFX1231:AMPHENOL_ARFX1231:AMPHENOL_ARFX1231:Amphenol ARFX1231 Vertical SMA Connector (F) [AMPHENOL_ARFX1231] +amphenol_ARFX1232:AMPHENOL_ARFX1232:AMPHENOL_ARFX1232:Amphenol ARFX1232 Right Angle SMA Connector (F) [AMPHENOL_ARFX1232] +TYPE=~connector +connector2:CONNECTOR:2:connector 2x1 pins [CONNECTOR 1 2] +connector4:CONNECTOR:4:connector 2x2 pins [CONNECTOR 2 2] +connector6:CONNECTOR:6:connector 2x3 pins [CONNECTOR 3 2] +connector8:CONNECTOR:8:connector 2x4 pins [CONNECTOR 4 2] +connector10:CONNECTOR:10:connector 2x5 pins [CONNECTOR 5 2] +connector12:CONNECTOR:12:connector 3x4 pins [CONNECTOR 4 3] +connector14:CONNECTOR:14:connector 2x7 pins [CONNECTOR 7 2] +connector15:CONNECTOR:15:connector 3x5 pins [CONNECTOR 5 3] +connector16:CONNECTOR:16:connector 2x8 pins [CONNECTOR 8 2] +connector18:CONNECTOR:18:connector 3x6 pins [CONNECTOR 6 3] +connector20:CONNECTOR:20:connector 2x10 pins [CONNECTOR 10 2] +connector50:CONNECTOR:50:connector 2x25 pins [CONNECTOR 25 2] +DIN41_612_abc48female_s:DIN41_612FEMALE_SMALL:abc48f:DIN41.612 row a+b+c female [DIN41_612FEMALE_SMALL] +DIN41_612_abc48male_s:DIN41_612MALE_SMALL:abc48m:DIN41.612 row a+b+c male [DIN41_612MALE_SMALL] +DIN41_612_ab64female:DIN41_612FEMALE:ab64f:DIN41.612 row a+b female [DIN41_612FEMALE ab] +DIN41_612_ab64male:DIN41_612MALE:ab64m:DIN41.612 row a+b male [DIN41_612MALE ab] +DIN41_612_ac64female:DIN41_612FEMALE:ac64f:DIN41.612 row a+c female [DIN41_612FEMALE ac] +DIN41_612_ac64male:DIN41_612MALE:ac64m:DIN41.612 row a+c male [DIN41_612MALE ac] +DIN41_612_abc96female:DIN41_612FEMALE:abc96f:DIN41.612 row a+b+c female [DIN41_612FEMALE abc] +DIN41_612_abc96male:DIN41_612MALE:abc96m:DIN41.612 row a+b+c male [DIN41_612MALE abc] +DIN41_651_10lay:DIN41_651LAY:10l:DIN41.651 laying 10 pins [DIN41_651LAY 10] +DIN41_651_10stand:DIN41_651STAND:10s:DIN41.651 standing 10 pins [DIN41_651STAND 10] +DIN41_651_14lay:DIN41_651LAY:14l:DIN41.651 laying 14 pins [DIN41_651LAY 14] +DIN41_651_14stand:DIN41_651STAND:14s:DIN41.651 standing 14 pins [DIN41_651STAND 14] +DIN41_651_16lay:DIN41_651LAY:16l:DIN41.651 laying 16 pins [DIN41_651LAY 16] +DIN41_651_16stand:DIN41_651STAND:16s:DIN41.651 standing 16 pins [DIN41_651STAND 16] +DIN41_651_18lay:DIN41_651LAY:18l:DIN41.651 laying 18 pins [DIN41_651LAY 18] +DIN41_651_18stand:DIN41_651STAND:18s:DIN41.651 standing 18 pins [DIN41_651STAND 18] +DIN41_651_20lay:DIN41_651LAY:20l:DIN41.651 laying 20 pins [DIN41_651LAY 20] +DIN41_651_20stand:DIN41_651STAND:20s:DIN41.651 standing 20 pins [DIN41_651STAND 20] +DIN41_651_22lay:DIN41_651LAY:22l:DIN41.651 laying 22 pins [DIN41_651LAY 22] +DIN41_651_22stand:DIN41_651STAND:22s:DIN41.651 standing 22 pins [DIN41_651STAND 22] +DIN41_651_24lay:DIN41_651LAY:24l:DIN41.651 laying 24 pins [DIN41_651LAY 24] +DIN41_651_24stand:DIN41_651STAND:24s:DIN41.651 standing 24 pins [DIN41_651STAND 24] +DIN41_651_26lay:DIN41_651LAY:26l:DIN41.651 laying 26 pins [DIN41_651LAY 26] +DIN41_651_26stand:DIN41_651STAND:26s:DIN41.651 standing 26 pins [DIN41_651STAND 26] +DIN41_651_34lay:DIN41_651LAY:34l:DIN41.651 laying 34 pins [DIN41_651LAY 34] +DIN41_651_34stand:DIN41_651STAND:34s:DIN41.651 standing 34 pins [DIN41_651STAND 34] +DIN41_651_40lay:DIN41_651LAY:40l:DIN41.651 laying 40 pins [DIN41_651LAY 40] +DIN41_651_40stand:DIN41_651STAND:40s:DIN41.651 standing 40 pins [DIN41_651STAND 40] +DIN41_651_50lay:DIN41_651LAY:50l:DIN41.651 laying 50 pins [DIN41_651LAY 50] +DIN41_651_50stand:DIN41_651STAND:50s:DIN41.651 standing 50 pins [DIN41_651STAND 50] +DIN41_651_64lay:DIN41_651LAY:64l:DIN41.651 laying 64 pins [DIN41_651LAY 64] +DIN41_651_64stand:DIN41_651STAND:64s:DIN41.651 standing 64 pins [DIN41_651STAND 64] +PC_Centronics:SUBD_FEMALE_LAY:PC-centronics:PC Centronics Connector [SUBD_FEMALE_LAY 25] +PC_V24_9P:SUBD_MALE_LAY:PC-RS232:PC V24 9-pins [SUBD_MALE_LAY 9] +PC_V24_25P:SUBD_MALE_LAY:PC-RS232:PC V24 25-pins [SUBD_MALE_LAY 25] +SCSI_SE:CONNECTOR:single-ended SCSI:single-ended SCSI 2x25 pins [CONNECTOR 25 2] +SUBD_9F:SUBD_FEMALE_LAY:9f:SUB-D female 9 pins [SUBD_FEMALE_LAY 9] +SUBD_9M:SUBD_MALE_LAY:9m:SUB-D male 9 pins [SUBD_MALE_LAY 9] +SUBD_15F:SUBD_FEMALE_LAY:15f:SUB-D female 15 pins [SUBD_FEMALE_LAY 15] +SUBD_15M:SUBD_MALE_LAY:15m:SUB-D male 15 pins [SUBD_MALE_LAY 15] +SUBD_25F:SUBD_FEMALE_LAY:25f:SUB-D female 25 pins [SUBD_FEMALE_LAY 25] +SUBD_25M:SUBD_MALE_LAY:25m:SUB-D male 25 pins [SUBD_MALE_LAY 25] +BNC_rightangle:BNC_LAY:1:right angle BNC [BNC_LAY] +MTA_156_2:MTA_156:2:MTA-156 header [MTA_156 2] +SMA_vertical:SMA_VERT:1:vertical SMA [SMA_VERT] +MOLEX_025_20:MOLEX_025:20:Molex .025 pitch 20 pin plug [MOLEX_025 20] +MOLEX_025_30:MOLEX_025:30:Molex .025 pitch 30 pin plug [MOLEX_025 30] +MOLEX_025_40:MOLEX_025:40:Molex .025 pitch 40 pin plug [MOLEX_025 40] +MOLEX_025_50:MOLEX_025:50:Molex .025 pitch 50 pin plug [MOLEX_025 50] +MOLEX_025_60:MOLEX_025:60:Molex .025 pitch 60 pin plug [MOLEX_025 60] +MOLEX_025_68:MOLEX_025:68:Molex .025 pitch 68 pin plug [MOLEX_025 68] +MOLEX_025_70:MOLEX_025:70:Molex .025 pitch 70 pin plug [MOLEX_025 70] +MOLEX_025_80:MOLEX_025:80:Molex .025 pitch 80 pin plug [MOLEX_025 80] +MOLEX_025_90:MOLEX_025:90:Molex .025 pitch 90 pin plug [MOLEX_025 90] +MOLEX_025_100:MOLEX_025:100:Molex .025 pitch 100 pin plug [MOLEX_025 100] +MOLEX_025_120:MOLEX_025:120:Molex .025 pitch 120 pin plug [MOLEX_025 120] +MOLEX_025_140:MOLEX_025:140:Molex .025 pitch 140 pin plug [MOLEX_025 140] +MOLEX_025_160:MOLEX_025:160:Molex .025 pitch 160 pin plug [MOLEX_025 160] +MOLEX_025_180:MOLEX_025:180:Molex .025 pitch 180 pin plug [MOLEX_025 180] +MOLEX_025_200:MOLEX_025:200:Molex .025 pitch 200 pin plug [MOLEX_025 200] +MOLEX_025_240:MOLEX_025:240:Molex .025 pitch 240 pin plug [MOLEX_025 240] +NANOENGINE:NANOENGINE:160:Bright Star nanoEngine SBC [NANOENGINE] +TYPE=~crystal +crystal_300:CRYSTAL:1MHz:crystal 300mil [CRYSTAL 300] +crystal_300:CRYSTAL:8MHZ:crystal 300mil [CRYSTAL 300] +crystal_300:CRYSTAL:crystal:crystal 300mil [CRYSTAL 300] +TYPE=~generic +generic8_dil:DIL:DIL 8:generic [DIL 8 300] +generic8_dil:N:DIL 8:generic [N 8 300] +generic8smd_dil:D:SMD 8:generic [D 8] +generic14_dil:DIL:DIL 14:generic [DIL 14 300] +generic14_dil:N:DIL 14:generic [N 14 300] +generic14smd_dil:D:SMD 14:generic [D 14] +generic16_dil:DIL:DIL 16:generic [DIL 16 300] +generic16_dil:N:DIL 16:generic [N 16 300] +generic16smd_dil:D:SMD 16:generic [D 16] +generic18_dil:DIL:DIL 18:generic [DIL 18 300] +generic18_dil:N:DIL 18:generic [N 18 300] +generic18smd_dil:D:SMD 18:generic [D 18] +generic20_dil:DIL:DIL 20:generic [DIL 20 300] +generic20_dil:N:DIL 20:generic [N 20 300] +generic20smd_dil:D:SMD 20:generic [D 20] +generic24_dil:DIL:DIL 24:generic [DIL 24 300] +generic24_dil:N:DIL 24:generic [N 24 300] +generic24smd_dil:D:SMD 24:generic [D 24] +generic24w_dil:DIL:W-DIL 24:generic [DIL 24 600] +generic24w_dil:N:W-DIL 24:generic [N 24 600] +generic24smdw_dil:DW:SMD-W 24:generic [DW 24] +generic28_dil:DIL:DIL 28:generic [DIL 28 300] +generic28_dil:N:DIL 28:generic [N 28 300] +generic28smd_dil:D:SMD 28:generic [D 28] +generic28w_dil:DIL:W-DIL 28:generic [DIL 28 600] +generic28w_dil:N:W-DIL 28:generic [N 28 600] +generic28smdw_dil:DW:SMD-W 28:generic [DW 28] +generic32_dil:DIL:DIL 32:generic [DIL 32 600] +generic32_dil:N:DIL 32:generic [N 32 600] +generic40_dil:DIL:DIL 40:generic [DIL 40 600] +generic40_dil:N:DIL 40:generic [N 40 600] +generic64_dil:DIL:DIL 64:generic [DIL 64 900] +generic64_dil:N:DIL 64:generic [N 64 900] +generic44_plcc:PLCC:PLCC 44:generic [PLCC 44 150] +generic44_plcc:PLCC_SOCKET:PLCC 44 socket:generic [PLCC_SOCKET 44 150] +generic52_plcc:PLCC:PLCC 52:generic [PLCC 52 150] +generic52_plcc:PLCC_SOCKET:PLCC 52 socket:generic [PLCC_SOCKET 52 150] +generic68_plcc:PLCC:PLCC 68:generic [PLCC 68 150] +generic68_plcc:PLCC_SOCKET:PLCC 68 socket:generic [PLCC_SOCKET 68 150] +generic84_plcc:PLCC:PLCC 84:generic [PLCC 84 150] +generic84_plcc:PLCC_SOCKET:PLCC 84 socket:generic [PLCC_SOCKET 84 150] +generic44_qfp:QFP:QFP 44:generic [QFP 44 150] +generic44_qfp:OLD_QFP:QFP 44 OLD:generic [OLD_QFP 44 150] +generic208_lqfp:208_LQFP:QFP 208:generic [208_LQFP] +menu_qfp:MENU_QFP:QFP menu:generic [MENU_QFP] +generic80_qfp:QFP2:QFP 80:generic [QFP2 80 150] +generic16_zif:ZIF:ZIF 16:generic [ZIF 16] +generic20_zif:ZIF:ZIF 20:generic [ZIF 20] +generic20_zip:SD:ZIP 20:generic [SD 20] +generic24_zip:SD:ZIP 24:generic [SD 24] +generic28_zip:SD:ZIP 28:generic [SD 28] +generic40_zip:SD:ZIP 40:generic [SD 40] +generic_diode_300:DIODE_LAY:300:diode [DIODE_LAY 300] +generic_diode_400:DIODE_LAY:400:diode [DIODE_LAY 400] +generic_diode_500:DIODE_LAY:500:diode [DIODE_LAY 500] +generic_diode_600:DIODE_LAY:600:diode [DIODE_LAY 600] +generic_diode_800:DIODE_LAY:800:diode [DIODE_LAY 800] +oscillator_1:OSC:1 MHz OSC:oscillator [OSC 1] +oscillator_2:OSC:2 MHz OSC:oscillator [OSC 2] +oscillator_4:OSC:4 MHz OSC:oscillator [OSC 4] +oscillator_5:OSC:5 MHz OSC:oscillator [OSC 5] +oscillator_8:OSC:8 MHz OSC:oscillator [OSC 8] +oscillator_10:OSC:10 MHz OSC:oscillator [OSC 10] +oscillator_12:OSC:12 MHz OSC:oscillator [OSC 12] +oscillator_16:OSC:16 MHz OSC:oscillator [OSC 16] +oscillator_20:OSC:20 MHz OSC:oscillator [OSC 20] +oscillator_24:OSC:24 MHz OSC:oscillator [OSC 24] +oscillator_32:OSC:32 MHz OSC:oscillator [OSC 32] +ovenized_oscillator_5:OVEN_OSC:5 MHz OSC:ovenized [OVEN_OSC 5] +r_025:R025:0.25W Resistor (300 mil):Description_r_025 [R025] +generic_resistor_axial_300:AXIAL_LAY:300:resistor_axial [AXIAL_LAY 300] +generic_resistor_axial_400:AXIAL_LAY:400:resistor_axial [AXIAL_LAY 400] +generic_resistor_axial_500:AXIAL_LAY:500:resistor_axial [AXIAL_LAY 500] +generic_resistor_axial_600:AXIAL_LAY:600:resistor_axial [AXIAL_LAY 600] +generic_resistor_axial_800:AXIAL_LAY:800:resistor_axial [AXIAL_LAY 800] +generic_capacitor_axial_300:AXIAL_LAY:300:capacitor_axial [AXIAL_LAY 300] +generic_capacitor_axial_400:AXIAL_LAY:400:capacitor_axial [AXIAL_LAY 400] +generic_capacitor_axial_500:AXIAL_LAY:500:capacitor_axial [AXIAL_LAY 500] +generic_capacitor_axial_600:AXIAL_LAY:600:capacitor_axial [AXIAL_LAY 600] +generic_capacitor_axial_800:AXIAL_LAY:800:capacitor_axial [AXIAL_LAY 800] +generic_capacitor_radial_200:RADIAL_CAN:200:capacitor_radial [RADIAL_CAN 200] +generic_capacitor_radial_300:RADIAL_CAN:300:capacitor_radial [RADIAL_CAN 300] +generic_capacitor_radial_400:RADIAL_CAN:400:capacitor_radial [RADIAL_CAN 400] +generic_capacitor_radial_500:RADIAL_CAN:500:capacitor_radial [RADIAL_CAN 500] +generic_capacitor_radial_600:RADIAL_CAN:600:capacitor_radial [RADIAL_CAN 600] +generic_smd_chip_402:SMD_CHIP:Resistor:smd chip 402 [SMD_CHIP 402] +generic_smd_chip_402:SMD_CHIP:Capacitor:smd chip 402 [SMD_CHIP 402] +generic_smd_chip_603:SMD_CHIP:Resistor:smd chip 603 [SMD_CHIP 603] +generic_smd_chip_603:SMD_CHIP:Capacitor:smd chip 603 [SMD_CHIP 603] +generic_smd_chip_805:SMD_CHIP:Resistor:smd chip 805 [SMD_CHIP 805] +generic_smd_chip_805:SMD_CHIP:Capacitor:smd chip 805 [SMD_CHIP 805] +generic_smd_chip_1206:SMD_CHIP:Resistor:smd chip 1206 [SMD_CHIP 1206] +generic_smd_chip_1206:SMD_CHIP:Capacitor:smd chip 1206 [SMD_CHIP 1206] +generic_smd_chip_1210:SMD_CHIP:Resistor:smd chip 1210 [SMD_CHIP 1210] +generic_smd_chip_1210:SMD_CHIP:Capacitor:smd chip 1210 [SMD_CHIP 1210] +smd_resistor_603:SMD_SIMPLE:603:chip_resistor [SMD_SIMPLE 60 30] +smd_resistor_805:SMD_SIMPLE:805:chip_resistor [SMD_SIMPLE 80 50] +smd_resistor_1206:SMD_SIMPLE:1206:chip_resistor [SMD_SIMPLE 120 60] +smd_capacitor_603:SMD_SIMPLE:603:chip_capacitor [SMD_SIMPLE 60 30] +smd_capacitor_805:SMD_SIMPLE:805:chip_capacitor [SMD_SIMPLE 80 50] +smd_capacitor_1206:SMD_SIMPLE:1206:chip_capacitor [SMD_SIMPLE 120 60] +smd_capacitor_polarized_603:SMD_POLAR:603:chip_capacitor_polarized [SMD_POLAR 60 30] +smd_capacitor_polarized_805:SMD_POLAR:805:chip_capacitor_polarized [SMD_POLAR 80 50] +smd_capacitor_polarized_1206:SMD_POLAR:1206:chip_capacitor_polarized [SMD_POLAR 120 60] +smd_EIA_A_capacitor:SMD_POLAR:EIA A:chip_capacitor_polarized [SMD_POLAR 120 50] +smd_EIA_B_capacitor:SMD_POLAR:EIA B:chip_capacitor_polarized [SMD_POLAR 140 90] +smd_EIA_C_capacitor:SMD_POLAR:EIA C:chip_capacitor_polarized [SMD_POLAR 240 90] +smd_EIA_D_capacitor:SMD_POLAR:EIA D:chip_capacitor_polarized [SMD_POLAR 280 100] +smd_diode_603:SMD_DIODE:603:chip_diode [SMD_DIODE 60 30] +smd_diode_805:SMD_DIODE:805:chip_diode [SMD_DIODE 80 50] +smd_diode_1206:SMD_DIODE:1206:chip_diode [SMD_DIODE 120 60] +isa_eight_bit:ISA8:XT8BIT:isa_eight_bit [ISA8] +sot_23:SOT23:SOT-23:SOT-23 package [SOT23] +sot_323:SOT323:SOT-323:SOT-323 package [SOT323] +sot_nec19:NEC19:SOT-NE19:NEC SOT-NE19 package [NEC19] +TYPE=~gtag +cy7c64603_qfp:PQFP52_10X10:cy7c64603:USB Microcontroller [PQFP52_10X10 52] +conn_usb:CONN_USB:conn_usb:USB Male Connector [CONN_USB] +conn_jtag:CONN_JTAG:conn_jtag:JTAG Connector [CONN_JTAG] +sm0805:SM0805:sm0805:SMT 0805 [SM0805] +sm1206:SM1206:sm1206:SMT 1206 [SM1206] +TYPE=~optical +LED_3MM:LED:green:LED 3mm [LED 60] +LED_3MM:LED:red:LED 3mm [LED 60] +LED_3MM:LED:blue:LED 3mm [LED 60] +LED_5MM:LED:green:LED 5mm [LED 100] +LED_5MM:LED:red:LED 5mm [LED 100] +LED_5MM:LED:blue:LED 5mm [LED 100] +OPTO_6N136:N:6N136:optical coupling device [N 8 300] +OPTO_6N137:N:6N137:optical coupling device [N 8 300] +TYPE=~pci +PCI5V_AVE_HEIGHT:PCI5V_AVE_HEIGHT:PCI5V_AVE_HEIGHT:PCI 5V Array Average Height [PCI5V_AVE_HEIGHT] +PCI5V_MIN_HEIGHT:PCI5V_MIN_HEIGHT:PCI5V_MIN_HEIGHT:PCI 5V Array Min Height [PCI5V_MIN_HEIGHT] +PCI5V_MAX_HEIGHT:PCI5V_MAX_HEIGHT:PCI5V_MAX_HEIGHT:PCI 5V Array Max Height [PCI5V_MAX_HEIGHT] +PCI5V_SMALL_HEIGHT:PCI5V_SMALL_HEIGHT:PCI5V_SMALL_HEIGHT:PCI 5V Array Small Height [PCI5V_SMALL_HEIGHT] +TYPE=~amp +amp_AMP_MICTOR_767054_1:AMP_MICTOR_767054_1:AMP_MICTOR_767054_1:Amp Mictor Connectors [AMP_MICTOR_767054_1] +amp_AMP_MICTOR_767054_2:AMP_MICTOR_767054_2:AMP_MICTOR_767054_2:Amp Mictor Connectors [AMP_MICTOR_767054_2] +amp_AMP_MICTOR_767054_3:AMP_MICTOR_767054_3:AMP_MICTOR_767054_3:Amp Mictor Connectors [AMP_MICTOR_767054_3] +amp_AMP_MICTOR_767054_4:AMP_MICTOR_767054_4:AMP_MICTOR_767054_4:Amp Mictor Connectors [AMP_MICTOR_767054_4] +amp_AMP_MICTOR_767054_5:AMP_MICTOR_767054_5:AMP_MICTOR_767054_5:Amp Mictor Connectors [AMP_MICTOR_767054_5] +amp_AMP_MICTOR_767054_6:AMP_MICTOR_767054_6:AMP_MICTOR_767054_6:Amp Mictor Connectors [AMP_MICTOR_767054_6] +amp_AMP_MICTOR_767054_7:AMP_MICTOR_767054_7:AMP_MICTOR_767054_7:Amp Mictor Connectors [AMP_MICTOR_767054_7] +TYPE=~bourns +bourns_BOURNS_3224G:BOURNS_3224G:BOURNS_3224G:Bourns 3224 Series SMT Trim Pot [BOURNS_3224G] +bourns_BOURNS_3224J:BOURNS_3224J:BOURNS_3224J:Bourns 3224 Series SMT Trim Pot [BOURNS_3224J] +bourns_BOURNS_3224W:BOURNS_3224W:BOURNS_3224W:Bourns 3224 Series SMT Trim Pot [BOURNS_3224W] +bourns_BOURNS_3224X:BOURNS_3224X:BOURNS_3224X:Bourns 3224 Series SMT Trim Pot [BOURNS_3224X] +TYPE=~candk +candk_CANDK_ES01MSABE:CANDK_ES01MSABE:CANDK_ES01MSABE:C&K ES Series Switches [CANDK_ES01MSABE] +candk_CANDK_ES02MSABE:CANDK_ES02MSABE:CANDK_ES02MSABE:C&K ES Series Switches [CANDK_ES02MSABE] +candk_CANDK_ES03MSABE:CANDK_ES03MSABE:CANDK_ES03MSABE:C&K ES Series Switches [CANDK_ES03MSABE] +TYPE=~cts +cts_CTS_742C_04:CTS_742C_04:CTS_742C_04:CTS 742C Series Chip Resistor Array [CTS_742C_04] +cts_CTS_742C_08:CTS_742C_08:CTS_742C_08:CTS 742C Series Chip Resistor Array [CTS_742C_08] +cts_CTS_742C_16:CTS_742C_16:CTS_742C_16:CTS 742C Series Chip Resistor Array [CTS_742C_16] +cts_CTS_742X_08:CTS_742X_08:CTS_742X_08:CTS 742C Series Chip Resistor Array [CTS_742X_08] +cts_CTS_743C_04:CTS_743C_04:CTS_743C_04:CTS 743C Series Chip Resistor Array [CTS_743C_04] +cts_CTS_743C_08:CTS_743C_08:CTS_743C_08:CTS 743C Series Chip Resistor Array [CTS_743C_08] +cts_CTS_744C_04:CTS_744C_04:CTS_744C_04:CTS 744C Series Chip Resistor Array [CTS_744C_04] +cts_CTS_744C_08:CTS_744C_08:CTS_744C_08:CTS 744C Series Chip Resistor Array [CTS_744C_08] +cts_CTS_745C_10:CTS_745C_10:CTS_745C_10:CTS 745C Series Chip Resistor Array [CTS_745C_10] +cts_CTS_745X_10:CTS_745X_10:CTS_745X_10:CTS 745X Series Chip Resistor Array [CTS_745X_10] +cts_CTS_746X_10:CTS_746X_10:CTS_746X_10:CTS 746X Series Chip Resistor Array [CTS_746X_10] +TYPE=~geda +geda_DIP6:DIP6:DIP6:Dual in-line package, narrow (300 mil) [DIP6] +geda_DIP8:DIP8:DIP8:Dual in-line package, narrow (300 mil) [DIP8] +geda_DIP14:DIP14:DIP14:Dual in-line package, narrow (300 mil) [DIP14] +geda_DIP16:DIP16:DIP16:Dual in-line package, narrow (300 mil) [DIP16] +geda_DIP18:DIP18:DIP18:Dual in-line package, narrow (300 mil) [DIP18] +geda_DIP20:DIP20:DIP20:Dual in-line package, narrow (300 mil) [DIP20] +geda_DIP22:DIP22:DIP22:Dual in-line package, narrow (300 mil) [DIP22] +geda_DIP24N:DIP24N:DIP24N:Dual in-line package, narrow (300 mil) [DIP24N] +geda_DIP28N:DIP28N:DIP28N:Dual in-line package, narrow (300 mil) [DIP28N] +geda_DIP8M:DIP8M:DIP8M:Dual in-line package, medium wide (400 mil) [DIP8M] +geda_DIP14M:DIP14M:DIP14M:Dual in-line package, medium wide (400 mil) [DIP14M] +geda_DIP16M:DIP16M:DIP16M:Dual in-line package, medium wide (400 mil) [DIP16M] +geda_DIP18M:DIP18M:DIP18M:Dual in-line package, medium wide (400 mil) [DIP18M] +geda_DIP20M:DIP20M:DIP20M:Dual in-line package, medium wide (400 mil) [DIP20M] +geda_DIP22M:DIP22M:DIP22M:Dual in-line package, medium wide (400 mil) [DIP22M] +geda_DIP24M:DIP24M:DIP24M:Dual in-line package, medium wide (400 mil) [DIP24M] +geda_DIP28M:DIP28M:DIP28M:Dual in-line package, medium wide (400 mil) [DIP28M] +geda_DIP24:DIP24:DIP24:Dual in-line package, wide (600 mil) [DIP24] +geda_DIP28:DIP28:DIP28:Dual in-line package, wide (600 mil) [DIP28] +geda_DIP32:DIP32:DIP32:Dual in-line package, wide (600 mil) [DIP32] +geda_DIP36:DIP36:DIP36:Dual in-line package, wide (600 mil) [DIP36] +geda_DIP40:DIP40:DIP40:Dual in-line package, wide (600 mil) [DIP40] +geda_DIP42:DIP42:DIP42:Dual in-line package, wide (600 mil) [DIP42] +geda_DIP44:DIP44:DIP44:Dual in-line package, wide (600 mil) [DIP44] +geda_DIP48:DIP48:DIP48:Dual in-line package, wide (600 mil) [DIP48] +geda_DIP64:DIP64:DIP64:Dual in-line package, wide (900 mil) [DIP64] +geda_SDIP18:SDIP18:SDIP18:Shrink dual in-line package, wide (300 mil) [SDIP18] +geda_SDIP20:SDIP20:SDIP20:Shrink dual in-line package, wide (300 mil) [SDIP20] +geda_SDIP22:SDIP22:SDIP22:Shrink dual in-line package, wide (300 mil) [SDIP22] +geda_SDIP24:SDIP24:SDIP24:Shrink dual in-line package, wide (300 mil) [SDIP24] +geda_SDIP30:SDIP30:SDIP30:Shrink dual in-line package, wide (400 mil) [SDIP30] +geda_SDIP32:SDIP32:SDIP32:Shrink dual in-line package, wide (400 mil) [SDIP32] +geda_SDIP42:SDIP42:SDIP42:Shrink dual in-line package, wide (600 mil) [SDIP42] +geda_SIP1:SIP1:SIP1:Single in line package [SIP1] +geda_SIP2:SIP2:SIP2:Single in line package [SIP2] +geda_SIP3:SIP3:SIP3:Single in line package [SIP3] +geda_SIP4:SIP4:SIP4:Single in line package [SIP4] +geda_SIP5:SIP5:SIP5:Single in line package [SIP5] +geda_SIP6:SIP6:SIP6:Single in line package [SIP6] +geda_SIP7:SIP7:SIP7:Single in line package [SIP7] +geda_SIP8:SIP8:SIP8:Single in line package [SIP8] +geda_SIP9:SIP9:SIP9:Single in line package [SIP9] +geda_SIP10:SIP10:SIP10:Single in line package [SIP10] +geda_SIP11:SIP11:SIP11:Single in line package [SIP11] +geda_SIP12:SIP12:SIP12:Single in line package [SIP12] +geda_SIP13:SIP13:SIP13:Single in line package [SIP13] +geda_US8:US8:US8:Ultra (Micro?) Small outline package [US8] +geda_US14:US14:US14:Ultra (Micro?) Small outline package [US14] +geda_US16:US16:US16:Ultra (Micro?) Small outline package [US16] +geda_SO8:SO8:SO8:Small outline package, narrow (150mil) [SO8] +geda_SO14:SO14:SO14:Small outline package, narrow (150mil) [SO14] +geda_SO16:SO16:SO16:Small outline package, narrow (150mil) [SO16] +geda_SO18N:SO18N:SO18N:Small outline package, narrow (150mil) [SO18N] +geda_SO20N:SO20N:SO20N:Small outline package, narrow (150mil) [SO20N] +geda_SO8M:SO8M:SO8M:Small outline package, medium (200mil) [SO8M] +geda_SO18M:SO18M:SO18M:Small outline package, medium (200mil) [SO18M] +geda_SO20M:SO20M:SO20M:Small outline package, medium (200mil) [SO20M] +geda_SO22M:SO22M:SO22M:Small outline package, medium (200mil) [SO22M] +geda_SO24M:SO24M:SO24M:Small outline package, medium (200mil) [SO24M] +geda_SO8W:SO8W:SO8W:Small outline package, wide (300mil) [SO8W] +geda_SO14W:SO14W:SO14W:Small outline package, wide (300mil) [SO14W] +geda_SO16W:SO16W:SO16W:Small outline package, wide (300mil) [SO16W] +geda_SO18W:SO18W:SO18W:Small outline package, wide (300mil) [SO18W] +geda_SO20W:SO20W:SO20W:Small outline package, wide (300mil) [SO20W] +geda_SO24W:SO24W:SO24W:Small outline package, wide (300mil) [SO24W] +geda_SO28:SO28:SO28:Small outline package, wide (300mil) [SO28] +geda_SO32:SO32:SO32:Small outline package, wide (300mil) [SO32] +geda_SO28W:SO28W:SO28W:Small outline package, wider (325mil) [SO28W] +geda_SO32W:SO32W:SO32W:Small outline package, wider (450mil) [SO32W] +geda_SO44:SO44:SO44:Small outline package, wide (525mil) [SO44] +geda_SOJ14_300:SOJ14_300:SOJ14_300:Small outline J-leaded package (300 mil) [SOJ14_300] +geda_SOJ16_300:SOJ16_300:SOJ16_300:Small outline J-leaded package (300 mil) [SOJ16_300] +geda_SOJ18_300:SOJ18_300:SOJ18_300:Small outline J-leaded package (300 mil) [SOJ18_300] +geda_SOJ20_300:SOJ20_300:SOJ20_300:Small outline J-leaded package (300 mil) [SOJ20_300] +geda_SOJ22_300:SOJ22_300:SOJ22_300:Small outline J-leaded package (300 mil) [SOJ22_300] +geda_SOJ24_300:SOJ24_300:SOJ24_300:Small outline J-leaded package (300 mil) [SOJ24_300] +geda_SOJ26_300:SOJ26_300:SOJ26_300:Small outline J-leaded package (300 mil) [SOJ26_300] +geda_SOJ28_300:SOJ28_300:SOJ28_300:Small outline J-leaded package (300 mil) [SOJ28_300] +geda_SOJ30_300:SOJ30_300:SOJ30_300:Small outline J-leaded package (300 mil) [SOJ30_300] +geda_SOJ32_300:SOJ32_300:SOJ32_300:Small outline J-leaded package (300 mil) [SOJ32_300] +geda_SOJ34_300:SOJ34_300:SOJ34_300:Small outline J-leaded package (300 mil) [SOJ34_300] +geda_SOJ36_300:SOJ36_300:SOJ36_300:Small outline J-leaded package (300 mil) [SOJ36_300] +geda_SOJ38_300:SOJ38_300:SOJ38_300:Small outline J-leaded package (300 mil) [SOJ38_300] +geda_SOJ40_300:SOJ40_300:SOJ40_300:Small outline J-leaded package (300 mil) [SOJ40_300] +geda_SOJ42_300:SOJ42_300:SOJ42_300:Small outline J-leaded package (300 mil) [SOJ42_300] +geda_SOJ44_300:SOJ44_300:SOJ44_300:Small outline J-leaded package (300 mil) [SOJ44_300] +geda_SOJ14_350:SOJ14_350:SOJ14_350:Small outline J-leaded package (350 mil) [SOJ14_350] +geda_SOJ16_350:SOJ16_350:SOJ16_350:Small outline J-leaded package (350 mil) [SOJ16_350] +geda_SOJ18_350:SOJ18_350:SOJ18_350:Small outline J-leaded package (350 mil) [SOJ18_350] +geda_SOJ20_350:SOJ20_350:SOJ20_350:Small outline J-leaded package (350 mil) [SOJ20_350] +geda_SOJ22_350:SOJ22_350:SOJ22_350:Small outline J-leaded package (350 mil) [SOJ22_350] +geda_SOJ24_350:SOJ24_350:SOJ24_350:Small outline J-leaded package (350 mil) [SOJ24_350] +geda_SOJ26_350:SOJ26_350:SOJ26_350:Small outline J-leaded package (350 mil) [SOJ26_350] +geda_SOJ28_350:SOJ28_350:SOJ28_350:Small outline J-leaded package (350 mil) [SOJ28_350] +geda_SOJ30_350:SOJ30_350:SOJ30_350:Small outline J-leaded package (350 mil) [SOJ30_350] +geda_SOJ32_350:SOJ32_350:SOJ32_350:Small outline J-leaded package (350 mil) [SOJ32_350] +geda_SOJ34_350:SOJ34_350:SOJ34_350:Small outline J-leaded package (350 mil) [SOJ34_350] +geda_SOJ36_350:SOJ36_350:SOJ36_350:Small outline J-leaded package (350 mil) [SOJ36_350] +geda_SOJ38_350:SOJ38_350:SOJ38_350:Small outline J-leaded package (350 mil) [SOJ38_350] +geda_SOJ40_350:SOJ40_350:SOJ40_350:Small outline J-leaded package (350 mil) [SOJ40_350] +geda_SOJ42_350:SOJ42_350:SOJ42_350:Small outline J-leaded package (350 mil) [SOJ42_350] +geda_SOJ44_350:SOJ44_350:SOJ44_350:Small outline J-leaded package (350 mil) [SOJ44_350] +geda_SOJ14_400:SOJ14_400:SOJ14_400:Small outline J-leaded package (400 mil) [SOJ14_400] +geda_SOJ16_400:SOJ16_400:SOJ16_400:Small outline J-leaded package (400 mil) [SOJ16_400] +geda_SOJ18_400:SOJ18_400:SOJ18_400:Small outline J-leaded package (400 mil) [SOJ18_400] +geda_SOJ20_400:SOJ20_400:SOJ20_400:Small outline J-leaded package (400 mil) [SOJ20_400] +geda_SOJ22_400:SOJ22_400:SOJ22_400:Small outline J-leaded package (400 mil) [SOJ22_400] +geda_SOJ24_400:SOJ24_400:SOJ24_400:Small outline J-leaded package (400 mil) [SOJ24_400] +geda_SOJ26_400:SOJ26_400:SOJ26_400:Small outline J-leaded package (400 mil) [SOJ26_400] +geda_SOJ28_400:SOJ28_400:SOJ28_400:Small outline J-leaded package (400 mil) [SOJ28_400] +geda_SOJ30_400:SOJ30_400:SOJ30_400:Small outline J-leaded package (400 mil) [SOJ30_400] +geda_SOJ32_400:SOJ32_400:SOJ32_400:Small outline J-leaded package (400 mil) [SOJ32_400] +geda_SOJ34_400:SOJ34_400:SOJ34_400:Small outline J-leaded package (400 mil) [SOJ34_400] +geda_SOJ36_400:SOJ36_400:SOJ36_400:Small outline J-leaded package (400 mil) [SOJ36_400] +geda_SOJ38_400:SOJ38_400:SOJ38_400:Small outline J-leaded package (400 mil) [SOJ38_400] +geda_SOJ40_400:SOJ40_400:SOJ40_400:Small outline J-leaded package (400 mil) [SOJ40_400] +geda_SOJ42_400:SOJ42_400:SOJ42_400:Small outline J-leaded package (400 mil) [SOJ42_400] +geda_SOJ44_400:SOJ44_400:SOJ44_400:Small outline J-leaded package (400 mil) [SOJ44_400] +geda_SOJ14_450:SOJ14_450:SOJ14_450:Small outline J-leaded package (450 mil) [SOJ14_450] +geda_SOJ16_450:SOJ16_450:SOJ16_450:Small outline J-leaded package (450 mil) [SOJ16_450] +geda_SOJ18_450:SOJ18_450:SOJ18_450:Small outline J-leaded package (450 mil) [SOJ18_450] +geda_SOJ20_450:SOJ20_450:SOJ20_450:Small outline J-leaded package (450 mil) [SOJ20_450] +geda_SOJ22_450:SOJ22_450:SOJ22_450:Small outline J-leaded package (450 mil) [SOJ22_450] +geda_SOJ24_450:SOJ24_450:SOJ24_450:Small outline J-leaded package (450 mil) [SOJ24_450] +geda_SOJ26_450:SOJ26_450:SOJ26_450:Small outline J-leaded package (450 mil) [SOJ26_450] +geda_SOJ28_450:SOJ28_450:SOJ28_450:Small outline J-leaded package (450 mil) [SOJ28_450] +geda_SOJ30_450:SOJ30_450:SOJ30_450:Small outline J-leaded package (450 mil) [SOJ30_450] +geda_SOJ32_450:SOJ32_450:SOJ32_450:Small outline J-leaded package (450 mil) [SOJ32_450] +geda_SOJ34_450:SOJ34_450:SOJ34_450:Small outline J-leaded package (450 mil) [SOJ34_450] +geda_SOJ36_450:SOJ36_450:SOJ36_450:Small outline J-leaded package (450 mil) [SOJ36_450] +geda_SOJ38_450:SOJ38_450:SOJ38_450:Small outline J-leaded package (450 mil) [SOJ38_450] +geda_SOJ40_450:SOJ40_450:SOJ40_450:Small outline J-leaded package (450 mil) [SOJ40_450] +geda_SOJ42_450:SOJ42_450:SOJ42_450:Small outline J-leaded package (450 mil) [SOJ42_450] +geda_SOJ44_450:SOJ44_450:SOJ44_450:Small outline J-leaded package (450 mil) [SOJ44_450] +geda_SSOP8:SSOP8:SSOP8:Shrink small outline package, .65mm, narrow [SSOP8] +geda_SSOP14:SSOP14:SSOP14:Shrink small outline package, .65mm, narrow [SSOP14] +geda_SSOP16:SSOP16:SSOP16:Shrink small outline package, .65mm, narrow [SSOP16] +geda_SSOP20:SSOP20:SSOP20:Shrink small outline package, .65mm, narrow [SSOP20] +geda_SSOP24:SSOP24:SSOP24:Shrink small outline package, .65mm, narrow [SSOP24] +geda_SSOP28:SSOP28:SSOP28:Shrink small outline package, .65mm, narrow [SSOP28] +geda_SSOP48W:SSOP48W:SSOP48W:Shrink small outline package, 25mil, wide [SSOP48W] +geda_SSOP56W:SSOP56W:SSOP56W:Shrink small outline package, 25mil, wide [SSOP56W] +geda_SSOP64W:SSOP64W:SSOP64W:Shrink small outline package, .80mm, wider [SSOP64W] +geda_MSOP8:MSOP8:MSOP8:Mini small outline package, .65mm [MSOP8] +geda_MSOP10:MSOP10:MSOP10:Mini small outline package, .5mm [MSOP10] +geda_QSOP16:QSOP16:QSOP16:Quarter size small outline package [QSOP16] +geda_QSOP20:QSOP20:QSOP20:Quarter size small outline package [QSOP20] +geda_QSOP24:QSOP24:QSOP24:Quarter size small outline package [QSOP24] +geda_QSOP28:QSOP28:QSOP28:Quarter size small outline package [QSOP28] +geda_TSOP28:TSOP28:TSOP28:Thin small outline package [TSOP28] +geda_TSOP32A:TSOP32A:TSOP32A:Thin small outline package [TSOP32A] +geda_TSOP32B:TSOP32B:TSOP32B:Thin small outline package [TSOP32B] +geda_TSSOP8:TSSOP8:TSSOP8:Thin shrink small outline package, narrow (3mm) [TSSOP8] +geda_TSSOP10:TSSOP10:TSSOP10:Thin shrink small outline package, narrow (3mm) [TSSOP10] +geda_TSSOP8W:TSSOP8W:TSSOP8W:Thin shrink small outline package, standard (4.4mm) [TSSOP8W] +geda_TSSOP14:TSSOP14:TSSOP14:Thin shrink small outline package, standard (4.4mm) [TSSOP14] +geda_TSSOP16:TSSOP16:TSSOP16:Thin shrink small outline package, standard (4.4mm) [TSSOP16] +geda_TSSOP20:TSSOP20:TSSOP20:Thin shrink small outline package, standard (4.4mm) [TSSOP20] +geda_TSSOP24:TSSOP24:TSSOP24:Thin shrink small outline package, standard (4.4mm) [TSSOP24] +geda_TSSOP28:TSSOP28:TSSOP28:Thin shrink small outline package, standard (4.4mm) [TSSOP28] +geda_TSSOP38N:TSSOP38N:TSSOP38N:Thin shrink small outline package, standard (4.4mm) [TSSOP38N] +geda_TSSOP48N:TSSOP48N:TSSOP48N:Thin shrink small outline package, standard (4.4mm) [TSSOP48N] +geda_TSSOP56N:TSSOP56N:TSSOP56N:Thin shrink small outline package, standard (4.4mm) [TSSOP56N] +geda_TSSOP32:TSSOP32:TSSOP32:Thin shrink small outline package, wide (6.1mm) [TSSOP32] +geda_TSSOP48:TSSOP48:TSSOP48:Thin shrink small outline package, wide (6.1mm) [TSSOP48] +geda_TSSOP56:TSSOP56:TSSOP56:Thin shrink small outline package, wide (6.1mm) [TSSOP56] +geda_TSSOP64:TSSOP64:TSSOP64:Thin shrink small outline package, wide (6.1mm) [TSSOP64] +geda_TSSOP80:TSSOP80:TSSOP80:Thin shrink small outline package, wide (6.1mm) [TSSOP80] +geda_PLCC20:PLCC20:PLCC20:Plastic leadless chip carrier [PLCC20] +geda_PLCC28:PLCC28:PLCC28:Plastic leadless chip carrier [PLCC28] +geda_PLCC32:PLCC32:PLCC32:Plastic leadless chip carrier [PLCC32] +geda_PLCC44:PLCC44:PLCC44:Plastic leadless chip carrier [PLCC44] +geda_PLCC52:PLCC52:PLCC52:Plastic leadless chip carrier [PLCC52] +geda_PLCC68:PLCC68:PLCC68:Plastic leadless chip carrier [PLCC68] +geda_PLCC84:PLCC84:PLCC84:Plastic leadless chip carrier [PLCC84] +geda_PLCC20X:PLCC20X:PLCC20X:Plastic leadless chip carrier with pin socket [PLCC20X] +geda_PLCC28X:PLCC28X:PLCC28X:Plastic leadless chip carrier with pin socket [PLCC28X] +geda_PLCC32X:PLCC32X:PLCC32X:Plastic leadless chip carrier with pin socket [PLCC32X] +geda_PLCC44X:PLCC44X:PLCC44X:Plastic leadless chip carrier with pin socket [PLCC44X] +geda_PLCC52X:PLCC52X:PLCC52X:Plastic leadless chip carrier with pin socket [PLCC52X] +geda_PLCC68X:PLCC68X:PLCC68X:Plastic leadless chip carrier with pin socket [PLCC68X] +geda_PLCC84X:PLCC84X:PLCC84X:Plastic leadless chip carrier with pin socket [PLCC84X] +geda_QFN16_3:QFN16_3:QFN16_3:Square Quad Flat Nolead (QFN) package [QFN16_3] +geda_TQFN16_3:TQFN16_3:TQFN16_3:Square Quad Flat Nolead (QFN) package [TQFN16_3] +geda_QFN16_3_EP:QFN16_3_EP:QFN16_3_EP:Square Quad Flat Nolead (QFN) package [QFN16_3_EP] +geda_TQFN16_3_EP:TQFN16_3_EP:TQFN16_3_EP:Square Quad Flat Nolead (QFN) package [TQFN16_3_EP] +geda_QFN12_4:QFN12_4:QFN12_4:Square Quad Flat Nolead (QFN) package [QFN12_4] +geda_TQFN12_4:TQFN12_4:TQFN12_4:Square Quad Flat Nolead (QFN) package [TQFN12_4] +geda_QFN12_4_EP:QFN12_4_EP:QFN12_4_EP:Square Quad Flat Nolead (QFN) package [QFN12_4_EP] +geda_TQFN12_4_EP:TQFN12_4_EP:TQFN12_4_EP:Square Quad Flat Nolead (QFN) package [TQFN12_4_EP] +geda_QFN16_4:QFN16_4:QFN16_4:Square Quad Flat Nolead (QFN) package [QFN16_4] +geda_TQFN16_4:TQFN16_4:TQFN16_4:Square Quad Flat Nolead (QFN) package [TQFN16_4] +geda_QFN16_4_EP:QFN16_4_EP:QFN16_4_EP:Square Quad Flat Nolead (QFN) package [QFN16_4_EP] +geda_TQFN16_4_EP:TQFN16_4_EP:TQFN16_4_EP:Square Quad Flat Nolead (QFN) package [TQFN16_4_EP] +geda_QFN20_4:QFN20_4:QFN20_4:Square Quad Flat Nolead (QFN) package [QFN20_4] +geda_TQFN20_4:TQFN20_4:TQFN20_4:Square Quad Flat Nolead (QFN) package [TQFN20_4] +geda_QFN20_4_EP:QFN20_4_EP:QFN20_4_EP:Square Quad Flat Nolead (QFN) package [QFN20_4_EP] +geda_TQFN20_4_EP:TQFN20_4_EP:TQFN20_4_EP:Square Quad Flat Nolead (QFN) package [TQFN20_4_EP] +geda_QFN24_4:QFN24_4:QFN24_4:Square Quad Flat Nolead (QFN) package [QFN24_4] +geda_TQFN24_4:TQFN24_4:TQFN24_4:Square Quad Flat Nolead (QFN) package [TQFN24_4] +geda_QFN24_4_EP:QFN24_4_EP:QFN24_4_EP:Square Quad Flat Nolead (QFN) package [QFN24_4_EP] +geda_TQFN24_4_EP:TQFN24_4_EP:TQFN24_4_EP:Square Quad Flat Nolead (QFN) package [TQFN24_4_EP] +geda_QFN16_5:QFN16_5:QFN16_5:Square Quad Flat Nolead (QFN) package [QFN16_5] +geda_TQFN16_5:TQFN16_5:TQFN16_5:Square Quad Flat Nolead (QFN) package [TQFN16_5] +geda_QFN16_5_EP:QFN16_5_EP:QFN16_5_EP:Square Quad Flat Nolead (QFN) package [QFN16_5_EP] +geda_TQFN16_5_EP:TQFN16_5_EP:TQFN16_5_EP:Square Quad Flat Nolead (QFN) package [TQFN16_5_EP] +geda_QFN20_5:QFN20_5:QFN20_5:Square Quad Flat Nolead (QFN) package [QFN20_5] +geda_TQFN20_5:TQFN20_5:TQFN20_5:Square Quad Flat Nolead (QFN) package [TQFN20_5] +geda_QFN20_5_EP:QFN20_5_EP:QFN20_5_EP:Square Quad Flat Nolead (QFN) package [QFN20_5_EP] +geda_TQFN20_5_EP:TQFN20_5_EP:TQFN20_5_EP:Square Quad Flat Nolead (QFN) package [TQFN20_5_EP] +geda_QFN28_5:QFN28_5:QFN28_5:Square Quad Flat Nolead (QFN) package [QFN28_5] +geda_TQFN28_5:TQFN28_5:TQFN28_5:Square Quad Flat Nolead (QFN) package [TQFN28_5] +geda_QFN28_5_EP:QFN28_5_EP:QFN28_5_EP:Square Quad Flat Nolead (QFN) package [QFN28_5_EP] +geda_TQFN28_5_EP:TQFN28_5_EP:TQFN28_5_EP:Square Quad Flat Nolead (QFN) package [TQFN28_5_EP] +geda_QFN32_5:QFN32_5:QFN32_5:Square Quad Flat Nolead (QFN) package [QFN32_5] +geda_TQFN32_5:TQFN32_5:TQFN32_5:Square Quad Flat Nolead (QFN) package [TQFN32_5] +geda_QFN32_5_EP:QFN32_5_EP:QFN32_5_EP:Square Quad Flat Nolead (QFN) package [QFN32_5_EP] +geda_TQFN32_5_EP:TQFN32_5_EP:TQFN32_5_EP:Square Quad Flat Nolead (QFN) package [TQFN32_5_EP] +geda_QFN36_6:QFN36_6:QFN36_6:Square Quad Flat Nolead (QFN) package [QFN36_6] +geda_TQFN36_6:TQFN36_6:TQFN36_6:Square Quad Flat Nolead (QFN) package [TQFN36_6] +geda_QFN36_6_EP:QFN36_6_EP:QFN36_6_EP:Square Quad Flat Nolead (QFN) package [QFN36_6_EP] +geda_TQFN36_6_EP:TQFN36_6_EP:TQFN36_6_EP:Square Quad Flat Nolead (QFN) package [TQFN36_6_EP] +geda_QFN40_6:QFN40_6:QFN40_6:Square Quad Flat Nolead (QFN) package [QFN40_6] +geda_TQFN40_6:TQFN40_6:TQFN40_6:Square Quad Flat Nolead (QFN) package [TQFN40_6] +geda_QFN40_6_EP:QFN40_6_EP:QFN40_6_EP:Square Quad Flat Nolead (QFN) package [QFN40_6_EP] +geda_TQFN40_6_EP:TQFN40_6_EP:TQFN40_6_EP:Square Quad Flat Nolead (QFN) package [TQFN40_6_EP] +geda_QFN32_7:QFN32_7:QFN32_7:Square Quad Flat Nolead (QFN) package [QFN32_7] +geda_TQFN32_7:TQFN32_7:TQFN32_7:Square Quad Flat Nolead (QFN) package [TQFN32_7] +geda_QFN32_7_EP:QFN32_7_EP:QFN32_7_EP:Square Quad Flat Nolead (QFN) package [QFN32_7_EP] +geda_TQFN32_7_EP:TQFN32_7_EP:TQFN32_7_EP:Square Quad Flat Nolead (QFN) package [TQFN32_7_EP] +geda_QFN44_7:QFN44_7:QFN44_7:Square Quad Flat Nolead (QFN) package [QFN44_7] +geda_TQFN44_7:TQFN44_7:TQFN44_7:Square Quad Flat Nolead (QFN) package [TQFN44_7] +geda_QFN44_7_EP:QFN44_7_EP:QFN44_7_EP:Square Quad Flat Nolead (QFN) package [QFN44_7_EP] +geda_TQFN44_7_EP:TQFN44_7_EP:TQFN44_7_EP:Square Quad Flat Nolead (QFN) package [TQFN44_7_EP] +geda_QFN48_7:QFN48_7:QFN48_7:Square Quad Flat Nolead (QFN) package [QFN48_7] +geda_TQFN48_7:TQFN48_7:TQFN48_7:Square Quad Flat Nolead (QFN) package [TQFN48_7] +geda_QFN48_7_EP:QFN48_7_EP:QFN48_7_EP:Square Quad Flat Nolead (QFN) package [QFN48_7_EP] +geda_TQFN48_7_EP:TQFN48_7_EP:TQFN48_7_EP:Square Quad Flat Nolead (QFN) package [TQFN48_7_EP] +geda_QFN56_8:QFN56_8:QFN56_8:Square Quad Flat Nolead (QFN) package [QFN56_8] +geda_TQFN56_8:TQFN56_8:TQFN56_8:Square Quad Flat Nolead (QFN) package [TQFN56_8] +geda_QFN56_8_EP:QFN56_8_EP:QFN56_8_EP:Square Quad Flat Nolead (QFN) package [QFN56_8_EP] +geda_TQFN56_8_EP:TQFN56_8_EP:TQFN56_8_EP:Square Quad Flat Nolead (QFN) package [TQFN56_8_EP] +geda_QFN68_10:QFN68_10:QFN68_10:Square Quad Flat Nolead (QFN) package [QFN68_10] +geda_TQFN68_10:TQFN68_10:TQFN68_10:Square Quad Flat Nolead (QFN) package [TQFN68_10] +geda_QFN68_10_EP:QFN68_10_EP:QFN68_10_EP:Square Quad Flat Nolead (QFN) package [QFN68_10_EP] +geda_TQFN68_10_EP:TQFN68_10_EP:TQFN68_10_EP:Square Quad Flat Nolead (QFN) package [TQFN68_10_EP] +geda_LQFP24_4:LQFP24_4:LQFP24_4:Square Quad-side flat pack [LQFP24_4] +geda_LQFP32_5:LQFP32_5:LQFP32_5:Square Quad-side flat pack [LQFP32_5] +geda_LQFP32_7:LQFP32_7:LQFP32_7:Square Quad-side flat pack [LQFP32_7] +geda_LQFP44_10:LQFP44_10:LQFP44_10:Square Quad-side flat pack [LQFP44_10] +geda_LQFP48_7:LQFP48_7:LQFP48_7:Square Quad-side flat pack [LQFP48_7] +geda_LQFP48_12:LQFP48_12:LQFP48_12:Square Quad-side flat pack [LQFP48_12] +geda_LQFP52_10:LQFP52_10:LQFP52_10:Square Quad-side flat pack [LQFP52_10] +geda_LQFP64_7:LQFP64_7:LQFP64_7:Square Quad-side flat pack [LQFP64_7] +geda_LQFP64_10:LQFP64_10:LQFP64_10:Square Quad-side flat pack [LQFP64_10] +geda_LQFP64_14:LQFP64_14:LQFP64_14:Square Quad-side flat pack [LQFP64_14] +geda_LQFP72_10:LQFP72_10:LQFP72_10:Square Quad-side flat pack [LQFP72_10] +geda_LQFP80_12:LQFP80_12:LQFP80_12:Square Quad-side flat pack [LQFP80_12] +geda_LQFP80_14:LQFP80_14:LQFP80_14:Square Quad-side flat pack [LQFP80_14] +geda_LQFP100_10:LQFP100_10:LQFP100_10:Square Quad-side flat pack [LQFP100_10] +geda_LQFP100_14:LQFP100_14:LQFP100_14:Square Quad-side flat pack [LQFP100_14] +geda_LQFP112_20:LQFP112_20:LQFP112_20:Square Quad-side flat pack [LQFP112_20] +geda_LQFP120_16:LQFP120_16:LQFP120_16:Square Quad-side flat pack [LQFP120_16] +geda_LQFP128_14:LQFP128_14:LQFP128_14:Square Quad-side flat pack [LQFP128_14] +geda_LQFP128_14_EP:LQFP128_14_EP:LQFP128_14_EP:Square Quad-side flat pack [LQFP128_14_EP] +geda_LQFP144_20:LQFP144_20:LQFP144_20:Square Quad-side flat pack [LQFP144_20] +geda_LQFP160_24:LQFP160_24:LQFP160_24:Square Quad-side flat pack [LQFP160_24] +geda_LQFP176_24:LQFP176_24:LQFP176_24:Square Quad-side flat pack [LQFP176_24] +geda_QFP32_7:QFP32_7:QFP32_7:Square Quad-side flat pack [QFP32_7] +geda_QFP44_10:QFP44_10:QFP44_10:Square Quad-side flat pack [QFP44_10] +geda_QFP52_10:QFP52_10:QFP52_10:Square Quad-side flat pack [QFP52_10] +geda_QFP64_14:QFP64_14:QFP64_14:Square Quad-side flat pack [QFP64_14] +geda_QFP80_14:QFP80_14:QFP80_14:Square Quad-side flat pack [QFP80_14] +geda_QFP100_14:QFP100_14:QFP100_14:Square Quad-side flat pack [QFP100_14] +geda_QFP120_28:QFP120_28:QFP120_28:Square Quad-side flat pack [QFP120_28] +geda_QFP128_28:QFP128_28:QFP128_28:Square Quad-side flat pack [QFP128_28] +geda_QFP144_28:QFP144_28:QFP144_28:Square Quad-side flat pack [QFP144_28] +geda_QFP160_28:QFP160_28:QFP160_28:Square Quad-side flat pack [QFP160_28] +geda_QFP208_28:QFP208_28:QFP208_28:Square Quad-side flat pack [QFP208_28] +geda_QFP160_28:QFP160_28:QFP160_28:Square Quad-side flat pack [QFP160_28] +geda_QFP240_32:QFP240_32:QFP240_32:Square Quad-side flat pack [QFP240_32] +geda_QFP304_40:QFP304_40:QFP304_40:Square Quad-side flat pack [QFP304_40] +geda_TQFP32_7:TQFP32_7:TQFP32_7:Square Quad-side flat pack [TQFP32_7] +geda_TQFP44_10:TQFP44_10:TQFP44_10:Square Quad-side flat pack [TQFP44_10] +geda_TQFP52_10:TQFP52_10:TQFP52_10:Square Quad-side flat pack [TQFP52_10] +geda_TQFP64_10:TQFP64_10:TQFP64_10:Square Quad-side flat pack [TQFP64_10] +geda_TQFP64_14:TQFP64_14:TQFP64_14:Square Quad-side flat pack [TQFP64_14] +geda_TQFP80_12:TQFP80_12:TQFP80_12:Square Quad-side flat pack [TQFP80_12] +geda_TQFP80_14:TQFP80_14:TQFP80_14:Square Quad-side flat pack [TQFP80_14] +geda_TQFP100_12:TQFP100_12:TQFP100_12:Square Quad-side flat pack [TQFP100_12] +geda_TQFP100_14:TQFP100_14:TQFP100_14:Square Quad-side flat pack [TQFP100_14] +geda_TQFP120_28:TQFP120_28:TQFP120_28:Square Quad-side flat pack [TQFP120_28] +geda_TQFP128_14:TQFP128_14:TQFP128_14:Square Quad-side flat pack [TQFP128_14] +geda_TQFP128_14_EP:TQFP128_14_EP:TQFP128_14_EP:Square Quad-side flat pack [TQFP128_14_EP] +geda_TQFP128_28:TQFP128_28:TQFP128_28:Square Quad-side flat pack [TQFP128_28] +geda_TQFP144_28:TQFP144_28:TQFP144_28:Square Quad-side flat pack [TQFP144_28] +geda_TQFP160_28:TQFP160_28:TQFP160_28:Square Quad-side flat pack [TQFP160_28] +geda_TQFP208_28:TQFP208_28:TQFP208_28:Square Quad-side flat pack [TQFP208_28] +geda_TQFP160_28:TQFP160_28:TQFP160_28:Square Quad-side flat pack [TQFP160_28] +geda_TQFP240_32:TQFP240_32:TQFP240_32:Square Quad-side flat pack [TQFP240_32] +geda_TQFP304_40:TQFP304_40:TQFP304_40:Square Quad-side flat pack [TQFP304_40] +geda_QFP64_R:QFP64_R:QFP64_R:Rectangular Quad-side flat pack [QFP64_R] +geda_QFP80_R:QFP80_R:QFP80_R:Rectangular Quad-side flat pack [QFP80_R] +geda_QFP100_R:QFP100_R:QFP100_R:Rectangular Quad-side flat pack [QFP100_R] +geda_QFP128_R:QFP128_R:QFP128_R:Rectangular Quad-side flat pack [QFP128_R] +geda_LQFP128_R:LQFP128_R:LQFP128_R:Rectangular Quad-side flat pack [LQFP128_R] +geda_ZIP9:ZIP9:ZIP9:Zig-zag in-line package [ZIP9] +geda_ZIP12:ZIP12:ZIP12:Zig-zag in-line package [ZIP12] +geda_ZIP16:ZIP16:ZIP16:Zig-zag in-line package [ZIP16] +geda_ZIP18:ZIP18:ZIP18:Zig-zag in-line package [ZIP18] +geda_ZIP20:ZIP20:ZIP20:Zig-zag in-line package [ZIP20] +geda_ZIP24:ZIP24:ZIP24:Zig-zag in-line package [ZIP24] +geda_ZIP28:ZIP28:ZIP28:Zig-zag in-line package [ZIP28] +geda_ZIP40:ZIP40:ZIP40:Zig-zag in-line package [ZIP40] +geda_ACY100:ACY100:ACY100:Axial non-polar component (typically resistor or capacitor), [ACY100] +geda_ACY150:ACY150:ACY150:Axial non-polar component (typically resistor or capacitor), [ACY150] +geda_ACY200:ACY200:ACY200:Axial non-polar component (typically resistor or capacitor), [ACY200] +geda_ACY300:ACY300:ACY300:Axial non-polar component (typically resistor or capacitor), [ACY300] +geda_ACY400:ACY400:ACY400:Axial non-polar component (typically resistor or capacitor), [ACY400] +geda_ACY500:ACY500:ACY500:Axial non-polar component (typically resistor or capacitor), [ACY500] +geda_ACY600:ACY600:ACY600:Axial non-polar component (typically resistor or capacitor), [ACY600] +geda_ACY700:ACY700:ACY700:Axial non-polar component (typically resistor or capacitor), [ACY700] +geda_ACY800:ACY800:ACY800:Axial non-polar component (typically resistor or capacitor), [ACY800] +geda_ACY900:ACY900:ACY900:Axial non-polar component (typically resistor or capacitor), [ACY900] +geda_ACY1000:ACY1000:ACY1000:Axial non-polar component (typically resistor or capacitor), [ACY1000] +geda_ACY1100:ACY1100:ACY1100:Axial non-polar component (typically resistor or capacitor), [ACY1100] +geda_ACY1200:ACY1200:ACY1200:Axial non-polar component (typically resistor or capacitor), [ACY1200] +geda_ACY1300:ACY1300:ACY1300:Axial non-polar component (typically resistor or capacitor), [ACY1300] +geda_ACY1400:ACY1400:ACY1400:Axial non-polar component (typically resistor or capacitor), [ACY1400] +geda_ACY1500:ACY1500:ACY1500:Axial non-polar component (typically resistor or capacitor), [ACY1500] +geda_ACY1600:ACY1600:ACY1600:Axial non-polar component (typically resistor or capacitor), [ACY1600] +geda_ACY100P:ACY100P:ACY100P:Axial polar component (typically capacitor), [ACY100P] +geda_ACY150P:ACY150P:ACY150P:Axial polar component (typically capacitor), [ACY150P] +geda_ACY200P:ACY200P:ACY200P:Axial polar component (typically capacitor), [ACY200P] +geda_ACY300P:ACY300P:ACY300P:Axial polar component (typically capacitor), [ACY300P] +geda_ACY400P:ACY400P:ACY400P:Axial polar component (typically capacitor), [ACY400P] +geda_ACY500P:ACY500P:ACY500P:Axial polar component (typically capacitor), [ACY500P] +geda_ACY600P:ACY600P:ACY600P:Axial polar component (typically capacitor), [ACY600P] +geda_ACY700P:ACY700P:ACY700P:Axial polar component (typically capacitor), [ACY700P] +geda_ACY800P:ACY800P:ACY800P:Axial polar component (typically capacitor), [ACY800P] +geda_ACY900P:ACY900P:ACY900P:Axial polar component (typically capacitor), [ACY900P] +geda_ACY1000P:ACY1000P:ACY1000P:Axial polar component (typically capacitor), [ACY1000P] +geda_ACY1100P:ACY1100P:ACY1100P:Axial polar component (typically capacitor), [ACY1100P] +geda_ACY1200P:ACY1200P:ACY1200P:Axial polar component (typically capacitor), [ACY1200P] +geda_ACY1300P:ACY1300P:ACY1300P:Axial polar component (typically capacitor), [ACY1300P] +geda_ACY1400P:ACY1400P:ACY1400P:Axial polar component (typically capacitor), [ACY1400P] +geda_ACY1500P:ACY1500P:ACY1500P:Axial polar component (typically capacitor), [ACY1500P] +geda_ACY1600P:ACY1600P:ACY1600P:Axial polar component (typically capacitor), [ACY1600P] +geda_ALF300:ALF300:ALF300:Axial diode (pin 1 is cathode) [ALF300] +geda_ALF400:ALF400:ALF400:Axial diode (pin 1 is cathode) [ALF400] +geda_ALF500:ALF500:ALF500:Axial diode (pin 1 is cathode) [ALF500] +geda_ALF600:ALF600:ALF600:Axial diode (pin 1 is cathode) [ALF600] +geda_ALF700:ALF700:ALF700:Axial diode (pin 1 is cathode) [ALF700] +geda_ALF800:ALF800:ALF800:Axial diode (pin 1 is cathode) [ALF800] +geda_ALF900:ALF900:ALF900:Axial diode (pin 1 is cathode) [ALF900] +geda_ALF1000:ALF1000:ALF1000:Axial diode (pin 1 is cathode) [ALF1000] +geda_RCY100:RCY100:RCY100:Bottom lead non-polar circular component (typically capacitor) [RCY100] +geda_RCY150:RCY150:RCY150:Bottom lead non-polar circular component (typically capacitor) [RCY150] +geda_RCY200:RCY200:RCY200:Bottom lead non-polar circular component (typically capacitor) [RCY200] +geda_RCY250:RCY250:RCY250:Bottom lead non-polar circular component (typically capacitor) [RCY250] +geda_RCY300:RCY300:RCY300:Bottom lead non-polar circular component (typically capacitor) [RCY300] +geda_RCY350:RCY350:RCY350:Bottom lead non-polar circular component (typically capacitor) [RCY350] +geda_RCY400:RCY400:RCY400:Bottom lead non-polar circular component (typically capacitor) [RCY400] +geda_RCY500:RCY500:RCY500:Bottom lead non-polar circular component (typically capacitor) [RCY500] +geda_RCY600:RCY600:RCY600:Bottom lead non-polar circular component (typically capacitor) [RCY600] +geda_RCY700:RCY700:RCY700:Bottom lead non-polar circular component (typically capacitor) [RCY700] +geda_RCY800:RCY800:RCY800:Bottom lead non-polar circular component (typically capacitor) [RCY800] +geda_RCY900:RCY900:RCY900:Bottom lead non-polar circular component (typically capacitor) [RCY900] +geda_RCY1000:RCY1000:RCY1000:Bottom lead non-polar circular component (typically capacitor) [RCY1000] +geda_RCY1100:RCY1100:RCY1100:Bottom lead non-polar circular component (typically capacitor) [RCY1100] +geda_RCY1200:RCY1200:RCY1200:Bottom lead non-polar circular component (typically capacitor) [RCY1200] +geda_RCY100P:RCY100P:RCY100P:Bottom lead polar circular component (typically capacitor) [RCY100P] +geda_RCY150P:RCY150P:RCY150P:Bottom lead polar circular component (typically capacitor) [RCY150P] +geda_RCY200P:RCY200P:RCY200P:Bottom lead polar circular component (typically capacitor) [RCY200P] +geda_RCY250P:RCY250P:RCY250P:Bottom lead polar circular component (typically capacitor) [RCY250P] +geda_RCY300P:RCY300P:RCY300P:Bottom lead polar circular component (typically capacitor) [RCY300P] +geda_RCY350P:RCY350P:RCY350P:Bottom lead polar circular component (typically capacitor) [RCY350P] +geda_RCY400P:RCY400P:RCY400P:Bottom lead polar circular component (typically capacitor) [RCY400P] +geda_RCY500P:RCY500P:RCY500P:Bottom lead polar circular component (typically capacitor) [RCY500P] +geda_RCY600P:RCY600P:RCY600P:Bottom lead polar circular component (typically capacitor) [RCY600P] +geda_RCY700P:RCY700P:RCY700P:Bottom lead polar circular component (typically capacitor) [RCY700P] +geda_RCY800P:RCY800P:RCY800P:Bottom lead polar circular component (typically capacitor) [RCY800P] +geda_RCY900P:RCY900P:RCY900P:Bottom lead polar circular component (typically capacitor) [RCY900P] +geda_RCY1000P:RCY1000P:RCY1000P:Bottom lead polar circular component (typically capacitor) [RCY1000P] +geda_RCY1100P:RCY1100P:RCY1100P:Bottom lead polar circular component (typically capacitor) [RCY1100P] +geda_RCY1200P:RCY1200P:RCY1200P:Bottom lead polar circular component (typically capacitor) [RCY1200P] +geda_HC49U:HC49U:HC49U:Crystals [HC49U] +geda_HC49UH:HC49UH:HC49UH:Crystals [HC49UH] +geda_HC49U_3:HC49U_3:HC49U_3:Crystals [HC49U_3] +geda_HC49U_3H:HC49U_3H:HC49U_3H:Crystals [HC49U_3H] +geda_HC51U:HC51U:HC51U:Crystals [HC51U] +geda_HC51UH:HC51UH:HC51UH:Crystals [HC51UH] +geda_UM1:UM1:UM1:Crystals [UM1] +geda_UM1H:UM1H:UM1H:Crystals [UM1H] +geda_UM1_3:UM1_3:UM1_3:Crystals [UM1_3] +geda_UM1_3H:UM1_3H:UM1_3H:Crystals [UM1_3H] +geda_HC49:HC49:HC49:Crystals [HC49] +geda_OSC14:OSC14:OSC14:Crystal oscillator [OSC14] +geda_LED3:LED3:LED3:LED, size in mm (pin 1 is +, 2 is -) [LED3] +geda_LED5:LED5:LED5:LED, size in mm (pin 1 is +, 2 is -) [LED5] +geda_TO126:TO126:TO126:Transistor [TO126] +geda_TO126S:TO126S:TO126S:Transistor [TO126S] +geda_TO126W:TO126W:TO126W:Transistor [TO126W] +geda_TO126SW:TO126SW:TO126SW:Transistor [TO126SW] +geda_TO220:TO220:TO220:Transistor [TO220] +geda_TO220S:TO220S:TO220S:Transistor [TO220S] +geda_TO220W:TO220W:TO220W:Transistor [TO220W] +geda_TO220SW:TO220SW:TO220SW:Transistor [TO220SW] +geda_TO18:TO18:TO18:Transistor [TO18] +geda_TO39:TO39:TO39:Transistor [TO39] +geda_TO92:TO92:TO92:Transistor [TO92] +geda_TO220ACS:TO220ACS:TO220ACS:diode in TO220 [TO220ACS] +geda_TO218:TO218:TO218:diode in TO220 [TO218] +geda_TO247:TO247:TO247:diode in TO220 [TO247] +geda_TO247_2:TO247_2:TO247_2:diode in TO220 [TO247_2] +geda_TO264:TO264:TO264:diode in TO220 [TO264] +geda_TO251:TO251:TO251:diode in TO220 [TO251] +geda_TO220ACSTAND:TO220ACSTAND:TO220ACSTAND:diode in TO220 [TO220ACSTAND] +geda_PENTAWATT:PENTAWATT:PENTAWATT:Power IC, as in MULTIWATT15 [PENTAWATT] +geda_HEPTAWATT:HEPTAWATT:HEPTAWATT:Power IC, as in MULTIWATT15 [HEPTAWATT] +geda_MULTIWATT8:MULTIWATT8:MULTIWATT8:Power IC, as in MULTIWATT15 [MULTIWATT8] +geda_MULTIWATT11:MULTIWATT11:MULTIWATT11:Power IC, as in MULTIWATT15 [MULTIWATT11] +geda_MULTIWATT15:MULTIWATT15:MULTIWATT15:Power IC, as in MULTIWATT15 [MULTIWATT15] +geda_JUMPER1:JUMPER1:JUMPER1:Jumper, i.e. single row headers [JUMPER1] +geda_JUMPER2:JUMPER2:JUMPER2:Jumper, i.e. single row headers [JUMPER2] +geda_JUMPER3:JUMPER3:JUMPER3:Jumper, i.e. single row headers [JUMPER3] +geda_JUMPER4:JUMPER4:JUMPER4:Jumper, i.e. single row headers [JUMPER4] +geda_JUMPER5:JUMPER5:JUMPER5:Jumper, i.e. single row headers [JUMPER5] +geda_JUMPER6:JUMPER6:JUMPER6:Jumper, i.e. single row headers [JUMPER6] +geda_JUMPER7:JUMPER7:JUMPER7:Jumper, i.e. single row headers [JUMPER7] +geda_JUMPER8:JUMPER8:JUMPER8:Jumper, i.e. single row headers [JUMPER8] +geda_JUMPER9:JUMPER9:JUMPER9:Jumper, i.e. single row headers [JUMPER9] +geda_JUMPER10:JUMPER10:JUMPER10:Jumper, i.e. single row headers [JUMPER10] +geda_JUMPER11:JUMPER11:JUMPER11:Jumper, i.e. single row headers [JUMPER11] +geda_JUMPER12:JUMPER12:JUMPER12:Jumper, i.e. single row headers [JUMPER12] +geda_JUMPER13:JUMPER13:JUMPER13:Jumper, i.e. single row headers [JUMPER13] +geda_JUMPER14:JUMPER14:JUMPER14:Jumper, i.e. single row headers [JUMPER14] +geda_JUMPER15:JUMPER15:JUMPER15:Jumper, i.e. single row headers [JUMPER15] +geda_JUMPER16:JUMPER16:JUMPER16:Jumper, i.e. single row headers [JUMPER16] +geda_JUMPER17:JUMPER17:JUMPER17:Jumper, i.e. single row headers [JUMPER17] +geda_JUMPER18:JUMPER18:JUMPER18:Jumper, i.e. single row headers [JUMPER18] +geda_JUMPER19:JUMPER19:JUMPER19:Jumper, i.e. single row headers [JUMPER19] +geda_JUMPER20:JUMPER20:JUMPER20:Jumper, i.e. single row headers [JUMPER20] +geda_JUMPER21:JUMPER21:JUMPER21:Jumper, i.e. single row headers [JUMPER21] +geda_JUMPER22:JUMPER22:JUMPER22:Jumper, i.e. single row headers [JUMPER22] +geda_JUMPER23:JUMPER23:JUMPER23:Jumper, i.e. single row headers [JUMPER23] +geda_JUMPER24:JUMPER24:JUMPER24:Jumper, i.e. single row headers [JUMPER24] +geda_JUMPER25:JUMPER25:JUMPER25:Jumper, i.e. single row headers [JUMPER25] +geda_JUMPER26:JUMPER26:JUMPER26:Jumper, i.e. single row headers [JUMPER26] +geda_MTA100_2:MTA100_2:MTA100_2:MTA Connector, (0.100 inch pitch) [MTA100_2] +geda_MTA100_3:MTA100_3:MTA100_3:MTA Connector, (0.100 inch pitch) [MTA100_3] +geda_MTA100_4:MTA100_4:MTA100_4:MTA Connector, (0.100 inch pitch) [MTA100_4] +geda_MTA100_5:MTA100_5:MTA100_5:MTA Connector, (0.100 inch pitch) [MTA100_5] +geda_MTA100_6:MTA100_6:MTA100_6:MTA Connector, (0.100 inch pitch) [MTA100_6] +geda_MTA100_7:MTA100_7:MTA100_7:MTA Connector, (0.100 inch pitch) [MTA100_7] +geda_MTA100_8:MTA100_8:MTA100_8:MTA Connector, (0.100 inch pitch) [MTA100_8] +geda_MTA100_9:MTA100_9:MTA100_9:MTA Connector, (0.100 inch pitch) [MTA100_9] +geda_MTA100_10:MTA100_10:MTA100_10:MTA Connector, (0.100 inch pitch) [MTA100_10] +geda_MTA100_11:MTA100_11:MTA100_11:MTA Connector, (0.100 inch pitch) [MTA100_11] +geda_MTA100_12:MTA100_12:MTA100_12:MTA Connector, (0.100 inch pitch) [MTA100_12] +geda_MTA100_13:MTA100_13:MTA100_13:MTA Connector, (0.100 inch pitch) [MTA100_13] +geda_MTA100_14:MTA100_14:MTA100_14:MTA Connector, (0.100 inch pitch) [MTA100_14] +geda_MTA100_15:MTA100_15:MTA100_15:MTA Connector, (0.100 inch pitch) [MTA100_15] +geda_HEADER2_1:HEADER2_1:HEADER2_1:Header connector, DIP pin numbering [HEADER2_1] +geda_HEADER4_1:HEADER4_1:HEADER4_1:Header connector, DIP pin numbering [HEADER4_1] +geda_HEADER6_1:HEADER6_1:HEADER6_1:Header connector, DIP pin numbering [HEADER6_1] +geda_HEADER8_1:HEADER8_1:HEADER8_1:Header connector, DIP pin numbering [HEADER8_1] +geda_HEADER10_1:HEADER10_1:HEADER10_1:Header connector, DIP pin numbering [HEADER10_1] +geda_HEADER12_1:HEADER12_1:HEADER12_1:Header connector, DIP pin numbering [HEADER12_1] +geda_HEADER14_1:HEADER14_1:HEADER14_1:Header connector, DIP pin numbering [HEADER14_1] +geda_HEADER16_1:HEADER16_1:HEADER16_1:Header connector, DIP pin numbering [HEADER16_1] +geda_HEADER18_1:HEADER18_1:HEADER18_1:Header connector, DIP pin numbering [HEADER18_1] +geda_HEADER20_1:HEADER20_1:HEADER20_1:Header connector, DIP pin numbering [HEADER20_1] +geda_HEADER22_1:HEADER22_1:HEADER22_1:Header connector, DIP pin numbering [HEADER22_1] +geda_HEADER24_1:HEADER24_1:HEADER24_1:Header connector, DIP pin numbering [HEADER24_1] +geda_HEADER26_1:HEADER26_1:HEADER26_1:Header connector, DIP pin numbering [HEADER26_1] +geda_HEADER28_1:HEADER28_1:HEADER28_1:Header connector, DIP pin numbering [HEADER28_1] +geda_HEADER30_1:HEADER30_1:HEADER30_1:Header connector, DIP pin numbering [HEADER30_1] +geda_HEADER32_1:HEADER32_1:HEADER32_1:Header connector, DIP pin numbering [HEADER32_1] +geda_HEADER34_1:HEADER34_1:HEADER34_1:Header connector, DIP pin numbering [HEADER34_1] +geda_HEADER36_1:HEADER36_1:HEADER36_1:Header connector, DIP pin numbering [HEADER36_1] +geda_HEADER38_1:HEADER38_1:HEADER38_1:Header connector, DIP pin numbering [HEADER38_1] +geda_HEADER40_1:HEADER40_1:HEADER40_1:Header connector, DIP pin numbering [HEADER40_1] +geda_HEADER42_1:HEADER42_1:HEADER42_1:Header connector, DIP pin numbering [HEADER42_1] +geda_HEADER44_1:HEADER44_1:HEADER44_1:Header connector, DIP pin numbering [HEADER44_1] +geda_HEADER46_1:HEADER46_1:HEADER46_1:Header connector, DIP pin numbering [HEADER46_1] +geda_HEADER48_1:HEADER48_1:HEADER48_1:Header connector, DIP pin numbering [HEADER48_1] +geda_HEADER50_1:HEADER50_1:HEADER50_1:Header connector, DIP pin numbering [HEADER50_1] +geda_HEADER52_1:HEADER52_1:HEADER52_1:Header connector, DIP pin numbering [HEADER52_1] +geda_HEADER54_1:HEADER54_1:HEADER54_1:Header connector, DIP pin numbering [HEADER54_1] +geda_HEADER56_1:HEADER56_1:HEADER56_1:Header connector, DIP pin numbering [HEADER56_1] +geda_HEADER58_1:HEADER58_1:HEADER58_1:Header connector, DIP pin numbering [HEADER58_1] +geda_HEADER60_1:HEADER60_1:HEADER60_1:Header connector, DIP pin numbering [HEADER60_1] +geda_HEADER62_1:HEADER62_1:HEADER62_1:Header connector, DIP pin numbering [HEADER62_1] +geda_HEADER64_1:HEADER64_1:HEADER64_1:Header connector, DIP pin numbering [HEADER64_1] +geda_HEADER80_1:HEADER80_1:HEADER80_1:Header connector, DIP pin numbering [HEADER80_1] +geda_HEADER2_2:HEADER2_2:HEADER2_2:Header connector, ribbon cable numbering [HEADER2_2] +geda_HEADER4_2:HEADER4_2:HEADER4_2:Header connector, ribbon cable numbering [HEADER4_2] +geda_HEADER6_2:HEADER6_2:HEADER6_2:Header connector, ribbon cable numbering [HEADER6_2] +geda_HEADER8_2:HEADER8_2:HEADER8_2:Header connector, ribbon cable numbering [HEADER8_2] +geda_HEADER10_2:HEADER10_2:HEADER10_2:Header connector, ribbon cable numbering [HEADER10_2] +geda_HEADER12_2:HEADER12_2:HEADER12_2:Header connector, ribbon cable numbering [HEADER12_2] +geda_HEADER14_2:HEADER14_2:HEADER14_2:Header connector, ribbon cable numbering [HEADER14_2] +geda_HEADER16_2:HEADER16_2:HEADER16_2:Header connector, ribbon cable numbering [HEADER16_2] +geda_HEADER18_2:HEADER18_2:HEADER18_2:Header connector, ribbon cable numbering [HEADER18_2] +geda_HEADER20_2:HEADER20_2:HEADER20_2:Header connector, ribbon cable numbering [HEADER20_2] +geda_HEADER22_2:HEADER22_2:HEADER22_2:Header connector, ribbon cable numbering [HEADER22_2] +geda_HEADER24_2:HEADER24_2:HEADER24_2:Header connector, ribbon cable numbering [HEADER24_2] +geda_HEADER26_2:HEADER26_2:HEADER26_2:Header connector, ribbon cable numbering [HEADER26_2] +geda_HEADER28_2:HEADER28_2:HEADER28_2:Header connector, ribbon cable numbering [HEADER28_2] +geda_HEADER30_2:HEADER30_2:HEADER30_2:Header connector, ribbon cable numbering [HEADER30_2] +geda_HEADER32_2:HEADER32_2:HEADER32_2:Header connector, ribbon cable numbering [HEADER32_2] +geda_HEADER34_2:HEADER34_2:HEADER34_2:Header connector, ribbon cable numbering [HEADER34_2] +geda_HEADER36_2:HEADER36_2:HEADER36_2:Header connector, ribbon cable numbering [HEADER36_2] +geda_HEADER38_2:HEADER38_2:HEADER38_2:Header connector, ribbon cable numbering [HEADER38_2] +geda_HEADER40_2:HEADER40_2:HEADER40_2:Header connector, ribbon cable numbering [HEADER40_2] +geda_HEADER42_2:HEADER42_2:HEADER42_2:Header connector, ribbon cable numbering [HEADER42_2] +geda_HEADER44_2:HEADER44_2:HEADER44_2:Header connector, ribbon cable numbering [HEADER44_2] +geda_HEADER46_2:HEADER46_2:HEADER46_2:Header connector, ribbon cable numbering [HEADER46_2] +geda_HEADER48_2:HEADER48_2:HEADER48_2:Header connector, ribbon cable numbering [HEADER48_2] +geda_HEADER50_2:HEADER50_2:HEADER50_2:Header connector, ribbon cable numbering [HEADER50_2] +geda_HEADER52_2:HEADER52_2:HEADER52_2:Header connector, ribbon cable numbering [HEADER52_2] +geda_HEADER54_2:HEADER54_2:HEADER54_2:Header connector, ribbon cable numbering [HEADER54_2] +geda_HEADER56_2:HEADER56_2:HEADER56_2:Header connector, ribbon cable numbering [HEADER56_2] +geda_HEADER58_2:HEADER58_2:HEADER58_2:Header connector, ribbon cable numbering [HEADER58_2] +geda_HEADER60_2:HEADER60_2:HEADER60_2:Header connector, ribbon cable numbering [HEADER60_2] +geda_HEADER62_2:HEADER62_2:HEADER62_2:Header connector, ribbon cable numbering [HEADER62_2] +geda_HEADER64_2:HEADER64_2:HEADER64_2:Header connector, ribbon cable numbering [HEADER64_2] +geda_HEADER80_2:HEADER80_2:HEADER80_2:Header connector, ribbon cable numbering [HEADER80_2] +geda_DIN41651_10:DIN41651_10:DIN41651_10:Header connector with latches [DIN41651_10] +geda_DIN41651_14:DIN41651_14:DIN41651_14:Header connector with latches [DIN41651_14] +geda_DIN41651_16:DIN41651_16:DIN41651_16:Header connector with latches [DIN41651_16] +geda_DIN41651_20:DIN41651_20:DIN41651_20:Header connector with latches [DIN41651_20] +geda_DIN41651_26:DIN41651_26:DIN41651_26:Header connector with latches [DIN41651_26] +geda_DIN41651_34:DIN41651_34:DIN41651_34:Header connector with latches [DIN41651_34] +geda_DIN41651_40:DIN41651_40:DIN41651_40:Header connector with latches [DIN41651_40] +geda_DIN41651_50:DIN41651_50:DIN41651_50:Header connector with latches [DIN41651_50] +geda_DIN41651_60:DIN41651_60:DIN41651_60:Header connector with latches [DIN41651_60] +geda_DIN41651_64:DIN41651_64:DIN41651_64:Header connector with latches [DIN41651_64] +geda_DIN41651_10S:DIN41651_10S:DIN41651_10S:Header connector with latches [DIN41651_10S] +geda_DIN41651_14S:DIN41651_14S:DIN41651_14S:Header connector with latches [DIN41651_14S] +geda_DIN41651_16S:DIN41651_16S:DIN41651_16S:Header connector with latches [DIN41651_16S] +geda_DIN41651_20S:DIN41651_20S:DIN41651_20S:Header connector with latches [DIN41651_20S] +geda_DIN41651_26S:DIN41651_26S:DIN41651_26S:Header connector with latches [DIN41651_26S] +geda_DIN41651_34S:DIN41651_34S:DIN41651_34S:Header connector with latches [DIN41651_34S] +geda_DIN41651_40S:DIN41651_40S:DIN41651_40S:Header connector with latches [DIN41651_40S] +geda_DIN41651_50S:DIN41651_50S:DIN41651_50S:Header connector with latches [DIN41651_50S] +geda_DIN41651_60S:DIN41651_60S:DIN41651_60S:Header connector with latches [DIN41651_60S] +geda_DIN41651_64S:DIN41651_64S:DIN41651_64S:Header connector with latches [DIN41651_64S] +geda_DB9F:DB9F:DB9F:DSUB connector, female/male [DB9F] +geda_DB15F:DB15F:DB15F:DSUB connector, female/male [DB15F] +geda_DB25F:DB25F:DB25F:DSUB connector, female/male [DB25F] +geda_DB37F:DB37F:DB37F:DSUB connector, female/male [DB37F] +geda_DB9M:DB9M:DB9M:DSUB connector, female/male [DB9M] +geda_DB15M:DB15M:DB15M:DSUB connector, female/male [DB15M] +geda_DB25M:DB25M:DB25M:DSUB connector, female/male [DB25M] +geda_DB37M:DB37M:DB37M:DSUB connector, female/male [DB37M] +geda_DIN41612C96F:DIN41612C96F:DIN41612C96F:DIN connector, 96 pin housing [DIN41612C96F] +geda_DIN41612C96M:DIN41612C96M:DIN41612C96M:DIN connector, 96 pin housing [DIN41612C96M] +geda_DIN41612C64F:DIN41612C64F:DIN41612C64F:DIN connector, 96 pin housing [DIN41612C64F] +geda_DIN41612C64M:DIN41612C64M:DIN41612C64M:DIN connector, 96 pin housing [DIN41612C64M] +geda_DIN41612C96FS:DIN41612C96FS:DIN41612C96FS:DIN connector, 96 pin housing [DIN41612C96FS] +geda_DIN41612C96MS:DIN41612C96MS:DIN41612C96MS:DIN connector, 96 pin housing [DIN41612C96MS] +geda_DIN41612C64FS:DIN41612C64FS:DIN41612C64FS:DIN connector, 96 pin housing [DIN41612C64FS] +geda_DIN41612C64MS:DIN41612C64MS:DIN41612C64MS:DIN connector, 96 pin housing [DIN41612C64MS] +geda_2706:2706:2706:Standard SMT resistor, capacitor etc [2706] +geda_CAPC0402L:CAPC0402L:CAPC0402L:Standard SMT resistor, capacitor etc [CAPC0402L] +geda_CAPC0402N:CAPC0402N:CAPC0402N:Standard SMT resistor, capacitor etc [CAPC0402N] +geda_CAPC0402M:CAPC0402M:CAPC0402M:Standard SMT resistor, capacitor etc [CAPC0402M] +geda_INDC0402L:INDC0402L:INDC0402L:Standard SMT resistor, capacitor etc [INDC0402L] +geda_INDC0402N:INDC0402N:INDC0402N:Standard SMT resistor, capacitor etc [INDC0402N] +geda_INDC0402M:INDC0402M:INDC0402M:Standard SMT resistor, capacitor etc [INDC0402M] +geda_RESC0402L:RESC0402L:RESC0402L:Standard SMT resistor, capacitor etc [RESC0402L] +geda_RESC0402N:RESC0402N:RESC0402N:Standard SMT resistor, capacitor etc [RESC0402N] +geda_RESC0402M:RESC0402M:RESC0402M:Standard SMT resistor, capacitor etc [RESC0402M] +geda_01005:01005:01005:Standard SMT resistor, capacitor etc [01005] +geda_CAPC0603L:CAPC0603L:CAPC0603L:Standard SMT resistor, capacitor etc [CAPC0603L] +geda_CAPC0603N:CAPC0603N:CAPC0603N:Standard SMT resistor, capacitor etc [CAPC0603N] +geda_CAPC0603M:CAPC0603M:CAPC0603M:Standard SMT resistor, capacitor etc [CAPC0603M] +geda_RESC0603L:RESC0603L:RESC0603L:Standard SMT resistor, capacitor etc [RESC0603L] +geda_RESC0603N:RESC0603N:RESC0603N:Standard SMT resistor, capacitor etc [RESC0603N] +geda_RESC0603M:RESC0603M:RESC0603M:Standard SMT resistor, capacitor etc [RESC0603M] +geda_0201:0201:0201:Standard SMT resistor, capacitor etc [0201] +geda_CAPC1005L:CAPC1005L:CAPC1005L:Standard SMT resistor, capacitor etc [CAPC1005L] +geda_CAPC1005N:CAPC1005N:CAPC1005N:Standard SMT resistor, capacitor etc [CAPC1005N] +geda_CAPC1005M:CAPC1005M:CAPC1005M:Standard SMT resistor, capacitor etc [CAPC1005M] +geda_INDC1005L:INDC1005L:INDC1005L:Standard SMT resistor, capacitor etc [INDC1005L] +geda_INDC1005N:INDC1005N:INDC1005N:Standard SMT resistor, capacitor etc [INDC1005N] +geda_INDC1005M:INDC1005M:INDC1005M:Standard SMT resistor, capacitor etc [INDC1005M] +geda_RESC1005L:RESC1005L:RESC1005L:Standard SMT resistor, capacitor etc [RESC1005L] +geda_RESC1005N:RESC1005N:RESC1005N:Standard SMT resistor, capacitor etc [RESC1005N] +geda_RESC1005M:RESC1005M:RESC1005M:Standard SMT resistor, capacitor etc [RESC1005M] +geda_0402:0402:0402:Standard SMT resistor, capacitor etc [0402] +geda_CAPC1608L:CAPC1608L:CAPC1608L:Standard SMT resistor, capacitor etc [CAPC1608L] +geda_CAPC1608N:CAPC1608N:CAPC1608N:Standard SMT resistor, capacitor etc [CAPC1608N] +geda_CAPC1608M:CAPC1608M:CAPC1608M:Standard SMT resistor, capacitor etc [CAPC1608M] +geda_INDC1608L:INDC1608L:INDC1608L:Standard SMT resistor, capacitor etc [INDC1608L] +geda_INDC1608N:INDC1608N:INDC1608N:Standard SMT resistor, capacitor etc [INDC1608N] +geda_INDC1608M:INDC1608M:INDC1608M:Standard SMT resistor, capacitor etc [INDC1608M] +geda_RESC1608L:RESC1608L:RESC1608L:Standard SMT resistor, capacitor etc [RESC1608L] +geda_RESC1608N:RESC1608N:RESC1608N:Standard SMT resistor, capacitor etc [RESC1608N] +geda_RESC1608M:RESC1608M:RESC1608M:Standard SMT resistor, capacitor etc [RESC1608M] +geda_0603:0603:0603:Standard SMT resistor, capacitor etc [0603] +geda_CAPC2012L:CAPC2012L:CAPC2012L:Standard SMT resistor, capacitor etc [CAPC2012L] +geda_CAPC2012N:CAPC2012N:CAPC2012N:Standard SMT resistor, capacitor etc [CAPC2012N] +geda_CAPC2012M:CAPC2012M:CAPC2012M:Standard SMT resistor, capacitor etc [CAPC2012M] +geda_INDC2012L:INDC2012L:INDC2012L:Standard SMT resistor, capacitor etc [INDC2012L] +geda_INDC2012N:INDC2012N:INDC2012N:Standard SMT resistor, capacitor etc [INDC2012N] +geda_INDC2012M:INDC2012M:INDC2012M:Standard SMT resistor, capacitor etc [INDC2012M] +geda_RESC2012L:RESC2012L:RESC2012L:Standard SMT resistor, capacitor etc [RESC2012L] +geda_RESC2012N:RESC2012N:RESC2012N:Standard SMT resistor, capacitor etc [RESC2012N] +geda_RESC2012M:RESC2012M:RESC2012M:Standard SMT resistor, capacitor etc [RESC2012M] +geda_0805:0805:0805:Standard SMT resistor, capacitor etc [0805] +geda_INDC2520L:INDC2520L:INDC2520L:Standard SMT resistor, capacitor etc [INDC2520L] +geda_INDC2520N:INDC2520N:INDC2520N:Standard SMT resistor, capacitor etc [INDC2520N] +geda_INDC2520M:INDC2520M:INDC2520M:Standard SMT resistor, capacitor etc [INDC2520M] +geda_1008:1008:1008:Standard SMT resistor, capacitor etc [1008] +geda_CAPC3216L:CAPC3216L:CAPC3216L:Standard SMT resistor, capacitor etc [CAPC3216L] +geda_CAPC3216N:CAPC3216N:CAPC3216N:Standard SMT resistor, capacitor etc [CAPC3216N] +geda_CAPC3216M:CAPC3216M:CAPC3216M:Standard SMT resistor, capacitor etc [CAPC3216M] +geda_INDC3216L:INDC3216L:INDC3216L:Standard SMT resistor, capacitor etc [INDC3216L] +geda_INDC3216N:INDC3216N:INDC3216N:Standard SMT resistor, capacitor etc [INDC3216N] +geda_INDC3216M:INDC3216M:INDC3216M:Standard SMT resistor, capacitor etc [INDC3216M] +geda_RESC3216L:RESC3216L:RESC3216L:Standard SMT resistor, capacitor etc [RESC3216L] +geda_RESC3216N:RESC3216N:RESC3216N:Standard SMT resistor, capacitor etc [RESC3216N] +geda_RESC3216M:RESC3216M:RESC3216M:Standard SMT resistor, capacitor etc [RESC3216M] +geda_1206:1206:1206:Standard SMT resistor, capacitor etc [1206] +geda_CAPC3225L:CAPC3225L:CAPC3225L:Standard SMT resistor, capacitor etc [CAPC3225L] +geda_CAPC3225N:CAPC3225N:CAPC3225N:Standard SMT resistor, capacitor etc [CAPC3225N] +geda_CAPC3225M:CAPC3225M:CAPC3225M:Standard SMT resistor, capacitor etc [CAPC3225M] +geda_INDC3225L:INDC3225L:INDC3225L:Standard SMT resistor, capacitor etc [INDC3225L] +geda_INDC3225N:INDC3225N:INDC3225N:Standard SMT resistor, capacitor etc [INDC3225N] +geda_INDC3225M:INDC3225M:INDC3225M:Standard SMT resistor, capacitor etc [INDC3225M] +geda_RESC3225L:RESC3225L:RESC3225L:Standard SMT resistor, capacitor etc [RESC3225L] +geda_RESC3225N:RESC3225N:RESC3225N:Standard SMT resistor, capacitor etc [RESC3225N] +geda_RESC3225M:RESC3225M:RESC3225M:Standard SMT resistor, capacitor etc [RESC3225M] +geda_1210:1210:1210:Standard SMT resistor, capacitor etc [1210] +geda_INDC4509L:INDC4509L:INDC4509L:Standard SMT resistor, capacitor etc [INDC4509L] +geda_INDC4509N:INDC4509N:INDC4509N:Standard SMT resistor, capacitor etc [INDC4509N] +geda_INDC4509M:INDC4509M:INDC4509M:Standard SMT resistor, capacitor etc [INDC4509M] +geda_1806:1806:1806:Standard SMT resistor, capacitor etc [1806] +geda_CAPC4532L:CAPC4532L:CAPC4532L:Standard SMT resistor, capacitor etc [CAPC4532L] +geda_CAPC4532N:CAPC4532N:CAPC4532N:Standard SMT resistor, capacitor etc [CAPC4532N] +geda_CAPC4532M:CAPC4532M:CAPC4532M:Standard SMT resistor, capacitor etc [CAPC4532M] +geda_INDC4532L:INDC4532L:INDC4532L:Standard SMT resistor, capacitor etc [INDC4532L] +geda_INDC4532N:INDC4532N:INDC4532N:Standard SMT resistor, capacitor etc [INDC4532N] +geda_INDC4532M:INDC4532M:INDC4532M:Standard SMT resistor, capacitor etc [INDC4532M] +geda_RESC4532L:RESC4532L:RESC4532L:Standard SMT resistor, capacitor etc [RESC4532L] +geda_RESC4532N:RESC4532N:RESC4532N:Standard SMT resistor, capacitor etc [RESC4532N] +geda_RESC4532M:RESC4532M:RESC4532M:Standard SMT resistor, capacitor etc [RESC4532M] +geda_1806:1806:1806:Standard SMT resistor, capacitor etc [1806] +geda_CAPC4564L:CAPC4564L:CAPC4564L:Standard SMT resistor, capacitor etc [CAPC4564L] +geda_CAPC4564N:CAPC4564N:CAPC4564N:Standard SMT resistor, capacitor etc [CAPC4564N] +geda_CAPC4564M:CAPC4564M:CAPC4564M:Standard SMT resistor, capacitor etc [CAPC4564M] +geda_RESC4564L:RESC4564L:RESC4564L:Standard SMT resistor, capacitor etc [RESC4564L] +geda_RESC4564N:RESC4564N:RESC4564N:Standard SMT resistor, capacitor etc [RESC4564N] +geda_RESC4564M:RESC4564M:RESC4564M:Standard SMT resistor, capacitor etc [RESC4564M] +geda_1825:1825:1825:Standard SMT resistor, capacitor etc [1825] +geda_EMI0603:EMI0603:EMI0603:3-Pin SMT EMI Filter based on standard SMT sizes [EMI0603] +geda_EMI0805:EMI0805:EMI0805:3-Pin SMT EMI Filter based on standard SMT sizes [EMI0805] +geda_EMI1206:EMI1206:EMI1206:3-Pin SMT EMI Filter based on standard SMT sizes [EMI1206] +geda_EMI1806:EMI1806:EMI1806:3-Pin SMT EMI Filter based on standard SMT sizes [EMI1806] +geda_EIA3216:EIA3216:EIA3216:Tantalum SMT capacitor (pin 1 is +) [EIA3216] +geda_EIA3528:EIA3528:EIA3528:Tantalum SMT capacitor (pin 1 is +) [EIA3528] +geda_EIA6032:EIA6032:EIA6032:Tantalum SMT capacitor (pin 1 is +) [EIA6032] +geda_EIA7343:EIA7343:EIA7343:Tantalum SMT capacitor (pin 1 is +) [EIA7343] +geda_TANT_A:TANT_A:TANT_A:Tantalum SMT capacitor (pin 1 is +) [TANT_A] +geda_TANT_B:TANT_B:TANT_B:Tantalum SMT capacitor (pin 1 is +) [TANT_B] +geda_TANT_C:TANT_C:TANT_C:Tantalum SMT capacitor (pin 1 is +) [TANT_C] +geda_TANT_D:TANT_D:TANT_D:Tantalum SMT capacitor (pin 1 is +) [TANT_D] +geda_SME3:SME3:SME3:Surface mount electrolytic capacitor, number is dia in mm [SME3] +geda_SME4:SME4:SME4:Surface mount electrolytic capacitor, number is dia in mm [SME4] +geda_SME5:SME5:SME5:Surface mount electrolytic capacitor, number is dia in mm [SME5] +geda_SME6:SME6:SME6:Surface mount electrolytic capacitor, number is dia in mm [SME6] +geda_SME8:SME8:SME8:Surface mount electrolytic capacitor, number is dia in mm [SME8] +geda_SME10:SME10:SME10:Surface mount electrolytic capacitor, number is dia in mm [SME10] +geda_SOD80:SOD80:SOD80:SMT diode (pin 1 is cathode) [SOD80] +geda_SOD87:SOD87:SOD87:SMT diode (pin 1 is cathode) [SOD87] +geda_SOD106A:SOD106A:SOD106A:SMT diode (pin 1 is cathode) [SOD106A] +geda_SOD110:SOD110:SOD110:SMT diode (pin 1 is cathode) [SOD110] +geda_SOD123:SOD123:SOD123:SMT diode (pin 1 is cathode) [SOD123] +geda_SOD323:SOD323:SOD323:SMT diode (pin 1 is cathode) [SOD323] +geda_DO214:DO214:DO214:SMT diode (pin 1 is cathode) [DO214] +geda_DO214AB:DO214AB:DO214AB:SMT diode (pin 1 is cathode) [DO214AB] +geda_SOT23D:SOT23D:SOT23D:SMT diode (pin 1 is cathode) [SOT23D] +geda_SOT323D:SOT323D:SOT323D:SMT diode (pin 1 is cathode) [SOT323D] +geda_SOT23:SOT23:SOT23:SMT transistor, 3 pins [SOT23] +geda_SOT323:SOT323:SOT323:SMT transistor, 3 pins [SOT323] +geda_SC90:SC90:SC90:SMT transistor, 3 pins [SC90] +geda_SC70_3:SC70_3:SC70_3:SMT transistor, 3 pins [SC70_3] +geda_SOT89:SOT89:SOT89:SMT transistor, 4 pins [SOT89] +geda_SOT143:SOT143:SOT143:SMT transistor, 4 pins [SOT143] +geda_SOT223:SOT223:SOT223:SMT transistor, 4 pins [SOT223] +geda_SC70_4:SC70_4:SC70_4:SMT transistor, 4 pins [SC70_4] +geda_SOT25:SOT25:SOT25:SMT transistor, 5 pins [SOT25] +geda_SOT325:SOT325:SOT325:SMT transistor, 5 pins [SOT325] +geda_SC70_5:SC70_5:SC70_5:SMT transistor, 5 pins [SC70_5] +geda_SOT26:SOT26:SOT26:SMT transistor, 6 pins [SOT26] +geda_SOT326:SOT326:SOT326:SMT transistor, 6 pins [SOT326] +geda_SC70_6:SC70_6:SC70_6:SMT transistor, 6 pins [SC70_6] +geda_MPAK:MPAK:MPAK:Pressure transducer [MPAK] +TYPE=~johnstech +johnstech_JOHNSTECH_QFN12_4:JOHNSTECH_QFN12_4:JOHNSTECH_QFN12_4:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN12_4] +johnstech_JOHNSTECH_QFN16_4:JOHNSTECH_QFN16_4:JOHNSTECH_QFN16_4:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN16_4] +johnstech_JOHNSTECH_QFN20_4:JOHNSTECH_QFN20_4:JOHNSTECH_QFN20_4:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN20_4] +johnstech_JOHNSTECH_QFN24_4:JOHNSTECH_QFN24_4:JOHNSTECH_QFN24_4:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN24_4] +johnstech_JOHNSTECH_QFN16_5:JOHNSTECH_QFN16_5:JOHNSTECH_QFN16_5:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN16_5] +johnstech_JOHNSTECH_QFN20_5:JOHNSTECH_QFN20_5:JOHNSTECH_QFN20_5:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN20_5] +johnstech_JOHNSTECH_QFN28_5:JOHNSTECH_QFN28_5:JOHNSTECH_QFN28_5:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN28_5] +johnstech_JOHNSTECH_QFN32_5:JOHNSTECH_QFN32_5:JOHNSTECH_QFN32_5:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN32_5] +johnstech_JOHNSTECH_QFN36_6:JOHNSTECH_QFN36_6:JOHNSTECH_QFN36_6:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN36_6] +johnstech_JOHNSTECH_QFN40_6:JOHNSTECH_QFN40_6:JOHNSTECH_QFN40_6:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN40_6] +johnstech_JOHNSTECH_QFN32_7:JOHNSTECH_QFN32_7:JOHNSTECH_QFN32_7:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN32_7] +johnstech_JOHNSTECH_QFN44_7:JOHNSTECH_QFN44_7:JOHNSTECH_QFN44_7:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN44_7] +johnstech_JOHNSTECH_QFN48_7:JOHNSTECH_QFN48_7:JOHNSTECH_QFN48_7:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN48_7] +johnstech_JOHNSTECH_QFN56_8:JOHNSTECH_QFN56_8:JOHNSTECH_QFN56_8:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN56_8] +johnstech_JOHNSTECH_QFN68_10:JOHNSTECH_QFN68_10:JOHNSTECH_QFN68_10:Johnstech QFN Socket, Series 1MM (724812-724839) [JOHNSTECH_QFN68_10] +TYPE=~minicircuits +minicircuits_MINICIRCUITS_CA531:MINICIRCUITS_CA531:MINICIRCUITS_CA531:Mini-Circuits CA Style Package [MINICIRCUITS_CA531] +minicircuits_MINICIRCUITS_CB518:MINICIRCUITS_CB518:MINICIRCUITS_CB518:Mini-Circuits CB Style Package [MINICIRCUITS_CB518] +minicircuits_MINICIRCUITS_CB539:MINICIRCUITS_CB539:MINICIRCUITS_CB539:Mini-Circuits CB Style Package [MINICIRCUITS_CB539] +minicircuits_MINICIRCUITS_CD541:MINICIRCUITS_CD541:MINICIRCUITS_CD541:Mini-Circuits CD Style Package [MINICIRCUITS_CD541] +minicircuits_MINICIRCUITS_CD542:MINICIRCUITS_CD542:MINICIRCUITS_CD542:Mini-Circuits CD Style Package [MINICIRCUITS_CD542] +minicircuits_MINICIRCUITS_CD636:MINICIRCUITS_CD636:MINICIRCUITS_CD636:Mini-Circuits CD Style Package [MINICIRCUITS_CD636] +minicircuits_MINICIRCUITS_CD637:MINICIRCUITS_CD637:MINICIRCUITS_CD637:Mini-Circuits CD Style Package [MINICIRCUITS_CD637] +minicircuits_MINICIRCUITS_KK81:MINICIRCUITS_KK81:MINICIRCUITS_KK81:Mini-Circuits KK Style Package [MINICIRCUITS_KK81] +TYPE=~nichicon +nichicon_NICHICON_WT_CAP_3_5p4:NICHICON_WT_CAP_3_5p4:NICHICON_WT_CAP_3_5p4:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_3_5p4] +nichicon_NICHICON_WT_CAP_4_5p4:NICHICON_WT_CAP_4_5p4:NICHICON_WT_CAP_4_5p4:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_4_5p4] +nichicon_NICHICON_WT_CAP_5_5p4:NICHICON_WT_CAP_5_5p4:NICHICON_WT_CAP_5_5p4:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_5_5p4] +nichicon_NICHICON_WT_CAP_6p3_5p4:NICHICON_WT_CAP_6p3_5p4:NICHICON_WT_CAP_6p3_5p4:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_6p3_5p4] +nichicon_NICHICON_WT_CAP_6p3_5p8:NICHICON_WT_CAP_6p3_5p8:NICHICON_WT_CAP_6p3_5p8:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_6p3_5p8] +nichicon_NICHICON_WT_CAP_6p3_7p7:NICHICON_WT_CAP_6p3_7p7:NICHICON_WT_CAP_6p3_7p7:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_6p3_7p7] +nichicon_NICHICON_WT_CAP_8_5p4:NICHICON_WT_CAP_8_5p4:NICHICON_WT_CAP_8_5p4:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_8_5p4] +nichicon_NICHICON_WT_CAP_8_10:NICHICON_WT_CAP_8_10:NICHICON_WT_CAP_8_10:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_8_10] +nichicon_NICHICON_WT_CAP_10_10:NICHICON_WT_CAP_10_10:NICHICON_WT_CAP_10_10:Nichicon WT series SMT Aluminum Electrolytic Capacitor [NICHICON_WT_CAP_10_10] +TYPE=~optek +optek_OPTEK_OVSRWACR6:OPTEK_OVSRWACR6:OPTEK_OVSRWACR6:OPTEK Surface Mount LEDs' [OPTEK_OVSRWACR6] +TYPE=~panasonic +panasonic_PANASONIC_EXB14V:PANASONIC_EXB14V:PANASONIC_EXB14V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXB14V] +panasonic_PANASONIC_EXB24V:PANASONIC_EXB24V:PANASONIC_EXB24V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXB24V] +panasonic_PANASONIC_EXB28V:PANASONIC_EXB28V:PANASONIC_EXB28V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXB28V] +panasonic_PANASONIC_EXBV4V:PANASONIC_EXBV4V:PANASONIC_EXBV4V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXBV4V] +panasonic_PANASONIC_EXBV8V:PANASONIC_EXBV8V:PANASONIC_EXBV8V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXBV8V] +panasonic_PANASONIC_EXB34V:PANASONIC_EXB34V:PANASONIC_EXB34V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXB34V] +panasonic_PANASONIC_EXB38V:PANASONIC_EXB38V:PANASONIC_EXB38V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXB38V] +panasonic_PANASONIC_EXBS8V:PANASONIC_EXBS8V:PANASONIC_EXBS8V:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXBS8V] +panasonic_PANASONIC_EXB2HV:PANASONIC_EXB2HV:PANASONIC_EXB2HV:Panasonic EXB Series Chip Resistor Array [PANASONIC_EXB2HV] Index: oldlib/lib/pci.inc =================================================================== --- oldlib/lib/pci.inc (nonexistent) +++ oldlib/lib/pci.inc (revision 1033) @@ -0,0 +1,139 @@ +# $Id$ +# +# Definitions for PCI boards +# by D.J. Barrow dj_barrow@ariasoft.ie +# +# please note that you will need to edit the saved pcb file +# by hand to move the board outline onto a seperate layer +# I suggest one of the unused layers. + +define(`GETNUMARRAYS',`eval(($#)/2)') +# $1: canonical name +# $2: name on PCB +# $3: boardheight,number of pins,arcradius,..., number of pins +define(`PKG_PCIPINARRAY', + ` + define(`cnt',`1') + define(`ARGS',`$4, $5, $6, $7') + args(ARGS) + define(`LEFTOFFSET',`100') + define(`EDGEGAP',`12') + define(`PINDIST',`50') + define(`PINWIDTH',`25') + define(`NUMARRAYS',`GETNUMARRAYS(ARGS)') + define(`TOTALPINS1',forloop(`IDX',0,eval(NUMARRAYS-1), + ``arg'eval((IDX*2)+2) + ')0) + define(`TOTALPINS',eval(TOTALPINS1)) + ifelse(1,eval(NUMARRAYS>1),` + define(`TOTALDIAMETER1',forloop(`IDX',0,eval(NUMARRAYS-2), + ``arg'eval((IDX*2)+3) + ')0) + define(`TOTALDIAMETER',eval(TOTALDIAMETER1)) + ') + define(`PINCENTER',`eval(LEFTOFFSET+(PINDIST/2))') + define(`PINRADIUS',`eval(PINWIDTH/2)') + define(`PINLEFT',`eval(PINCENTER-PINRADIUS)') + define(`PINRIGHT',`eval(PINCENTER+PINRADIUS)') + define(`PENWIDTH',`eval(PINRADIUS+4)') + define(`PENRADIUS',`eval(PENWIDTH/2)') + define(`MAXIDX',`eval(TOTALPINS - 1)') + define(`ARRAYWIDTH', `eval((TOTALPINS*PINDIST)+TOTALDIAMETER)') + define(`CENTERX', `eval(((ARRAYWIDTH+LEFTOFFSET)/2))') + define(`MINY',`20') + define(`MIDY',`220') + define(`MAXY',`270') + define(`ARCRADIUS',`12') + define(`ARCDIAMETER',`eval(ARCRADIUS*2)') + define(`LMAXY',`eval(MAXY+ARCRADIUS)') + define(`BOARDTOP',`eval(LMAXY-arg1)') + define(`SCREWBORDER',275) +Element(0x00 "$1" "`$2'" "$3" CENTERX 10 1 30 0x00) +( + Mark(CENTERX 135) + define(`ADDSIDE',0) + define(`FLAG',0x0) + define(`LOOPIDX1',eval(NUMARRAYS-1)) + define(`LEFT1',`eval(PINLEFT-ARCRADIUS)') + define(`ARCRADIUS2',`100') + define(`SLOTWIDTH',`1000') + define(`BRACKETLEFT',`-1525') + define(`LEFT2',`eval(LEFT1-ARCRADIUS2)') + define(`LEFT3',`eval(LEFT1+ARCRADIUS2-SLOTWIDTH)') + define(`LEFT4',`eval(LEFT1-SLOTWIDTH)') + ElementArc(LEFT2 0 ARCRADIUS2 ARCRADIUS2 180 90 1) + ElementLine(LEFT2 -ARCRADIUS2 LEFT3 -ARCRADIUS2 1) + ElementArc(LEFT3 0 ARCRADIUS2 ARCRADIUS2 270 90 1) + ElementLine(LEFT4 0 LEFT4 MAXY 1) + define(`LEFT5',`eval(LEFT4-ARCRADIUS)') + ElementArc(LEFT5 MAXY ARCRADIUS ARCRADIUS 90 90 1) + ElementLine(LEFT5 LMAXY BRACKETLEFT LMAXY 1) + forloop(`SIDE',0,1, + ` + define(`IDX',`0') + define(`ADDDIAMETER',`0') + forloop(`IDX1',0,LOOPIDX1, + ` + define(`CURRNUMPINS',`arg'eval((IDX1*2)+2)) + ifelse(SIDE,`0',` + define(`CURRLEFT',`eval((PINDIST*IDX)+(ADDDIAMETER)+PINLEFT)') + define(`CURRRIGHT',`eval(CURRLEFT+((CURRNUMPINS-1)*PINDIST)+PINWIDTH)') + ElementLine(CURRLEFT LMAXY CURRRIGHT LMAXY 2) + ElementArc(CURRLEFT MAXY ARCRADIUS ARCRADIUS 0 90 2) + ElementArc(CURRRIGHT MAXY ARCRADIUS ARCRADIUS 90 90 2) + define(`CURRLEFT1',`eval(CURRLEFT-ARCRADIUS)') + define(`CURRRIGHT1',`eval(CURRRIGHT+ARCRADIUS)') + ElementLine(CURRLEFT1 0 CURRLEFT1 MAXY 1) + ElementLine(CURRRIGHT1 0 CURRRIGHT1 MAXY 1) + ifelse(1,eval((NUMARRAYS>1)&&(IDX11)&&(IDX1 +# to shorten the pads by 10 mils; PUSHOUT=5 PUSHIN=40 +# +# modified 22-Dec-1999 Larry Doolittle +# put back the 10 mils on the outside; PUSHOUT=5, PUSHIN=50 +# +# One last tweak 12-Jan-2000 LRD, now that the shop complained I +# didn't leave them any room to solder (looks like the 22-Dec +# change was backwards); PUSHOUT=20, PUSHIN=40 +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: additional border (will be ignored) +# +define(`PKG_PLCC', + `define(`NUMPINS', `$4') + ifelse(eval(NUMPINS == 32),1,`define(`QUARTER',7)',`define(`QUARTER', `eval($4 /4)')') + define(`OFFSET', `eval((QUARTER +1) /2)') + define(`WIDTH', `eval((QUARTER-1) *50 +2*75)') + ifelse(eval(NUMPINS == 32),1,`define(`SIDE',`eval((NUMPINS-2*QUARTER)/2)')', `define(`SIDE',QUARTER)') + define(`HEIGHT', `eval((SIDE-1)* 50 + 2*75)') + define(`CENTER', `eval(WIDTH / 2)') + define(`PUSHOUT', 20) # was 15 + define(`PUSHIN', 40) # was 50 +Element(0x00 "$1" "`$2'" "$3" 100 CENTER 0 100 0x00) +( + # top left half +define(`X', CENTER) +define(`Y', 0) +define(`count', 1) +forloop(`i', 1, OFFSET, + `PAD(X, eval(Y-PUSHOUT), X, eval(Y+PUSHIN), 20, count)' `define(`count', incr(count))' + `define(`X', eval(X-50))' + ) + + + # left row +define(`X', 0) +define(`Y', 75) +define(`count', `eval(OFFSET+1)') +forloop(`i', 1, SIDE, + `PAD(eval(X-PUSHOUT), Y, eval(X+PUSHIN), Y, 20, count)' `define(`count', incr(count))' + `define(`Y', eval(Y+50))' + ) + + # bottom row +define(`X', 75) +define(`Y', HEIGHT) +forloop(`i', 1, QUARTER, + `PAD(X, eval(Y+PUSHOUT), X, eval(Y-PUSHIN), 20, count)' `define(`count', incr(count))' + `define(`X', eval(X+50))' +) + + # right row +define(`X', WIDTH) +define(`Y', eval(HEIGHT-75)) +forloop(`i', 1, SIDE, + `PAD(eval(X+PUSHOUT), Y, eval(X-PUSHIN), Y, 20, count)' `define(`count', incr(count))' + `define(`Y', eval(Y-50))' +) + + # top right row +define(`X', eval(WIDTH-75)) +define(`Y', 0) +forloop(`i', 1, eval(OFFSET-1), + `PAD(X, eval(Y-PUSHOUT), X, eval(Y+PUSHIN), 20, count)' `define(`count', incr(count))' + `ifelse(eval(count > NUMPINS), 1, `define(`count', 1)')' + `define(`X', eval(X-50))' +) + +# ElementLine(50 0 WIDTH 0 20) +# ElementLine(WIDTH 0 WIDTH WIDTH 20) +# ElementLine(WIDTH WIDTH 0 WIDTH 20) +# ElementLine(0 WIDTH 0 50 20) +# ElementLine(0 50 50 0 20) + +# Modified by Thomas Olson to eliminate silkscreen blobbing over pads. +# Approach one: eliminate ElementLine transgression over pads. leave corners +# only. + +define(`OLWIDTH', eval(WIDTH-50)) +define(`OLHEIGHT', eval(HEIGHT-50)) + + ElementLine(OLWIDTH 0 WIDTH 0 10) + ElementLine(WIDTH 0 WIDTH 50 10) + ElementLine(WIDTH OLHEIGHT WIDTH HEIGHT 10) + ElementLine(WIDTH HEIGHT OLWIDTH HEIGHT 10) + ElementLine(50 HEIGHT 0 HEIGHT 10) + ElementLine(0 HEIGHT 0 OLHEIGHT 10) + ElementLine(0 50 50 0 10) + +# Approach two: move outline to edge of pads. +# The outline should be 15 off. But since the pad algorithm +# is not making the square pads correctly I give it a total of 30 +# to clear the pads. + +# Try 40 mils, and parameterize it. 1/12/00 LRD +define(`NOSMUDGE', 40) +define(`OLWIDTH', eval(WIDTH+NOSMUDGE)) +define(`OLHEIGHT', eval(HEIGHT+NOSMUDGE)) + + ElementLine(50 -NOSMUDGE OLWIDTH -NOSMUDGE 10) + ElementLine(OLWIDTH -NOSMUDGE OLWIDTH OLHEIGHT 10) + ElementLine(OLWIDTH OLHEIGHT -NOSMUDGE OLHEIGHT 10) + ElementLine(-NOSMUDGE OLHEIGHT -NOSMUDGE 50 10) + ElementLine(-NOSMUDGE 50 50 -NOSMUDGE 10) + + ElementArc(CENTER 100 20 20 0 360 10) + + Mark(0 0) +)') + +# ------------------------------------------------------------------- +# the definition of a plcc package with through-hole socket +# +# for example, Assmann A-CCS##-{Z,G} series +# or mil-max 940-XX-XXX-24-000000 series. +# +# mil-max specifies 0.035" drill hole and 0.062" pad. +# Assman says 0.0315" hole. Also the mil-max outside +# dimensions are ever so slightly larger than Assmann so +# use those. See for example +# http://www.milmax.com/images/products/pdf/092.PDF +# +# modified for correct pin numbering by Holm Tiffe +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: additional border +# +define(`PKG_PLCC_SOCKET', + `define(`QUARTER', `eval($4 /4)') + define(`OFFSET', eval((QUARTER +1) / 2)) + define(`BORDER', `$5') + define(`WIDTH', `eval((OFFSET+1) *100 +2*BORDER)') + define(`CENTER', `eval(WIDTH / 2)') + define(`NUMPINS', `$4') + define(`PADSIZE', `62') + define(`DRILL', `35') +Element(0x00 "$1" "`$2'" "$3" eval(BORDER+200) CENTER 0 100 0x00) + +# PLCC - 44 is a special case, pad 1 in inner row + +ifelse(eval(NUMPINS == 44 || NUMPINS == 28 || NUMPINS == 32), 1, `( + +define(`X',eval(CENTER+50)) +define(`Y',eval(BORDER+100)) +define(`count',1) + +ifelse(eval(NUMPINS == 44),1,`define(`ltr',3)',`define(`ltr',2)') +ifelse(eval(NUMPINS == 32),1,`define(`QUARTER',9)') + +# top left row +forloop(`i', 1, ltr, + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X-100), eval(Y-100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X', eval(X-100))' +) + +#left row +define(`X',eval(X-100)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X+100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y', eval(Y+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# bottom row +define(`X',eval(X+100)) +define(`Y',eval(Y+100)) +forloop(`i', 1, eval(ltr*2-1), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y-100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X', eval(X+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# right row +define(`X',eval(X+100)) +define(`Y',eval(Y-100)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X-100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y', eval(Y-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# top rigth row +define(`X',eval(X-100)) +define(`Y',eval(Y-100)) +forloop(`i', 1, eval(ltr-1), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y+100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X', eval(X-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) + +ifelse(eval(NUMPINS == 32),1,`define(`HEIGHT',eval(WIDTH+100))',`define(`HEIGHT',WIDTH)') + ElementLine(0 0 WIDTH 0 20) + ElementLine(WIDTH 0 WIDTH HEIGHT 20) + ElementLine(WIDTH HEIGHT 0 HEIGHT 20) + ElementLine(0 HEIGHT 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(eval(CENTER-50) 0 CENTER 50 10) + ElementLine(CENTER 50 eval(CENTER+50) 0 10) + + Mark(CENTER BORDER) + + +#------------------------------------------------------------------------ +# all other sockets, currently 20,52,68 and 84 pins + +)', `( + +# the default case, Pad 1 is on outer top row, in the middle + + +#top left row + +define(`X',CENTER) +define(`Y',BORDER) +define(`count',1) +forloop(`i', 1, eval((QUARTER-1)/4), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y+100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X',eval(X-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# left row +define(`Y',eval(BORDER+100)) +define(`X',BORDER) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X+100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y',eval(Y+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# bottom row +define(`X',eval(BORDER+100)) +define(`Y',eval(WIDTH-BORDER)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y-100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X',eval(X+100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +# right row +define(`X',eval(WIDTH-BORDER)) +define(`Y',eval(WIDTH-BORDER-100)) +forloop(`i', 1, eval((QUARTER-1)/2), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(eval(X-100), Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`Y',eval(Y-100))' +) +PIN(X, Y, PADSIZE, DRILL, count) +define(`count', incr(count)) + +#top right row + +define(`X',eval(WIDTH-BORDER-100)) +define(`Y',BORDER) +forloop(`i', 1, eval((QUARTER-1)/4), + `PIN(X, Y, PADSIZE, DRILL, count)' `define(`count', incr(count))' + `PIN(X, eval(Y+100), PADSIZE, DRILL, count)' `define(`count', incr(count))' + `define(`X',eval(X-100))' +) + + ElementLine(0 0 WIDTH 0 20) + ElementLine(WIDTH 0 WIDTH WIDTH 20) + ElementLine(WIDTH WIDTH 0 WIDTH 20) + ElementLine(0 WIDTH 0 0 20) + ElementLine(0 100 100 0 10) + ElementLine(eval(CENTER-50) 0 CENTER 50 10) + ElementLine(CENTER 50 eval(CENTER+50) 0 10) + + Mark(CENTER BORDER) + +)')') + Property changes on: oldlib/lib/plcc.inc ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/png_diff.sh =================================================================== --- oldlib/lib/png_diff.sh (nonexistent) +++ oldlib/lib/png_diff.sh (revision 1033) @@ -0,0 +1,214 @@ +#!/bin/sh +# +# $Id$ +# +# Copyright (c) 2003, 2004, 2005, 2006, 2007 Dan McMahill + +# This program is free software; you can redistribute it and/or modify +# it under the terms of version 2 of the GNU General Public License as +# published by the Free Software Foundation +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111 USA +# All rights reserved. +# +# This code was derived from code written by Dan McMahill as part of the +# latex-mk testsuite. The original code was covered by a BSD license +# but the copyright holder is releasing the version for gerbv under the GPL. + +usage() { +cat <] dir1 dir2 + +OVERVIEW + +The $0 script is used to compare all png files which exist in both + and . The comparison indicates if the files differ +graphically as well as providing a visual difference output. +This script is used to help verify changes made to the m4 libraries +since a simple change in a macro may have far reaching and unintended +results. + +The results are placed in which defaults to "mismatch". + +EXAMPLES + +$0 pcblib-newlib.orig pcblib-newlib.new + + +EOF +} + +show_sep() { + echo "----------------------------------------------------------------------" +} + +all_tests="" +while test -n "$1" + do + case "$1" in + + -h|--help) + usage + exit 0 + ;; + + -o|--out) + ERRDIR="$2" + shift 2 + ;; + + -*) + echo "unknown option: $1" + exit 1 + ;; + + *) + break + ;; + + esac +done + +if test $# -ne 2 ; then + usage + exit 1 +fi + +dir1="$1" +dir2="$2" + +if test ! -d $dir1 ; then + echo "$dir1 does not exist or is not a directory" + usage + exit 1 +fi + +if test ! -d $dir2 ; then + echo "$dir2 does not exist or is not a directory" + usage + exit 1 +fi + +# Source directory +srcdir=${srcdir:-.} + +# various ImageMagick tools +ANIMATE=${ANIMATE:-animate} +COMPARE=${COMPARE:-compare} +COMPOSITE=${COMPOSITE:-composite} +CONVERT=${CONVERT:-convert} +DISPLAY=${DISPLAY:-display} +MONTAGE=${MONTAGE:-montage} + +# golden directories +ERRDIR=${ERRDIR:-mismatch} + +# some system tools +AWK=${AWK:-awk} + +# create output directory +if test ! -d $ERRDIR ; then + mkdir -p $ERRDIR + if test $? -ne 0 ; then + echo "Failed to create output directory ${ERRDIR}" + exit 1 + fi +fi + + +# fail/pass/total counts +fail=0 +pass=0 +skip=0 +tot=0 + +cat << EOF + +srcdir ${srcdir} +top_srcdir ${top_srcdir} + +AWK ${AWK} +ERRDIR ${ERRDIR} + +ImageMagick Tools: + +ANIMATE ${ANIMATE} +COMPARE ${COMPARE} +COMPOSITE ${COMPOSITE} +CONVERT ${CONVERT} +DISPLAY ${DISPLAY} +MONTAGE ${MONTAGE} + +EOF + +find $dir1 -name \*.png -print | while read -r t ; do + show_sep + + f1="$t" + f2=`echo "$t" | sed "s;^${dir1}/;${dir2}/;g"` + + tnm=`echo "$t" | sed -e "s;^${dir1}/;;g" -e 's;/;_;g' -e 's;.png$;;g' -e 's; ;_;g'` + echo "Test: $tnm" + echo "t: $t" + echo "File1: $f1" + echo "File2: $f2" + + errdir=${ERRDIR}/${tnm} + + tot=`expr $tot + 1` + + + ###################################################################### + # + # compare the png files + # + + if test -f "${f2}" ; then + same=`${COMPARE} -metric MAE "$f1" "$f2" null: 2>&1 | \ + ${AWK} '{if($1 == 0){print "yes"} else {print "no"}}'` + if test "$same" = yes ; then + echo "PASS" + pass=`expr $pass + 1` + else + echo "FAILED: See ${errdir}" + mkdir -p ${errdir} + ${COMPARE} "${f1}" "${f2}" ${errdir}/compare.png + ${COMPOSITE} "${f1}" "${f2}" -compose difference ${errdir}/composite.png + ${CONVERT} "${f1}" "${f2}" -compose difference -composite -colorspace gray ${errdir}/gray.png +cat > ${errdir}/animate.sh << EOF +#!/bin/sh +${CONVERT} -label "%f" "${f1}" "${f2}" miff:- | \ +${MONTAGE} - -geometry +0+0 -tile 1x1 miff:- | \ +${ANIMATE} -delay 0.5 -loop 0 - +EOF + chmod a+x ${errdir}/animate.sh + fail=`expr $fail + 1` + fi + else + echo "Missing file ${f2}. Skipping test" + skip=`expr $skip + 1` + fi + +done + +show_sep +echo "Passed $pass, failed $fail, skipped $skip out of $tot tests." + +rc=0 +if test $pass -ne $tot ; then + rc=1 +fi + +exit $rc + Property changes on: oldlib/lib/png_diff.sh ___________________________________________________________________ Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oldlib/lib/qfn.inc =================================================================== --- oldlib/lib/qfn.inc (nonexistent) +++ oldlib/lib/qfn.inc (revision 1033) @@ -0,0 +1,196 @@ +# -*- m4 -*- +# $Id$ +# COPYRIGHT +# +# PCB, interactive printed circuit board design +# Copyright (C) 2003 Dan McMahill +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. +# +# +# +# QFN packages +# +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: body size (mm) +# $6: pad pitch (1/100 mm) +# $7: PCB pad width (1/100 mm) +# $8: Package pad length (1/100 mm) +# $9: Exposed paddle size, 0 for no exposed paddle (1/100 mm) + +define(`PKG_GENERIC_QFN', + ` + # number of pins on left/right sides (pin1 is upper pin on left side) + define(`LRPINS', `eval($4 / 4)') + # number of pins on top/bottom sides + define(`TBPINS', `eval(`$4' / 2 - LRPINS)') + # pin pitch (1/1000 mil) + define(`PITCH',`eval(`$6'*100000/254)') + # y-coordinate for upper pin on left/right sides (1/1000 mil) + define(`LRYMAX', `eval((LRPINS-1)*PITCH/2)') + # x-coordinate for right pin on top/bottom sides (1/1000 mil) + define(`TBXMAX', `eval((TBPINS-1)*PITCH/2)') + # total horizontal package width (1/1000 mil) + define(`LRWIDTHMM', `ifelse(0,`$5',`787',`eval($5)')') + define(`LRWIDTH', `ifelse(0,`$5',`787',`eval(`$5'*10000000/254)')') + # total vertical package width (1/1000 mil) + define(`TBWIDTH',`ifelse(0,`$5',`551',`eval(`$5'*10000000/254)')') + # how much pads extend beyond the package edge (1/1000 mil) (the 25 is 0.25 mm) + define(`EXTOUT', eval(25*100000/254)) + # how much pads extend inward from the package pad edge (1/1000 mil) + define(`EXTIN', eval(5*100000/254)) + # pad length/width (1/1000 mil) + define(`PADLENGTH', `eval(`$8'*100000/254)') + define(`PADWIDTH', `eval(`$7'*100000/254)') + # pad width (mil/100) + define(`PADWIDTHMIL100', `eval(PADWIDTH/10)') + # min/max x coordinates for the pads on the left/right sides of the package (mil/100) + define(`LRXMAX',`eval((LRWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`LRXMIN',`eval((LRWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + # min/max y coordinates for the pads on the top/bottom sides of the package (mil/100) + define(`TBYMAX',`eval((TBWIDTH/2 + EXTOUT - PADWIDTH/2)/10)') + define(`TBYMIN',`eval((TBWIDTH/2 -PADLENGTH - EXTIN + PADWIDTH/2)/10)') + + # silkscreen width (mils/100) + define(`SILKW', 1000) + # how much the silk screen is moved away from the package (1/1000 mil) + define(`SILKEXT', `eval(EXTOUT + SILKW*10/2 + 5*1000)') + # upper right corner for silk screen (mil/100) + define(`SILKX', `eval((LRWIDTH/2 + SILKEXT )/10)') + define(`SILKY', `eval((TBWIDTH/2 + SILKEXT )/10)') + # refdes text size (mil/100) + define(`TEXTSIZE', 100) + # x,y coordinates for refdes label (mil/100) + define(`TEXTX', -SILKX) + define(`TEXTY', `eval(-SILKY - 1000 - TEXTSIZE/2)') + # square exposed paddle size (mil/100) + define(`EPSIZE', `eval(`$9'*10000/254)') + + # pad clearance to polygons (1/100 mil) + define(`PADCLEAR', `2000') + + # width of the pad solder mask relief (1/100 mil). + # grow by 1.5 mils on each side + define(`PADMASK', `eval(2*150 + PADWIDTHMIL100)') + + # width of the paddle soldermask relief (1/100 mil) + # grow by 200 mils on each side + define(`EPMASK', `eval(2*200 + EPSIZE)') + +# element_flags, description, pcb-name, value, mark_x, mark_y, +# text_x, text_y, text_direction, text_scale, text_flags +Element[0x00000000 "$1" "`$2'" "$3" 0 0 TEXTX TEXTY 0 TEXTSIZE 0x00000000] +( + + +# Pad[X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags] + +# left row +define(`CURPIN', 1) +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval((-LRYMAX + PITCH*idx)/10)')' + `Pad[-LRXMAX Y -LRXMIN Y PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# bottom row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((-TBXMAX + PITCH*idx)/10)')' + `Pad[X TBYMAX X TBYMIN PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000900]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# right row +define(`idx',0) +forloop(`i', 1, LRPINS, + `define(`Y', `eval(( LRYMAX - PITCH*idx)/10)')' + `Pad[LRXMAX Y LRXMIN Y PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000100]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# top row +define(`idx',0) +forloop(`i', 1, TBPINS, + `define(`X', `eval((TBXMAX - PITCH*idx)/10)')' + `Pad[X -TBYMAX X -TBYMIN PADWIDTHMIL100 PADCLEAR PADMASK "CURPIN" "CURPIN" 0x00000900]' + `define(`CURPIN', incr(CURPIN))' + `define(`idx',incr(idx))' +) + +# Exposed paddle (if this is an exposed paddle part) +ifelse(1, eval(EPSIZE>0), +# Pad(X1, Y1, X2, Y3, width, clearance, +# soldermask, "pin name", "pin number", flags) + Pad[0 0 0 0 EPSIZE 0 EPMASK "CURPIN" "CURPIN" 0x00000100] + define(`CURPIN', incr(CURPIN)) +) + +# Silk screen around package +ElementLine[ SILKX SILKY SILKX -SILKY SILKW] +ElementLine[ SILKX -SILKY -SILKX -SILKY SILKW] +ElementLine[-SILKX -SILKY -SILKX SILKY SILKW] +ElementLine[-SILKX SILKY SILKX SILKY SILKW] + +# Pin 1 indicator +ElementLine[-SILKX -SILKY eval(-SILKX - 1500) eval(-SILKY - 1500) SILKW] + +)') + +# ------------------------------------------------------------------- + +# The following macros take: +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: body size (mm) +# $6: exposed paddle size (1/100 mm). 0 for no exposed paddle + + +# For an exposed paddle package, the paddle for an 'n' pin package is pin 'n+1' + +# 0.8 mm pitch +define(`PKG_QFN_80', `PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `80',`42',`60', `$6')') +# 0.65 mm pitch +define(`PKG_QFN_65', `PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `65',`37',`60', `$6')') +# 0.50 mm pitch, 0.6 mm pad length +define(`PKG_QFN_50', `PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `50',`28',`60', `$6')') +# 0.50 mm pitch, 0.4 mm pad length +define(`PKG_QFN_50S',`PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `50',`28',`40', `$6')') +# 0.40 mm pitch +define(`PKG_QFN_40', `PKG_GENERIC_QFN(`$1',`$2',`$3',`$4',`$5', `40',`25',`60', `$6')') + + +# PKG_GENERIC_QFN +# $1: canonical name +# $2: name on PCB +# $3: value +# $4: number of pins +# $5: body size (mm) +# $6: pad pitch (1/100 mm) +# $7: PCB pad width (1/100 mm) +# $8: Package pad length (1/100 mm) +# $9: Exposed paddle size, 0 for no exposed paddle (1/100 mm) +# ------------------------------------------------------------------- + Index: oldlib/lib/qfp-ui.in =================================================================== --- oldlib/lib/qfp-ui.in (nonexistent) +++ oldlib/lib/qfp-ui.in (revision 1033) @@ -0,0 +1,399 @@ +#!@WISH@ -f + +# $Id$ +# +# User Interface that generates custom QFP and SOIC packages for pcb-1.6.3 +# Invoked from a line like +# esyscmd(qfp-ui $1 $2 $3) +# within an m4 macro triggered by pcb-1.6.3 +# depends on having the Right [TM] m4 macro PKG_QFP in qfp.inc +# Copyright 1999 Larry Doolittle +# +# SOIC support added Jan 2000 Larry Doolittle +# Use nX==0 for that mode. +# +# Parts library added Feb 2000 Larry Doolittle +# That feature is still rough, but it is useful, and you get the idea +# +# Refinement of library file usage Mar 2000 Larry Doolittle +# Peeks at the X resource Pcb.libraryPath, uses that for a search path +# for qfp.dat. Appends .:$HOME to that path, and writes any updates +# (via the "Save" button) to $HOME/qfp.dat only. +# +# Wish list: +# have someone else test it enough to know what needs fixing +# proper support for changing pin 1 location +# more choices of outline (at least inboard vs. outboard) +# more packages in default qfp.dat, double checked and tested + +global description boardname partnum +set description [ lindex $argv 0 ] +set boardname [ lindex $argv 1 ] +set partnum [ lindex $argv 2 ] + +# scaling and centering for canvas; +# I use max_pix=380 for big screens, and trim it down to 266 for +# use on my 640x480 laptop. +# I've never seen any QFP exceed 36 mm, so max_mm=38 should be safe. +set max_mm 38 +set max_pix 266 +global s c +set s [ expr $max_pix/$max_mm*.0254 ] +set c [ expr 0.5*$max_pix ] + +# fixme ... maybe put in a search path? Get from environment? +set libwritedir "$env(HOME)" +global libpath +set libpath ".:$libwritedir" +global libwritefile +set libwritefile "$libwritedir/qfp.dat" + +# default values of the actual parameters that describe the QFP +global istart nX nY pitch pwidth plength lX lY +set istart 1 +set nX 32 +set nY 32 +set pitch 8000 +set pwidth 10 +set plength 50 +set lX 1290 +set lY 1290 + +# Define the native units for each dimension +# dm is "decimicrons" :-) allows exact conversion from microns or mils +foreach v {pwidth plength lX lY} { + global ${v}_native + set ${v}_native mil +} +global pitch_native +set pitch_native dm + +set factor(inch) 254000 +set factor(mm) 10000 +set factor(mil) 254 +set factor(dm) 1 + +proc m4define { name val } { + puts "define(`$name', $val)" +} + +proc spit_output { } { + global description boardname partnum + global pkgname istart nX nY pitch pwidth plength lX lY + m4define PITCH $pitch + m4define PAD_LENGTH $plength + m4define PAD_WIDTH $pwidth + m4define ISTART $istart + m4define XPADS $nX + m4define YPADS $nY + m4define X_LENGTH $lX + m4define Y_LENGTH $lY + puts "PKG_GEN_QFP($description, $boardname, $partnum)" + exit +} + +proc state_encode { } { + global description boardname partnum + global pkgname istart nX nY pitch pwidth plength lX lY + return "$pitch $plength $pwidth $istart $nX $nY $lX $lY $partnum $description" +} + +proc state_decode { s } { + global description boardname partnum + global pkgname istart nX nY pitch pwidth plength lX lY + regexp {([0-9]+) ([0-9]+) ([0-9]+) ([0-9]+) ([0-9]+) ([0-9]+) ([0-9]+) ([0-9]+) ([^ ]+) (.*)} $s dummy\ + pitch plength pwidth istart nX nY lX lY partnum description +} + +proc woohoo { x y } { + global library + catch { .p.txt tag delete mine } + set loc [ .p.txt index "@$x,$y" ] + regexp {([0-9]*)\.} $loc dummy l + # puts "woo-hoo $x $y $loc $l" + regexp {([^ ]+)} [ .p.txt get $l.0 "$l.0 lineend" ] dummy k + if { [ catch { state_decode $library($k) } ] } return + .p.txt tag add mine $l.0 "$l.0 lineend" + .p.txt tag configure mine -background red + push_state_to_screen + draw_outline +} + +proc libfiles_read { } { + global libpath home + if { [ catch { set fd [ open "| appres Pcb" ] } ] } return + while { [ gets $fd line ] != -1 } { + regexp {([a-zA-Z.]+):[ ] *([^ ]*)} $line dummy res_name res_value + if { $res_name == "Pcb.libraryPath" } { + set libpath "$res_value:$libpath" + } + } + close $fd + foreach f [ split $libpath ":" ] { libfile_read "$f/qfp.dat" } +} + +proc libfile_read { filename } { + global library + if { [ catch { set fd [ open $filename ] } ] } return + while { [ gets $fd line ] != -1 } { + regexp {[0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ ([^ ]+) .*} $line dummy pn + set library($pn) $line + } + close $fd +} + +proc load_library { } { + global library libline + if { [ catch { toplevel .p } ] } return + wm title .p "qfp-ui-library" + frame .p.b + button .p.b.dismiss -text "Dismiss" -command "destroy .p" + pack .p.b.dismiss -side left + pack .p.b -side bottom + text .p.txt -width 40 -height 15 -font fixed \ + -yscrollcommand ".p.sbar set" + scrollbar .p.sbar -command ".p.txt yview" + pack .p.txt -side left -fill both -expand 1 + pack .p.sbar -side right -fill y + catch { unset libline } + libfiles_read + set keys [ lsort [ array names library ] ] + foreach d $keys { + regexp {[0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ [0-9]+ ([^ ]+) (.*)} $library($d) dummy pn desc + paint_lib_entry $pn $desc + } + .p.txt configure -state disabled + bind .p.txt