previous page | next page
r35024 file changeset diff or repo changeset diff igor22021-06-28T05:45:40.052012Z
 
[io_pads] -Add: enable the write code

M /trunk/src_plugins/io_pads/io_pads.c
r35022 file changeset diff or repo changeset diff igor22021-06-26T12:29:18.129549Z
 
[io_pads] -Add: include the 2net mapper for write

M /trunk/src_plugins/io_pads/write.c
M /trunk/src/Makefile.dep
r35020 file changeset diff or repo changeset diff igor22021-06-26T12:18:46.637929Z
 
[io_pads] -Change: enable write.c

M /trunk/scconfig/Rev.h
M /trunk/src_plugins/io_pads/io_pads.pup
M /trunk/scconfig/Rev.tab
M /trunk/src_plugins/io_pads/Plug.tmpasm
r34693 file changeset diff or repo changeset diff igor22021-05-26T15:37:42.365966Z
 
[io_pads] -Fix: need to special case layer 1 and 2 within partdecal (top and bottom silk; according to random board examples)

M /trunk/src_plugins/io_pads/read_high.c
r34691 file changeset diff or repo changeset diff igor22021-05-26T13:07:22.731683Z
 
[io_pads] -Add: teardrops is a plugin dep

M /trunk/scconfig/Rev.h
M /trunk/src_plugins/io_pads/io_pads.pup
M /trunk/scconfig/Rev.tab
M /trunk/scconfig/plugins.h
r34690 file changeset diff or repo changeset diff igor22021-05-26T13:00:49.351679Z
 
[io_pads] -Add: put the plugin in the alien io package

M /trunk/src_plugins/io_pads/io_pads.pup
M /trunk/src_plugins/io_pads/read_high_misc.c
r34689 file changeset diff or repo changeset diff igor22021-05-26T09:41:11.866664Z
 
[io_pads] -Add: text thickness adjustment if text thickness seems to be invalid

M /trunk/src_plugins/io_pads/read_high.c
r34688 file changeset diff or repo changeset diff igor22021-05-26T08:31:49.709439Z
 
[io_pads] -Cleanup: missing casr in subc-lib delay create

M /trunk/src_plugins/io_pads/delay_create.c
r34687 file changeset diff or repo changeset diff igor22021-05-26T08:23:02.466695Z
 
[io_pads] -Cleanup: const correctness in delay-create text-by-bbox

M /trunk/src_plugins/io_pads/delay_create.h
r34686 file changeset diff or repo changeset diff igor22021-05-26T08:20:45.956673Z
 
[io_pads] -Change: disable the write part and make the plugin buildin by default

M /trunk/scconfig/Rev.h
M /trunk/src_plugins/io_pads/io_pads.pup
M /trunk/scconfig/Rev.tab
M /trunk/src_plugins/io_pads/io_pads.c
M /trunk/src_plugins/io_pads/Plug.tmpasm
r34685 file changeset diff or repo changeset diff igor22021-05-26T07:50:30.342889Z
 
[io_pads] -Add: explain what line thickness in poly exactly means

M /trunk/src_plugins/io_pads/read_high.c
r34684 file changeset diff or repo changeset diff igor22021-05-26T07:38:36.187195Z
 
[io_pads] -Add: explain what the 'o' shaped padstack is and why we don't fully support it

M /trunk/src_plugins/io_pads/read_high.c
r34683 file changeset diff or repo changeset diff igor22021-05-26T07:25:26.424828Z
 
[io_pads] -Add: resolve two TODOs on label levels: subc labels always end up on primary silk

M /trunk/src_plugins/io_pads/read_high.c
r34667 file changeset diff or repo changeset diff igor22021-05-07T03:09:23.310240Z
 
[io_pads] -Add: annotate TODOs with the file name of pending gerber export from which the given feature could be figured

M /trunk/src_plugins/io_pads/read_high.c
r34666 file changeset diff or repo changeset diff igor22021-05-07T02:35:22.628524Z
 
[io_pads] -Del: remove obsolete text alignment TODO

M /trunk/src_plugins/io_pads/read_high.c
r34665 file changeset diff or repo changeset diff igor22021-05-06T17:26:05.398892Z
 
[io_pads] -Fix: delay_create: text-by-bbox: when flip_y is active, flip y anchor using bbox height

M /trunk/src_plugins/io_pads/delay_create.c
r34664 file changeset diff or repo changeset diff igor22021-05-06T17:25:28.384165Z
 
[io_pads] -Fix: text bbox load: calculate anchors only after reading all hjust and vjust parameters

M /trunk/src_plugins/io_pads/read_high.c
r34663 file changeset diff or repo changeset diff igor22021-05-06T17:13:22.832640Z
 
[io_pads] -Add: create text objects on bbox basis

M /trunk/src_plugins/io_pads/delay_create.h
M /trunk/src_plugins/io_pads/read_high.c
r34662 file changeset diff or repo changeset diff igor22021-05-06T16:50:41.824812Z
 
[io_pads] -Add: delay_create: text_by_bbox create & free

M /trunk/src_plugins/io_pads/delay_create.c
r34661 file changeset diff or repo changeset diff igor22021-05-06T15:34:11.805892Z
 
[io_pads] -Add: delay_create: struct and _new call for text-by-bbox

M /trunk/src_plugins/io_pads/delay_create.h
M /trunk/src_plugins/io_pads/delay_create.c
r34660 file changeset diff or repo changeset diff igor22021-05-06T13:59:35.010703Z
 
[io_pads] -Fix: sort out meaning of text object fields and temporarily tune scale

M /trunk/src_plugins/io_pads/read_high.c
r34659 file changeset diff or repo changeset diff igor22021-05-03T15:07:43.995709Z
 
[io_pads] -Add: write free polygons

M /trunk/src_plugins/io_pads/write.c
r34658 file changeset diff or repo changeset diff igor22021-05-03T13:24:31.741197Z
 
[io_pads] -Add: export free arcs

M /trunk/src_plugins/io_pads/write.c
r34657 file changeset diff or repo changeset diff igor22021-05-03T08:31:23.815636Z
 
[io_pads] -Fix: free text export: tune alignment when text is mirrored

M /trunk/src_plugins/io_pads/write.c
r34656 file changeset diff or repo changeset diff igor22021-05-03T08:27:07.112173Z
 
[io_pads] -Fix: typos

M /trunk/src_plugins/io_pads/write.c
r34655 file changeset diff or repo changeset diff igor22021-05-03T08:19:31.239410Z
 
[io_pads] -Fix: wrong coord size transformation for BASIC type

M /trunk/src_plugins/io_pads/write.c
r34654 file changeset diff or repo changeset diff igor22021-05-03T08:18:16.617229Z
 
[io_pads] -Add: export lines as free lines for now

M /trunk/src_plugins/io_pads/write.c
r34653 file changeset diff or repo changeset diff igor22021-05-03T07:09:57.035175Z
 
[io_pads] -Fix: don't write layer 0 twice

M /trunk/src_plugins/io_pads/write_layer.c
r34652 file changeset diff or repo changeset diff igor22021-05-03T07:00:27.773501Z
 
[io_pads] -Add: *MISC* layer map: generate associated layer for top and bottom copper - it seems that's the only way top/bottom silk/mask/paste can be identified

M /trunk/src_plugins/io_pads/write_layer.c
r34651 file changeset diff or repo changeset diff igor22021-05-03T06:37:27.633631Z
 
[io_pads] -Add: export *MISC* layer list

M /trunk/src_plugins/io_pads/write_layer.c
M /trunk/src_plugins/io_pads/write.c
r34650 file changeset diff or repo changeset diff igor22021-05-03T05:19:18.930783Z
 
[io_pads] -Change: write files with the BASIC unit, not METRIC: samples show MIL and BASIC are used in the wild and MIL has the lower resolution

M /trunk/src_plugins/io_pads/write.c
r34649 file changeset diff or repo changeset diff igor22021-05-03T05:14:13.892871Z
 
[io_pads] -Fix: it seems METRIC means mm, not mm/10000 - this will need powerpads testing

M /trunk/src_plugins/io_pads/write.c
M /trunk/src_plugins/io_pads/read.c
r34648 file changeset diff or repo changeset diff igor22021-05-03T04:08:04.217946Z
 
[io_pasd] -Add: write free text objects

M /trunk/src_plugins/io_pads/write.c
r34647 file changeset diff or repo changeset diff igor22021-05-03T04:00:00.522366Z
 
[io_pads] -Add: layer and layer group pads-layer-id lookup helpers

M /trunk/src_plugins/io_pads/write_layer.c
r34646 file changeset diff or repo changeset diff igor22021-05-03T03:32:01.191808Z
 
[io_pads] -Add: write free *text* header

M /trunk/src_plugins/io_pads/write.c
r34643 file changeset diff or repo changeset diff igor22021-05-02T08:08:02.044238Z
 
[io_pads] -Add: layer mapping

A /trunk/src_plugins/io_pads/write_layer.c
M /trunk/src_plugins/io_pads/write.c
r34642 file changeset diff or repo changeset diff igor22021-05-02T06:43:10.293019Z
 
[io_pads] -Add: write the *REUSE* section

M /trunk/src_plugins/io_pads/write.c
r34641 file changeset diff or repo changeset diff igor22021-05-01T15:19:43.081274Z
 
[io_pads] -Add: fill in the relevant-looking fields of *PCB* on write

M /trunk/src_plugins/io_pads/write.c
r34640 file changeset diff or repo changeset diff igor22021-05-01T13:41:06.732940Z
 
[io_pads] -Del: simplify header version write: it's always to one decimal, like 2005.0 or 2005.2 (it seems from the samples)

M /trunk/src_plugins/io_pads/write.c
r34639 file changeset diff or repo changeset diff igor22021-05-01T13:39:12.745427Z
 
[io_pads] -Add: write *PCB* section's grid unit

M /trunk/src_plugins/io_pads/write.c
r34638 file changeset diff or repo changeset diff igor22021-05-01T13:25:05.978217Z
 
[io_pads] -Add: write header with version

M /trunk/src_plugins/io_pads/write.c
r34637 file changeset diff or repo changeset diff igor22021-05-01T13:16:16.941962Z
 
[io_pads] -Fix: typo on padstack read

M /trunk/src_plugins/io_pads/read_high.c
r34635 file changeset diff or repo changeset diff igor22021-05-01T12:46:09.704108Z
 
[io_pads] -Add: make room for saving V9.4 files

M /trunk/src_plugins/io_pads/io_pads.c
M /trunk/src_plugins/io_pads/write.c
M /trunk/src_plugins/io_pads/write.h
r34634 file changeset diff or repo changeset diff igor22021-05-01T12:39:45.161863Z
 
[io_pads] -Add: prepare for multiple versions saved

M /trunk/src_plugins/io_pads/io_pads.c
r34633 file changeset diff or repo changeset diff igor22021-05-01T12:31:01.811436Z
 
[io_pads] -Add: placeholder for write

M /trunk/scconfig/Rev.h
M /trunk/scconfig/Rev.tab
M /trunk/src_plugins/io_pads/io_pads.c
A /trunk/src_plugins/io_pads/write.c
M /trunk/src_plugins/io_pads/Plug.tmpasm
A /trunk/src_plugins/io_pads/write.h
r34632 file changeset diff or repo changeset diff igor22021-05-01T12:03:21.057675Z
 
[io_pads] -Fix: remove byaccic from the tmpasm - there's no grammar but custom parser

M /trunk/src_plugins/io_pads/Plug.tmpasm
r34631 file changeset diff or repo changeset diff igor22021-05-01T08:34:47.923499Z
 
[io_pads] -Add: TODO for loading padstack slots

M /trunk/src_plugins/io_pads/read_high.c
r34630 file changeset diff or repo changeset diff igor22021-05-01T08:33:10.823635Z
 
[io_pads] -Fix: padstack loader: turn off plating if there's no hole or slot

M /trunk/src_plugins/io_pads/read_high.c
r34629 file changeset diff or repo changeset diff igor22021-05-01T08:23:52.434174Z
 
[io_pads] -Fix: do not create 0 sized padstack shapes - they seem to be artifacts generated by broken software

M /trunk/src_plugins/io_pads/read_high.c
r34628 file changeset diff or repo changeset diff igor22021-05-01T07:48:11.393381Z
 
[io_pads] -Add: poly clip and layer group refresh inhibit while loading, for speed

M /trunk/src_plugins/io_pads/read.c
r34627 file changeset diff or repo changeset diff igor22021-05-01T06:54:30.698152Z
 
[io_pads] -Add: TODO: poly bloat requires gerber export for checking if it's offseting or not

M /trunk/src_plugins/io_pads/read_high.c
r34626 file changeset diff or repo changeset diff igor22021-05-01T06:45:28.506159Z
 
[io_pads] -Add: TODO: figuring what layer 2 means for a part label requires gerber exports

M /trunk/src_plugins/io_pads/read_high.c
r34625 file changeset diff or repo changeset diff igor22021-05-01T05:57:36.218531Z
 
[io_pads] -Add: mark more TODOs that require powerpcb testing

M /trunk/src_plugins/io_pads/read_high.c
r34624 file changeset diff or repo changeset diff igor22021-05-01T05:54:37.683432Z
 
[io_pads] -Del: obsolete TODO: partdecal doesn't really have an origin; what looked like an origin is really just an fp editor offset we don't need to deal with

M /trunk/src_plugins/io_pads/read_high.c
r34623 file changeset diff or repo changeset diff igor22021-05-01T05:43:33.760118Z
 
[io_pads] -Add: do not ignore per subcircuit units

M /trunk/src_plugins/io_pads/read_high.c
r34620 file changeset diff or repo changeset diff igor22021-04-30T15:59:27.262663Z
 
[io_pads] -Fix: delay create: do not crash if object creation failed

M /trunk/src_plugins/io_pads/delay_create.c
r34619 file changeset diff or repo changeset diff igor22021-04-30T15:52:40.484146Z
 
[io_pads] -Fix: padstack read: some semi-broken files tend to try to create 0 sized poly shapes which is obviously self intersection - round that up to 100 nm until we figure what should really happen

M /trunk/src_plugins/io_pads/read_high.c
r34618 file changeset diff or repo changeset diff igor22021-04-30T14:12:13.674347Z
 
[io_pads] -Fix: *MISC* parse: bail out before parsing another header at the end of a *MISC* block

M /trunk/src_plugins/io_pads/read_high_misc.c
r34617 file changeset diff or repo changeset diff igor22021-04-30T12:30:01.417699Z
 
[io_pads] -Add: when failed to parse a pcb, print the number of last line parsed, for debug

M /trunk/src_plugins/io_pads/read.c
r34616 file changeset diff or repo changeset diff igor22021-04-30T12:25:07.572163Z
 
[io_pads] -Fix: infinite loop on searching newline and bumping into eof

M /trunk/src_plugins/io_pads/read_low.c
r34602 file changeset diff or repo changeset diff igor22021-04-23T14:27:10.212606Z
 
[io_pads] -Add: classify and mark TODOs that really require powerpcb checking

M /trunk/src_plugins/io_pads/read_high.c
r34601 file changeset diff or repo changeset diff igor22021-04-23T14:23:39.661132Z
 
[io_pads] -Cleanup: define a macro for overloading padstack shp->layer_mask with the integer layer ID (for code clarity and documentation)

M /trunk/src_plugins/io_pads/delay_create.h
M /trunk/src_plugins/io_pads/read_high.c
M /trunk/src_plugins/io_pads/read.c
M /trunk/src_plugins/io_pads/delay_create.c
r34600 file changeset diff or repo changeset diff igor22021-04-23T14:12:27.479862Z
 
[io_pads] -Split: delay create: padstack shape layer ID special casing moved to the pads-specific part of the code

M /trunk/src_plugins/io_pads/delay_create.h
M /trunk/src_plugins/io_pads/read.c
M /trunk/src_plugins/io_pads/delay_create.c
r34599 file changeset diff or repo changeset diff igor22021-04-23T13:28:53.024383Z
 
[io_pads] -Add: create thermal between padstack/line/arc and poly on the same layer if they are in the same net

M /trunk/src_plugins/io_pads/delay_postproc.c
r34598 file changeset diff or repo changeset diff igor22021-04-23T12:18:43.646400Z
 
[io_pads] -Add: thermal postproc: put netlist terminals into the obj->netname hash for the thermal postproc to work

M /trunk/src_plugins/io_pads/delay_postproc.c
M /trunk/src_plugins/io_pads/read.c
r34597 file changeset diff or repo changeset diff igor22021-04-23T11:57:06.899545Z
 
[io_pads] -Add: load and build the netlist

M /trunk/src_plugins/io_pads/read_high.c
r34596 file changeset diff or repo changeset diff igor22021-04-23T11:56:18.981109Z
 
[io_pads] -Fix: potential memory leak on wrong header

M /trunk/src_plugins/io_pads/read.c
r34595 file changeset diff or repo changeset diff igor22021-04-23T11:17:57.094928Z
 
[io_pads] -Cleanup: match pointer types for the thermal postproc

M /trunk/src_plugins/io_pads/read.c
r34594 file changeset diff or repo changeset diff igor22021-04-23T11:16:20.319996Z
 
[io_pads] -Cleanup: remove unused var

M /trunk/src_plugins/io_pads/read_high.c
r34593 file changeset diff or repo changeset diff igor22021-04-23T11:15:30.902865Z
 
[io_pads] -Fix: typo

M /trunk/src_plugins/io_pads/read_high.c
r34592 file changeset diff or repo changeset diff igor22021-04-23T11:06:38.222778Z
 
[io_pads] -Fix: wrong format string for long int

M /trunk/src_plugins/io_pads/read_high.c
r34591 file changeset diff or repo changeset diff igor22021-04-23T09:29:05.595660Z
 
[io_pads] -Fix: call the thermal postprocessor with the callback for netname lookup

M /trunk/src_plugins/io_pads/read.c
r34590 file changeset diff or repo changeset diff igor22021-04-23T09:26:08.312897Z
 
[io_pads] -Add: postprocess for thermals: build a temporary obj->netname hash and do an r-search on poly bbox for lines, arcs and padstacks that are in the same net and potentially within the poly

M /trunk/src_plugins/io_pads/delay_postproc.c
M /trunk/src_plugins/io_pads/delay_postproc.h
M /trunk/src_plugins/io_pads/read_high.c
M /trunk/src_plugins/io_pads/read.c
r34588 file changeset diff or repo changeset diff igor22021-04-23T07:14:01.347104Z
 
[io_pads] -Add: delayed postprocess call (framework) [io_pads] -Add: postprocess loop on polygons with netname

A /trunk/src_plugins/io_pads/delay_postproc.c
A /trunk/src_plugins/io_pads/delay_postproc.h
M /trunk/src_plugins/io_pads/read.c
M /trunk/src_plugins/io_pads/delay_create.c
r34587 file changeset diff or repo changeset diff igor22021-04-23T06:52:58.164345Z
 
[io_pads] -Add: delay_create: option to build an object:netname vector for postprocessing

M /trunk/src_plugins/io_pads/delay_create.h
M /trunk/src_plugins/io_pads/delay_create.c
r34586 file changeset diff or repo changeset diff igor22021-04-23T06:35:50.284896Z
 
[io_pads] -Cleanup: whitespace

M /trunk/src_plugins/io_pads/delay_create.c
r34585 file changeset diff or repo changeset diff igor22021-04-23T05:23:17.930381Z
 
[io_pads] -Add: save signame as netname in delay create internal object when creating new poly

M /trunk/src_plugins/io_pads/delay_create.h
M /trunk/src_plugins/io_pads/read_high.c
M /trunk/src_plugins/io_pads/delay_create.c
r34584 file changeset diff or repo changeset diff igor22021-04-23T05:21:05.940843Z
 
[io_pads] -Del: old debug function

M /trunk/src_plugins/io_pads/delay_create.c
r34583 file changeset diff or repo changeset diff igor22021-04-23T05:14:24.408112Z
 
[io_pads] -Add: parse signame for pours, that's the only way thermals can be detected

M /trunk/src_plugins/io_pads/read_high.c
r34582 file changeset diff or repo changeset diff igor22021-04-23T03:57:35.300212Z
 
[io_pads] -Add: apply terminal names

M /trunk/src_plugins/io_pads/delay_create.h
M /trunk/src_plugins/io_pads/read_high.c
M /trunk/src_plugins/io_pads/delay_create.c
r34581 file changeset diff or repo changeset diff igor22021-04-23T03:48:31.212746Z
 
[io_pads] -Add: auto-generate missing terminal name for 'T' lines in partdecal

M /trunk/src_plugins/io_pads/read_high.c
r34580 file changeset diff or repo changeset diff igor22021-04-23T03:32:48.095175Z
 
[io_pads] -Fix: set clearance on "smd" "vias" - these construct can be present by user error

M /trunk/src_plugins/io_pads/delay_clearance.c
r34578 file changeset diff or repo changeset diff igor22021-04-22T17:13:10.517838Z
 
[io_pads] -Fix: delay clearance should recurse into subcircuits

M /trunk/src_plugins/io_pads/delay_clearance.c
r34577 file changeset diff or repo changeset diff igor22021-04-22T16:52:52.370837Z
 
-Fix: typo

M /trunk/src_plugins/io_pads/delay_clearance.h
M /trunk/src_plugins/io_pads/read.c
M /trunk/src_plugins/io_pads/delay_clearance.c
r34576 file changeset diff or repo changeset diff igor22021-04-22T15:56:11.635815Z
 
[io_pads] -Fix: don't run delay_clearance too soon

M /trunk/src_plugins/io_pads/read.c
r34575 file changeset diff or repo changeset diff igor22021-04-22T15:53:50.197424Z
 
[io_pads] -Add: call delay_clearance after loading the board

M /trunk/src_plugins/io_pads/read.c
r34574 file changeset diff or repo changeset diff igor22021-04-22T15:53:29.144411Z
 
[io_pads] -Fix: more standard header watchdog

M /trunk/src_plugins/io_pads/delay_clearance.h
r34573 file changeset diff or repo changeset diff igor22021-04-22T15:52:45.451118Z
 
[io_pads] -Add: publish the side-effect-free version of delay_clearance as well

M /trunk/src_plugins/io_pads/delay_clearance.h
r34572 file changeset diff or repo changeset diff igor22021-04-22T15:52:11.791523Z
 
[io_pads] -Add: compile delay_clearance

M /trunk/src_plugins/io_pads/delay_create.c
r34571 file changeset diff or repo changeset diff igor22021-04-22T15:51:54.113401Z
 
[io_pads] -Fix: missing includes and typos in delay_clearance

M /trunk/src_plugins/io_pads/delay_clearance.c
r34570 file changeset diff or repo changeset diff igor22021-04-22T15:49:06.934728Z
 
[io_pads] -Add: delay clearance: an optimized variant that turns off draw and poly clip

M /trunk/src_plugins/io_pads/delay_clearance.c
r34568 file changeset diff or repo changeset diff igor22021-04-22T14:34:30.375651Z
 
[io_pads] -Add: read and store clearance values from the first design rule section

M /trunk/src_plugins/io_pads/read_high_misc.c
M /trunk/src_plugins/io_pads/read.c
r34567 file changeset diff or repo changeset diff igor22021-04-22T14:00:28.569832Z
 
[io_pads] -Add: low level delay clearance code: clearances can be set only after the whole board read, because design rules are usually at the end of the file

A /trunk/src_plugins/io_pads/delay_clearance.h
M /trunk/src_plugins/io_pads/delay_create.c
A /trunk/src_plugins/io_pads/delay_clearance.c
r34566 file changeset diff or repo changeset diff igor22021-04-22T13:12:11.816143Z
 
[io_pads] -Add: parse design rules to pick out clearance values

M /trunk/src_plugins/io_pads/read_high_misc.c
M /trunk/src_plugins/io_pads/read.c
r34565 file changeset diff or repo changeset diff igor22021-04-22T11:43:40.520887Z
 
[io_pads] -Fix: delay create: create polygons that clear

M /trunk/src_plugins/io_pads/delay_create.c
r34564 file changeset diff or repo changeset diff igor22021-04-22T09:18:10.534362Z
 
[io_pads] -Del: TODO: it is safe to ignore signal/routing flags (other than miter, 0x0e000)

M /trunk/src_plugins/io_pads/read_high.c
r34563 file changeset diff or repo changeset diff igor22021-04-22T09:01:01.380008Z
 
[io_pads] -Add: mark TODOs that require powerpcb for testing

M /trunk/src_plugins/io_pads/read_high.c
r34562 file changeset diff or repo changeset diff igor22021-04-22T08:35:43.117479Z
 
[io_pads] -Fix: translate PADS arc angles to pcb-rnd arc angles with correct CW/CCW flip when needed

M /trunk/src_plugins/io_pads/read_high.c
r34561 file changeset diff or repo changeset diff igor22021-04-22T08:25:50.505327Z
 
[io_pads] -Fix: delay create: when mirroring arc for flip_y, swap angles

M /trunk/src_plugins/io_pads/delay_create.c
r34560 file changeset diff or repo changeset diff igor22021-04-22T08:00:46.660780Z
 
[io_pads] -Fix: arc angle detection: full circle is 2*PI, not 360, because we are in rad

M /trunk/src_plugins/io_pads/read_high.c

Command line to view the complete history:
svn log -v svn://svn.repo.hu/pcb-rnd//trunk/src_plugins/io_pads